Central pattern generation involved in oral and respiratory control for feeding in the term infant
Barlow, Steven M.
2009-01-01
Purpose of review Drinking and eating are essential skills for survival and benefit from the coordination of several pattern generating networks and their musculoskeletal effectors to achieve safe swallows. Oral-pharyngo-esophageal motility develops during infancy and early childhood, and is influenced by various factors, including neuromuscular maturation, dietary and postural habits, arousal state, ongoing illnesses, congenital anomalies, and the effects of medical or surgical interventions. Gastroesophageal reflux is frequent in neonates and infants, and its role in neonatal morbidity including dysphagia, chronic lung disease, or apparent life-threatening events is not well understood. This review highlights recent studies aimed at understanding the development of oral feeding skills, and cross-system interactions among the brainstem, spinal, and cerebral networks involved in feeding. Recent Findings Functional linkages between suck-swallow and swallow-respiration manifest transitional forms during late gestation through the first year of life which can be delayed or modified by sensory experience and/or disease processes. Relevant central pattern generator (CPG) networks and their neuromuscular targets attain functional status at different rates, which ultimately influences cross-system CPG interactions. Entrainment of trigeminal primary afferents accelerates pattern genesis for the suck CPG and transition-to-oral feed in the RDS preterm infant. Summary The genesis of within-system CPG control for rate and amplitude scaling matures differentially for suck, mastication, swallow, and respiration. Cross-system interactions among these CPGs represent targets of opportunity for new interventions which optimize experience-dependent mechanisms to promote safe swallows among newborn and pediatric patients. PMID:19417662
On the role of the reticular formation in vocal pattern generation.
Jürgens, Uwe; Hage, Steffen R
2007-09-04
This review is an attempt to localize the brain region responsible for pattern generation of species-specific vocalizations. A catalogue is set up, listing the criteria considered to be essential for a vocal pattern generator. According to this catalogue, a vocal pattern generator should show vocalization-correlated activity, starting before vocal onset and reflecting specific acoustic features of the vocalization. Artificial activation by electrical or glutamatergic stimulation should produce artificially sounding vocalization. Lesioning is expected to have an inhibitory or deteriorating effect on vocalization. Anatomically, a vocal pattern generator can be assumed to have direct or, at least, oligosynaptic connections with all the motoneuron pools involved in phonation. A survey of the literature reveals that the only area meeting all these criteria is a region, reaching from the parvocellular pontine reticular formation just above the superior olive through the lateral reticular formation around the facial nucleus and nucleus ambiguus down to the caudalmost medulla, including the dorsal and ventral reticular nuclei and nucleus retroambiguus. It is proposed that vocal pattern generation takes place within this whole region.
ERIC Educational Resources Information Center
Kiliç, Çigdem
2017-01-01
In that current study, pattern conversion ability of 25 pre-service mathematics teachers (producing figural patterns following number patterns) was investigated. During the study participants were asked to generate figural patterns based on those number patterns. The results of the study indicate that many participants could generate different…
Early rheumatoid disease. II. Patterns of joint involvement.
Fleming, A; Benn, R T; Corbett, M; Wood, P H
1976-01-01
Data from the first research clinic visit (Fleming and others, 1976) have been subjected to factor analysis to identify early patterns of joint involvement. Nine patterns emerged. Two patterns, if present early, were found to have prognostic significance. An eventually more severe disease was associated with a pattern of large joint involvement (shoulder, elbow, wrist, knee) and a pattern based on metatarsophalangeal joints I and III. PMID:970995
Laser pattern generator challenges in airborne molecular contamination protection
NASA Astrophysics Data System (ADS)
Ekberg, Mats; Skotte, Per-Uno; Utterback, Tomas; Paul, Swaraj; Kishkovich, Oleg P.; Hudzik, James S.
2003-08-01
The introduction of photomask laser pattern generators presents new challenges to system designers and manufacturers. One of the laser pattern generator's environmental operating challenges is Airborne Molecular Contamination (AMC), which affects both chemically amplified resists (CAResist) and laser optics. Similar challenges in CAResist protection have already been addressed in semiconductor wafer lithography with reasonable solutions and experience gained by all those involved. However, photomask and photomask equipment manufacturers have not previously had a comparable experience, and some photomask AMC issues differ from those seen in semiconductor wafer lithography. Culminating years of AMC experience, the authors discuss specific requirements of Photomask AMC. Air sampling and material of construction analysis were performed to understand these particular AMC challenges and used to develop an appropriate filtration specification for different classes of contaminates. The authors portray the importance of cooperation between tool designers and AMC experts early in the design stage to assure goal attainment to maximize both process stability and machine productivity in advanced mask making. In conclusion, the authors provide valuable recommendations to both laser tool users and other equipment manufacturers.
Mask pattern generator employing EPL technology
NASA Astrophysics Data System (ADS)
Yoshioka, Nobuyuki; Yamabe, Masaki; Wakamiya, Wataru; Endo, Nobuhiro
2003-08-01
Mask cost is one of crucial issues in device fabrication, especially in SoC (System on a Chip) with small-volume production. The cost mainly depends on productivity of mask manufacturing tools such as mask writers and defect inspection tools. EPL (Electron Projection Lithography) has been developing as a high-throughput electron beam exposure technology that will succeed optical lithography. The application of EPL technology to mask writing will result in high productivity and contribute to decrease the mask cost. The concept of a mask pattern generator employing EPL technology is proposed in this paper. It is very similar to EPL technology used for pattern printing on a wafer. The mask patterns on the glass substrate are exposed by projecting the basic circuit patterns formed on the mother EPL mask. One example of the mother EPL mask is a stencil type made with 200-mm Si wafer. The basic circuit patterns are IP patterns and logical primitive patterns such as cell libraries (AND, OR, Inverter, Flip-Flop and etc.) to express the SoC device patterns. Since the SoC patterns are exposed with its collective units such as IP and logical primitive patterns by using this method, the high throughput will be expected comparing with conventional mask E-beam writers. In this paper, the mask pattern generator with the EPL technology is proposed. The concept, its advantages and issues to be solved are discussed.
Combinatorial Histone Acetylation Patterns Are Generated by Motif-Specific Reactions.
Blasi, Thomas; Feller, Christian; Feigelman, Justin; Hasenauer, Jan; Imhof, Axel; Theis, Fabian J; Becker, Peter B; Marr, Carsten
2016-01-27
Post-translational modifications (PTMs) are pivotal to cellular information processing, but how combinatorial PTM patterns ("motifs") are set remains elusive. We develop a computational framework, which we provide as open source code, to investigate the design principles generating the combinatorial acetylation patterns on histone H4 in Drosophila melanogaster. We find that models assuming purely unspecific or lysine site-specific acetylation rates were insufficient to explain the experimentally determined motif abundances. Rather, these abundances were best described by an ensemble of models with acetylation rates that were specific to motifs. The model ensemble converged upon four acetylation pathways; we validated three of these using independent data from a systematic enzyme depletion study. Our findings suggest that histone acetylation patterns originate through specific pathways involving motif-specific acetylation activity. Copyright © 2016 Elsevier Inc. All rights reserved.
Test pattern generation for ILA sequential circuits
NASA Technical Reports Server (NTRS)
Feng, YU; Frenzel, James F.; Maki, Gary K.
1993-01-01
An efficient method of generating test patterns for sequential machines implemented using one-dimensional, unilateral, iterative logic arrays (ILA's) of BTS pass transistor networks is presented. Based on a transistor level fault model, the method affords a unique opportunity for real-time fault detection with improved fault coverage. The resulting test sets are shown to be equivalent to those obtained using conventional gate level models, thus eliminating the need for additional test patterns. The proposed method advances the simplicity and ease of the test pattern generation for a special class of sequential circuitry.
Computer Generated Holography with Intensity-Graded Patterns
Conti, Rossella; Assayag, Osnath; de Sars, Vincent; Guillon, Marc; Emiliani, Valentina
2016-01-01
Computer Generated Holography achieves patterned illumination at the sample plane through phase modulation of the laser beam at the objective back aperture. This is obtained by using liquid crystal-based spatial light modulators (LC-SLMs), which modulate the spatial phase of the incident laser beam. A variety of algorithms is employed to calculate the phase modulation masks addressed to the LC-SLM. These algorithms range from simple gratings-and-lenses to generate multiple diffraction-limited spots, to iterative Fourier-transform algorithms capable of generating arbitrary illumination shapes perfectly tailored on the base of the target contour. Applications for holographic light patterning include multi-trap optical tweezers, patterned voltage imaging and optical control of neuronal excitation using uncaging or optogenetics. These past implementations of computer generated holography used binary input profile to generate binary light distribution at the sample plane. Here we demonstrate that using graded input sources, enables generating intensity graded light patterns and extend the range of application of holographic light illumination. At first, we use intensity-graded holograms to compensate for LC-SLM position dependent diffraction efficiency or sample fluorescence inhomogeneity. Finally we show that intensity-graded holography can be used to equalize photo evoked currents from cells expressing different levels of chanelrhodopsin2 (ChR2), one of the most commonly used optogenetics light gated channels, taking into account the non-linear dependence of channel opening on incident light. PMID:27799896
Neural basis of singing in crickets: central pattern generation in abdominal ganglia
NASA Astrophysics Data System (ADS)
Schöneich, Stefan; Hedwig, Berthold
2011-12-01
The neural mechanisms underlying cricket singing behavior have been the focus of several studies, but the central pattern generator (CPG) for singing has not been localized conclusively. To test if the abdominal ganglia contribute to the singing motor pattern and to analyze if parts of the singing CPG are located in these ganglia, we systematically truncated the abdominal nerve cord of fictively singing crickets while recording the singing motor pattern from a front-wing nerve. Severing the connectives anywhere between terminal ganglion and abdominal ganglion A3 did not preclude singing, although the motor pattern became more variable and failure-prone as more ganglia were disconnected. Singing terminated immediately and permanently after transecting the connectives between the metathoracic ganglion complex and the first unfused abdominal ganglion A3. The contribution of abdominal ganglia for singing pattern generation was confirmed by intracellular interneuron recordings and current injections. During fictive singing, an ascending interneuron with its soma and dendrite in A3 depolarized rhythmically. It spiked 10 ms before the wing-opener activity and hyperpolarized in phase with the wing-closer activity. Depolarizing current injection elicited rhythmic membrane potential oscillations and spike bursts that elicited additional syllables and reliably reset the ongoing chirp rhythm. Our results disclose that the abdominal ganglion A3 is directly involved in generating the singing motor pattern, whereas the more posterior ganglia seem to provide only stabilizing feedback to the CPG circuit. Localizing the singing CPG in the anterior abdominal neuromeres now allows analyzing its circuitry at the level of identified interneurons in subsequent studies.
Pitti, Alexandre; Lungarella, Max; Kuniyoshi, Yasuo
2009-01-01
Pattern generators found in the spinal cord are no more seen as simple rhythmic oscillators for motion control. Indeed, they achieve flexible and dynamical coordination in interaction with the body and the environment dynamics giving to rise motor synergies. Discovering the mechanisms underlying the control of motor synergies constitutes an important research question not only for neuroscience but also for robotics: the motors coordination of high dimensional robotic systems is still a drawback and new control methods based on biological solutions may reduce their overall complexity. We propose to model the flexible combination of motor synergies in embodied systems via partial phase synchronization of distributed chaotic systems; for specific coupling strength, chaotic systems are able to phase synchronize their dynamics to the resonant frequencies of one external force. We take advantage of this property to explore and exploit the intrinsic dynamics of one specified embodied system. In two experiments with bipedal walkers, we show how motor synergies emerge when the controllers phase synchronize to the body's dynamics, entraining it to its intrinsic behavioral patterns. This stage is characterized by directed information flow from the sensors to the motors exhibiting the optimal situation when the body dynamics drive the controllers (mutual entrainment). Based on our results, we discuss the relevance of our findings for modeling the modular control of distributed pattern generators exhibited in the spinal cord, and for exploring the motor synergies in robots. PMID:20011216
Miyawaki, Christina E
2016-03-01
This study is a cross-sectional investigation of caregiving practice patterns among Asian, Hispanic and non-Hispanic White American family caregivers of older adults across three immigrant generations. The 2009 California Health Interview Survey (CHIS) dataset was used, and 591 Asian, 989 Hispanic and 6537 non-Hispanic White American caregivers of older adults were selected. First, descriptive analyses of caregivers' characteristics, caregiving situations and practice patterns were examined by racial/ethnic groups and immigrant generations. Practice patterns measured were respite care use, hours and length of caregiving. Three hypotheses on caregiving patterns based on assimilation theory were tested and analyzed using logistic regression and generalized linear models by racial/ethnic groups and generations. Caregiving patterns of non-Hispanic White caregivers supported all three hypotheses regarding respite care use, caregiving hours and caregiving duration, showing less caregiving involvement in later generations. However, Asian and Hispanic counterparts showed mixed results. Third generation Asian and Hispanic caregivers used respite care the least and spent the most caregiving hours per week and had the longest caregiving duration compared to earlier generations. These caregiving patterns revealed underlying cultural values related to filial responsibility, even among later generations of caregivers of color. Findings suggest the importance of considering the cultural values of each racial/ethnic group regardless of generation when working with racially and ethnically diverse populations of family caregivers of older adults.
Generation 1.5 Written Error Patterns: A Comparative Study
ERIC Educational Resources Information Center
Doolan, Stephen M.; Miller, Donald
2012-01-01
In an attempt to contribute to existing research on Generation 1.5 students, the current study uses quantitative and qualitative methods to compare error patterns in a corpus of Generation 1.5, L1, and L2 community college student writing. This error analysis provides one important way to determine if error patterns in Generation 1.5 student…
Automated branching pattern report generation for laparoscopic surgery assistance
NASA Astrophysics Data System (ADS)
Oda, Masahiro; Matsuzaki, Tetsuro; Hayashi, Yuichiro; Kitasaka, Takayuki; Misawa, Kazunari; Mori, Kensaku
2015-05-01
This paper presents a method for generating branching pattern reports of abdominal blood vessels for laparoscopic gastrectomy. In gastrectomy, it is very important to understand branching structure of abdominal arteries and veins, which feed and drain specific abdominal organs including the stomach, the liver and the pancreas. In the real clinical stage, a surgeon creates a diagnostic report of the patient anatomy. This report summarizes the branching patterns of the blood vessels related to the stomach. The surgeon decides actual operative procedure. This paper shows an automated method to generate a branching pattern report for abdominal blood vessels based on automated anatomical labeling. The report contains 3D rendering showing important blood vessels and descriptions of branching patterns of each vessel. We have applied this method for fifty cases of 3D abdominal CT scans and confirmed the proposed method can automatically generate branching pattern reports of abdominal arteries.
Merrison-Hort, Robert; Zhang, Hong-Yan; Borisyuk, Roman
2014-01-01
Many neural circuits are capable of generating multiple stereotyped outputs after different sensory inputs or neuromodulation. We have previously identified the central pattern generator (CPG) for Xenopus tadpole swimming that involves antiphase oscillations of activity between the left and right sides. Here we analyze the cellular basis for spontaneous left–right motor synchrony characterized by simultaneous bursting on both sides at twice the swimming frequency. Spontaneous synchrony bouts are rare in most tadpoles, and they instantly emerge from and switch back to swimming, most frequently within the first second after skin stimulation. Analyses show that only neurons that are active during swimming fire action potentials in synchrony, suggesting both output patterns derive from the same neural circuit. The firing of excitatory descending interneurons (dINs) leads that of other types of neurons in synchrony as it does in swimming. During synchrony, the time window between phasic excitation and inhibition is 7.9 ± 1 ms, shorter than that in swimming (41 ± 2.3 ms). The occasional, extra midcycle firing of dINs during swimming may initiate synchrony, and mismatches of timing in the left and right activity can switch synchrony back to swimming. Computer modeling supports these findings by showing that the same neural network, in which reciprocal inhibition mediates rebound firing, can generate both swimming and synchrony without circuit reconfiguration. Modeling also shows that lengthening the time window between phasic excitation and inhibition by increasing dIN synaptic/conduction delay can improve the stability of synchrony. PMID:24760866
Family structure, nonresident father involvement, and adolescent eating patterns.
Stewart, Susan D; Menning, Chadwick L
2009-08-01
To examine the relationship between family structure, nonresident father involvement, and adolescent eating patterns. Analyses were performed on data from Waves 1 and 2 of the National Longitudinal Study of Adolescent Health (Wave 1, N = approximately 15,550; Wave 2, N = approximately 11,540), and a subsample of adolescents from each wave who had a nonresident father (Wave 1, N = approximately 3,745; Wave 2, N = 2,358). Multivariate regression provides estimates of the independent effects of family structure and nonresident father involvement on adolescent eating patterns while controlling for potentially confounding sociodemographic characteristics. Compared with children in traditional households (i.e., two biological or adoptive parents), adolescents in nontraditional family households (single parent, step-parent, no parent) were more likely to display unhealthy eating habits such as skipping breakfast and lunch, eating fewer vegetables, consuming more fast food, and had less parental monitoring of meals. Nonresident father involvement was associated with an increased frequency of eating breakfast and lunch and increased consumption of vegetables (Wave 1) but did not affect adolescents' consumption of fast food. Child support was positively associated with the odds that adolescents would consume dinner. Adolescents in living in nontraditional families were more likely than adolescents living with two biological/adoptive parents to display unhealthy eating habits. Nonresident father involvement was generally associated with healthier eating patterns. Health professionals should keep in mind that children's and adolescents' living arrangements can be complex and have the potential to affect what and how they eat.
Early stage hot spot analysis through standard cell base random pattern generation
NASA Astrophysics Data System (ADS)
Jeon, Joong-Won; Song, Jaewan; Kim, Jeong-Lim; Park, Seongyul; Yang, Seung-Hune; Lee, Sooryong; Kang, Hokyu; Madkour, Kareem; ElManhawy, Wael; Lee, SeungJo; Kwan, Joe
2017-04-01
Due to limited availability of DRC clean patterns during the process and RET recipe development, OPC recipes are not tested with high pattern coverage. Various kinds of pattern can help OPC engineer to detect sensitive patterns to lithographic effects. Random pattern generation is needed to secure robust OPC recipe. However, simple random patterns without considering real product layout style can't cover patterning hotspot in production levels. It is not effective to use them for OPC optimization thus it is important to generate random patterns similar to real product patterns. This paper presents a strategy for generating random patterns based on design architecture information and preventing hotspot in early process development stage through a tool called Layout Schema Generator (LSG). Using LSG, we generate standard cell based on random patterns reflecting real design cell structure - fin pitch, gate pitch and cell height. The output standard cells from LSG are applied to an analysis methodology to assess their hotspot severity by assigning a score according to their optical image parameters - NILS, MEEF, %PV band and thus potential hotspots can be defined by determining their ranking. This flow is demonstrated on Samsung 7nm technology optimizing OPC recipe and early enough in the process avoiding using problematic patterns.
Cooke, Ian M
2002-04-01
of neurons involved in pattern generation.
The Human Central Pattern Generator for Locomotion.
Minassian, Karen; Hofstoetter, Ursula S; Dzeladini, Florin; Guertin, Pierre A; Ijspeert, Auke
2017-03-01
The ability of dedicated spinal circuits, referred to as central pattern generators (CPGs), to produce the basic rhythm and neural activation patterns underlying locomotion can be demonstrated under specific experimental conditions in reduced animal preparations. The existence of CPGs in humans is a matter of debate. Equally elusive is the contribution of CPGs to normal bipedal locomotion. To address these points, we focus on human studies that utilized spinal cord stimulation or pharmacological neuromodulation to generate rhythmic activity in individuals with spinal cord injury, and on neuromechanical modeling of human locomotion. In the absence of volitional motor control and step-specific sensory feedback, the human lumbar spinal cord can produce rhythmic muscle activation patterns that closely resemble CPG-induced neural activity of the isolated animal spinal cord. In this sense, CPGs in humans can be defined by the activity they produce. During normal locomotion, CPGs could contribute to the activation patterns during specific phases of the step cycle and simplify supraspinal control of step cycle frequency as a feedforward component to achieve a targeted speed. Determining how the human CPGs operate will be essential to advance the theory of neural control of locomotion and develop new locomotor neurorehabilitation paradigms.
Automatic Generation of English-Japanese Translation Pattern Utilizing Genetic Programming Technique
NASA Astrophysics Data System (ADS)
Matsumura, Koki; Tamekuni, Yuji; Kimura, Shuhei
There are a lot of constructional differences in an English-Japanese phrase template, and that often makes the act of translation difficult. Moreover, there exist various and tremendous phrase templates and sentence to be refered to. It is not easy to prepare the corpus that covers the all. Therefore, it is very significant to generate the translation pattern of the sentence pattern automatically from a viewpoint of the translation success rate and the capacity of the pattern dictionary. Then, for the purpose of realizing the automatic generation of the translation pattern, this paper proposed the new method for the generation of the translation pattern by using the genetic programming technique (GP). The technique tries to generate the translation pattern of various sentences which are not registered in the phrase template dictionary automatically by giving the genetic operation to the parsing tree of a basic pattern. The tree consists of the pair of the English-Japanese sentence generated as the first stage population. The analysis tree data base with 50,100,150,200 pairs was prepared as the first stage population. And this system was applied and executed for an English input of 1,555 sentences. As a result, the analysis tree increases from 200 to 517, and the accuracy rate of the translation pattern has improved from 42.57% to 70.10%. And, 86.71% of the generated translations was successfully done, whose meanings are enough acceptable and understandable. It seemed that this proposal technique became a clue to raise the translation success rate, and to find the possibility of the reduction of the analysis tree data base.
ERIC Educational Resources Information Center
Davenport, Mona Yvette
2010-01-01
This study tested the perceptions of involvement components (Non-Academic Facility Usage, Intra-Racial Relations, Campus and Charleston Involvement, Faculty Interaction, Academic Facility Usage, Inter-Racial Relations, Cultural Center Usage, and Athletic Facilities Usage) for first generation and non-first generation African American and Hispanic…
Neural Sequence Generation Using Spatiotemporal Patterns of Inhibition.
Cannon, Jonathan; Kopell, Nancy; Gardner, Timothy; Markowitz, Jeffrey
2015-11-01
Stereotyped sequences of neural activity are thought to underlie reproducible behaviors and cognitive processes ranging from memory recall to arm movement. One of the most prominent theoretical models of neural sequence generation is the synfire chain, in which pulses of synchronized spiking activity propagate robustly along a chain of cells connected by highly redundant feedforward excitation. But recent experimental observations in the avian song production pathway during song generation have shown excitatory activity interacting strongly with the firing patterns of inhibitory neurons, suggesting a process of sequence generation more complex than feedforward excitation. Here we propose a model of sequence generation inspired by these observations in which a pulse travels along a spatially recurrent excitatory chain, passing repeatedly through zones of local feedback inhibition. In this model, synchrony and robust timing are maintained not through redundant excitatory connections, but rather through the interaction between the pulse and the spatiotemporal pattern of inhibition that it creates as it circulates the network. These results suggest that spatially and temporally structured inhibition may play a key role in sequence generation.
A novel method for repeatedly generating speckle patterns used in digital image correlation
NASA Astrophysics Data System (ADS)
Zhang, Juan; Sweedy, Ahmed; Gitzhofer, François; Baroud, Gamal
2018-01-01
Speckle patterns play a key role in Digital Image Correlation (DIC) measurement, and generating an optimal speckle pattern has been the goal for decades now. The usual method of generating a speckle pattern is by manually spraying the paint on the specimen. However, this makes it difficult to reproduce the optimal pattern for maintaining identical testing conditions and achieving consistent DIC results. This study proposed and evaluated a novel method using an atomization system to repeatedly generate speckle patterns. To verify the repeatability of the speckle patterns generated by this system, simulation and experimental studies were systematically performed. The results from both studies showed that the speckle patterns and, accordingly, the DIC measurements become highly accurate and repeatable using the proposed atomization system.
Marino Claverie, Lucila; Knobel, Elizabeth; Takashima, Lorena; Techera, Lorena; Oliver, Marina; Gonzalez, Paula; Romanini, Félix E; Fonseca, María L; Mamani, Marta N
2013-06-01
Changes in nailfold capillaroscopy in systemic sclerosis patients could be related to the disease severity. The aim of this study was to investigate whether patients with "late" scleroderma (SD) pattern have more organ involvement than patients with "early/active" SD pattern. Forty-six Argentinian patients (44 women and 2 men), with a diagnosis of systemic sclerosis, were distributed in two groups based on the presence of late and early/active patterns. Organ involvement was assessed as follows: pulmonary function by chest radiography, high-resolution chest tomography (HRCT), lung volume tests, and diffusing capacity for carbon monoxide (DLCO); esophageal involvement by manometry; and pulmonary arterial hypertension (PAH) by Doppler echocardiography and six-minute walk test. Honeycombing of the lungs evaluated by HRCT was more frequently present in patients with late pattern compared with early/active patients (p = 0.01). We also found statistically significant differences in lung volume tests (p = 0.03) and DLCO (p = 0.02) between the two SD pattern groups. Esophageal manometry showed a significantly higher frequency of motility disorders in the group with late pattern (p = 0.0024). In this study, patients with late pattern had higher frequency of pulmonary and esophageal involvement compared with patients with early/active pattern.
Generation of Customizable Micro-wavy Pattern through Grayscale Direct Image Lithography
He, Ran; Wang, Shunqiang; Andrews, Geoffrey; Shi, Wentao; Liu, Yaling
2016-01-01
With the increasing amount of research work in surface studies, a more effective method of producing patterned microstructures is highly desired due to the geometric limitations and complex fabricating process of current techniques. This paper presents an efficient and cost-effective method to generate customizable micro-wavy pattern using direct image lithography. This method utilizes a grayscale Gaussian distribution effect to model inaccuracies inherent in the polymerization process, which are normally regarded as trivial matters or errors. The measured surface profiles and the mathematical prediction show a good agreement, demonstrating the ability of this method to generate wavy patterns with precisely controlled features. An accurate pattern can be generated with customizable parameters (wavelength, amplitude, wave shape, pattern profile, and overall dimension). This mask-free photolithography approach provides a rapid fabrication method that is capable of generating complex and non-uniform 3D wavy patterns with the wavelength ranging from 12 μm to 2100 μm and an amplitude-to-wavelength ratio as large as 300%. Microfluidic devices with pure wavy and wavy-herringbone patterns suitable for capture of circulating tumor cells are made as a demonstrative application. A completely customized microfluidic device with wavy patterns can be created within a few hours without access to clean room or commercial photolithography equipment. PMID:26902520
Feedback Signal from Motoneurons Influences a Rhythmic Pattern Generator.
Rotstein, Horacio G; Schneider, Elisa; Szczupak, Lidia
2017-09-20
Motoneurons are not mere output units of neuronal circuits that control motor behavior but participate in pattern generation. Research on the circuit that controls the crawling motor behavior in leeches indicated that motoneurons participate as modulators of this rhythmic motor pattern. Crawling results from successive bouts of elongation and contraction of the whole leech body. In the isolated segmental ganglia, dopamine can induce a rhythmic antiphasic activity of the motoneurons that control contraction (DE-3 motoneurons) and elongation (CV motoneurons). The study was performed in isolated ganglia where manipulation of the activity of specific motoneurons was performed in the course of fictive crawling ( crawling ). In this study, the membrane potential of CV was manipulated while crawling was monitored through the rhythmic activity of DE-3. Matching behavioral observations that show that elongation dominates the rhythmic pattern, the electrophysiological activity of CV motoneurons dominates the cycle. Brief excitation of CV motoneurons during crawling episodes resets the rhythmic activity of DE-3, indicating that CV feeds back to the rhythmic pattern generator. CV hyperpolarization accelerated the rhythm to an extent that depended on the magnitude of the cycle period, suggesting that CV exerted a positive feedback on the unit(s) of the pattern generator that controls the elongation phase. A simple computational model was implemented to test the consequences of such feedback. The simulations indicate that the duty cycle of CV depended on the strength of the positive feedback between CV and the pattern generator circuit. SIGNIFICANCE STATEMENT Rhythmic movements of animals are controlled by neuronal networks that have been conceived as hierarchical structures. At the basis of this hierarchy, we find the motoneurons, few neurons at the top control global aspects of the behavior (e.g., onset, duration); and within these two ends, specific neuronal circuits control
Towards multifocal ultrasonic neural stimulation: pattern generation algorithms
NASA Astrophysics Data System (ADS)
Hertzberg, Yoni; Naor, Omer; Volovick, Alexander; Shoham, Shy
2010-10-01
Focused ultrasound (FUS) waves directed onto neural structures have been shown to dynamically modulate neural activity and excitability, opening up a range of possible systems and applications where the non-invasiveness, safety, mm-range resolution and other characteristics of FUS are advantageous. As in other neuro-stimulation and modulation modalities, the highly distributed and parallel nature of neural systems and neural information processing call for the development of appropriately patterned stimulation strategies which could simultaneously address multiple sites in flexible patterns. Here, we study the generation of sparse multi-focal ultrasonic distributions using phase-only modulation in ultrasonic phased arrays. We analyse the relative performance of an existing algorithm for generating multifocal ultrasonic distributions and new algorithms that we adapt from the field of optical digital holography, and find that generally the weighted Gerchberg-Saxton algorithm leads to overall superior efficiency and uniformity in the focal spots, without significantly increasing the computational burden. By combining phased-array FUS and magnetic-resonance thermometry we experimentally demonstrate the simultaneous generation of tightly focused multifocal distributions in a tissue phantom, a first step towards patterned FUS neuro-modulation systems and devices.
Herman, Keith C; Reinke, Wendy M
2017-03-01
For children with the most serious and persistent academic and behavior problems, parent involvement in education, particularly teacher perceptions of involvement, is essential to avert their expected long-term negative outcomes. Despite the widespread interest in and perceived importance of parent involvement in education, however, few experimental studies have evaluated programs and practices to promote it. In this group randomized trial, we examined the effects of the Incredible Years Teacher Classroom Management program (IY TCM) on teacher perceptions of contact and comfort with parents. One hundred five classrooms with 1818 students were randomly assigned to an IY TCM or to a control, business as usual condition. Measures of key constructs included teacher ratings of parent and student behaviors, direct observations in the classroom, and a standardized academic achievement test. Latent transition analysis (LTA) was used to identify patterns of involvement over time and to determine if intervention condition predicted postintervention patterns and transitions. Four patterns of involvement were identified at baseline and at follow-up; parents of students with academic and behavior problems were most likely to be in classes with the least adaptive involvement patterns. Intervention status predicted group membership at follow-up. Specifically, intervention classroom parents were significantly more likely to transition to more adaptive teacher-rated parenting profiles at follow-up compared to control classroom parents. This is the first randomized trial we are aware of that has found that teacher training can alter teacher perceptions of parent involvement patterns. Clinical implications for students with behavior and academic problems are discussed. (PsycINFO Database Record (c) 2017 APA, all rights reserved).
Temperature-dependent regulation of vocal pattern generator.
Yamaguchi, Ayako; Gooler, David; Herrold, Amy; Patel, Shailja; Pong, Winnie W
2008-12-01
Vocalizations of Xenopus laevis are generated by central pattern generators (CPGs). The advertisement call of male X. laevis is a complex biphasic motor rhythm consisting of fast and slow trills (a train of clicks). We found that the trill rate of these advertisement calls is sensitive to temperature and that this rate modification of the vocal rhythms originates in the central pattern generators. In vivo the rates of fast and slow trills increased linearly with an increase in temperature. In vitro a similar linear relation between temperature and compound action potential frequency in the laryngeal nerve was found when fictive advertisement calls were evoked in the isolated brain. Temperature did not limit the contractile properties of laryngeal muscles within the frequency range of vocalizations. We next took advantage of the temperature sensitivity of the vocal CPG in vitro to localize the source of the vocal rhythms. We focused on the dorsal tegmental area of the medulla (DTAM), a brain stem nucleus that is essential for vocal production. We found that bilateral cooling of DTAM reduced both fast and slow trill rates. Thus we conclude that DTAM is a source of biphasic vocal rhythms.
Effects of traffic generation patterns on the robustness of complex networks
NASA Astrophysics Data System (ADS)
Wu, Jiajing; Zeng, Junwen; Chen, Zhenhao; Tse, Chi K.; Chen, Bokui
2018-02-01
Cascading failures in communication networks with heterogeneous node functions are studied in this paper. In such networks, the traffic dynamics are highly dependent on the traffic generation patterns which are in turn determined by the locations of the hosts. The data-packet traffic model is applied to Barabási-Albert scale-free networks to study the cascading failures in such networks and to explore the effects of traffic generation patterns on network robustness. It is found that placing the hosts at high-degree nodes in a network can make the network more robust against both intentional attacks and random failures. It is also shown that the traffic generation pattern plays an important role in network design.
Already at the Table: Patterns of Play and Gambling Involvement Prior to Gambling Expansion.
Nelson, Sarah E; LaPlante, Debi A; Gray, Heather M; Tom, Matthew A; Kleschinsky, John H; Shaffer, Howard J
2018-03-01
During 2011, the Governor of Massachusetts signed a bill to allow casino gambling in the state (Commonwealth of Massachusetts 2011). As a result, two resort casinos will begin operations during 2018 and 2019; a smaller slots parlor began operations during June 2015. Prior to this expansion, gambling was widely available in Massachusetts, through the state lottery, off-track betting, and gambling opportunities available in neighboring states. Within this context, it is important to understand the patterns of gambling involvement in the population prior to gambling expansion. The current study examined gambling involvement, patterns of play, and gambling-related problems prior to gambling expansion among a sample of 511 Massachusetts residents who were members of a statewide Internet panel. To measure patterns of play, we asked questions about past-year games played and frequency of play. To measure breadth of involvement, we assessed the number of different games played. To measure depth of involvement, we measured time spent gambling, amount wagered, and amount won or lost. Principal component analysis revealed four play pattern components accounting for more than 50% of the variance in game play frequency. Multiple regression analyses revealed that component scores composed of casino gambling and skill-based gambling (e.g., poker, sports) variables uniquely contributed to the prediction of gambling-related problems, even when depth of involvement was controlled. However, the addition of breadth of involvement to the model resulted in a model where no set of variables contributed significantly, suggesting a complex relationship among play patterns, depth, and breadth of involvement. The study established discrete and distinguishable gambling play patterns associated with gambling-related problems and identified groups of individuals potentially vulnerable to the effects of gambling expansion.
The New England travel market: generational travel patterns, 1979 to 1996
Rod Warnick
2002-01-01
Generations of travelers who select New England as a primary destination are examined over time from the years of 1979 through 1996 and the analysis serves to update an earlier review of generational travel patterns of the region (Warnick, 1994). Changes in travel patterns are noted by overall adjusted annual change rates by demographic and geographic regions of...
Micropunching lithography for generating micro- and submicron-patterns on polymer substrates.
Chakraborty, Anirban; Liu, Xinchuan; Luo, Cheng
2012-07-02
Conducting polymers have attracted great attention since the discovery of high conductivity in doped polyacetylene in 1977(1). They offer the advantages of low weight, easy tailoring of properties and a wide spectrum of applications(2,3). Due to sensitivity of conducting polymers to environmental conditions (e.g., air, oxygen, moisture, high temperature and chemical solutions), lithographic techniques present significant technical challenges when working with these materials(4). For example, current photolithographic methods, such as ultra-violet (UV), are unsuitable for patterning the conducting polymers due to the involvement of wet and/or dry etching processes in these methods. In addition, current micro/nanosystems mainly have a planar form(5,6). One layer of structures is built on the top surfaces of another layer of fabricated features. Multiple layers of these structures are stacked together to form numerous devices on a common substrate. The sidewall surfaces of the microstructures have not been used in constructing devices. On the other hand, sidewall patterns could be used, for example, to build 3-D circuits, modify fluidic channels and direct horizontal growth of nanowires and nanotubes. A macropunching method has been applied in the manufacturing industry to create macropatterns in a sheet metal for over a hundred years. Motivated by this approach, we have developed a micropunching lithography method (MPL) to overcome the obstacles of patterning conducting polymers and generating sidewall patterns. Like the macropunching method, the MPL also includes two operations (Fig. 1): (i) cutting; and (ii) drawing. The "cutting" operation was applied to pattern three conducting polymers(4), polypyrrole (PPy), Poly(3,4-ethylenedioxythiophen)-poly(4-styrenesulphonate) (PEDOT) and polyaniline (PANI). It was also employed to create Al microstructures(7). The fabricated microstructures of conducting polymers have been used as humidity(8), chemical(8), and glucose
Pattern-set generation algorithm for the one-dimensional multiple stock sizes cutting stock problem
NASA Astrophysics Data System (ADS)
Cui, Yaodong; Cui, Yi-Ping; Zhao, Zhigang
2015-09-01
A pattern-set generation algorithm (PSG) for the one-dimensional multiple stock sizes cutting stock problem (1DMSSCSP) is presented. The solution process contains two stages. In the first stage, the PSG solves the residual problems repeatedly to generate the patterns in the pattern set, where each residual problem is solved by the column-generation approach, and each pattern is generated by solving a single large object placement problem. In the second stage, the integer linear programming model of the 1DMSSCSP is solved using a commercial solver, where only the patterns in the pattern set are considered. The computational results of benchmark instances indicate that the PSG outperforms existing heuristic algorithms and rivals the exact algorithm in solution quality.
Computer Generated Diffraction Patterns Of Rough Surfaces
NASA Astrophysics Data System (ADS)
Rakels, Jan H.
1989-03-01
It is generally accepted, that optical methods are the most promising for the in-process measurement of surface finish. These methods have the advantages of being non-contacting and fast data acquisition. In the Micro-Engineering Centre at the University of Warwick, an optical sensor has been devised which can measure the rms roughness, slope and wavelength of turned and precision ground surfaces. The operation of this device is based upon the Kirchhoff-Fresnel diffraction integral. Application of this theory to ideal turned surfaces is straightforward, and indeed the theoretically calculated diffraction patterns are in close agreement with patterns produced by an actual optical instrument. Since it is mathematically difficult to introduce real surface profiles into the diffraction integral, a computer program has been devised, which simulates the operation of the optical sensor. The program produces a diffraction pattern as a graphical output. Comparison between computer generated and actual diffraction patterns of the same surfaces show a high correlation.
Involvement of Working Memory in College Students' Sequential Pattern Learning and Performance
ERIC Educational Resources Information Center
Kundey, Shannon M. A.; De Los Reyes, Andres; Rowan, James D.; Lee, Bern; Delise, Justin; Molina, Sabrina; Cogdill, Lindsay
2013-01-01
When learning highly organized sequential patterns of information, humans and nonhuman animals learn rules regarding the hierarchical structures of these sequences. In three experiments, we explored the role of working memory in college students' sequential pattern learning and performance in a computerized task involving a sequential…
Piram, M; Hadj-Rabia, S; Boccara, O; Couloigner, V; Hamel-Teillac, D; Bodemer, C
2016-12-01
Identification of patient at risk of subglottic infantile hemangioma (IH) is challenging because subglottic IH can grow fast and cause airway obstruction with a fatal course. To refine the cutaneous IH pattern at risk of subglottic IH. Prospective and retrospective review of patients with cutaneous IH involving the beard area. IHs were classified in the bilateral pattern group (BH) or in the unilateral pattern group (UH). Infantile hemangioma topography, subtype (telangiectatic or tuberous), ear, nose and throat (ENT) manifestations and subglottic involvement were recorded. Thirty-one patients (21 BH and 10 UH) were included during a 20-year span. Nineteen patients (16 BH and 3 UH) had subglottic hemangioma. BH and UH group overlap on the median pattern (tongue, gum, lips, chin and neck). Median pattern, particularly the neck area and telangiectatic subtype of IH were significantly associated with subglottic involvement. Patients presenting with telangiectatic beard IH localized on the median area need early ENT exploration. They should be treated before respiratory symptoms occur. © 2016 European Academy of Dermatology and Venereology.
The New England travel market: changes in generational travel patterns
Rodney B. Warnick
1995-01-01
The purpose of this study was to examine and explore the New England domestic travel market trends, from 1979 through 1991 within the context of generations. The existing travel markets, who travel to New England, are changing by age cohorts and specifically within different generations. The New England changes in generational travel patterns do not reflect national...
2015-01-01
The cercal system of crickets detects low-frequency air currents produced by approaching predators and self-generated air currents during singing, which may provide sensory feedback to the singing motor network. We analyzed the effect of cercal stimulation on singing motor pattern generation to reveal the response of a singing interneuron to predator-like signals and to elucidate the possible role of self-generated air currents during singing. In fictive singing males, we recorded an interneuron of the singing network while applying air currents to the cerci; additionally, we analyzed the effect of abolishing the cercal system in freely singing males. In fictively singing crickets, the effect of short air stimuli is either to terminate prematurely or to lengthen the interchirp interval, depending on their phase in the chirp cycle. Within our stimulation paradigm, air stimuli of different velocities and durations always elicited an inhibitory postsynaptic potential in the singing interneuron. Current injection in the singing interneuron elicited singing motor activity, even during the air current-evoked inhibitory input from the cercal pathway. The disruptive effects of air stimuli on the fictive singing pattern and the inhibitory response of the singing interneuron point toward the cercal system being involved in initiating avoidance responses in singing crickets, according to the established role of cerci in a predator escape pathway. After abolishing the activity of the cercal system, the timing of natural singing activity was not significantly altered. Our study provides no evidence that self-generated cercal sensory activity has a feedback function for singing motor pattern generation. PMID:26334014
Patterning techniques for next generation IC's
NASA Astrophysics Data System (ADS)
Balasinski, A.
2007-12-01
Reduction of linear critical dimensions (CDs) beyond 45 nm would require significant increase of the complexity of pattern definition process. In this work, we discuss the key successor methodology to the current optical lithography, the Double Patterning Technique (DPT). We compare the complexity of CAD solutions, fab equipment, and wafer processing with its competitors, such as the nanoimprint (NIL) and the extreme UV (EUV) techniques. We also look ahead to the market availability for the product families enabled using the novel patterning solutions. DPT is often recognized as the most viable next generation lithography as it utilizes the existing equipment and processes and is considered a stop-gap solution before the advanced NIL or EUV equipment is developed. Using design for manufacturability (DfM) rules, DPT can drive the k1 factor down to 0.13. However, it faces a variety of challenges, from new mask overlay strategies, to layout pattern split, novel OPC, increased CD tolerances, new etch techniques, as well as long processing time, all of which compromise its return on investment (RoI). In contrast, it can be claimed e.g., that the RoI is the highest for the NIL but this technology bears significant risk. For all novel patterning techniques, the key questions remain: when and how should they be introduced, what is their long-term potential, when should they be replaced, and by what successor technology. We summarize the unpublished results of several panel discussions on DPT at the recent SPIE/BACUS conferences.
Wall, Melanie; Cheslack-Postava, Keely; Hu, Mei-Chen; Feng, Tianshu; Griesler, Pamela; Kandel, Denise B
2018-01-01
This study sought to specify (1) the position of nonmedical prescription opioids (NMPO) in drug initiation sequences among Millennials (1979-96), Generation X (1964-79), and Baby Boomers (1949-64) and (2) gender and racial/ethnic differences in sequences among Millennials. Data are from the 2013-2014 National Surveys on Drug Use and Health (n = 73,026). We identified statistically significant drug initiation sequences involving alcohol/cigarettes, marijuana, NMPO, cocaine, and heroin using a novel method distinguishing significant sequences from patterns expected only due to correlations induced by common liability among drugs. Alcohol/cigarettes followed by marijuana was the most common sequence. NMPO or cocaine use after marijuana, and heroin use after NMPO or cocaine, differed by generation. Among successively younger generations, NMPO after marijuana and heroin after NMPO increased. Millennials were more likely to initiate NMPO than cocaine after marijuana; Generation X and Baby Boomers were less likely (odds ratios = 1.4;0.3;0.2). Millennials were more likely than Generation X and Baby Boomers to use heroin after NMPO (hazards ratios = 7.1;3.4;2.5). In each generation, heroin users were far more likely to start heroin after both NMPO and cocaine than either alone. Sequences were similar by gender. Fewer paths were significant among African-Americans. NMPOs play a more prominent role in drug initiation sequences among Millennials than prior generations. Among Millennials, NMPO use is more likely than cocaine to follow marijuana use. In all generations, transition to heroin from NMPO significantly occurs only when both NMPO and cocaine have been used. Delineation of drug sequences suggests optimal points in development for prevention and treatment efforts. Copyright © 2017 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Son, Yurak; Kamano, Takuya; Yasuno, Takashi; Suzuki, Takayuki; Harada, Hironobu
This paper describes the generation of adaptive gait patterns using new Central Pattern Generators (CPGs) including motor dynamic models for a quadruped robot under various environment. The CPGs act as the flexible oscillators of the joints and make the desired angle of the joints. The CPGs are mutually connected each other, and the sets of their coupling parameters are adjusted by genetic algorithm so that the quadruped robot can realize the stable and adequate gait patterns. As a result of generation, the suitable CPG networks for not only a walking straight gait pattern but also rotation gait patterns are obtained. Experimental results demonstrate that the proposed CPG networks are effective to automatically adjust the adaptive gait patterns for the tested quadruped robot under various environment. Furthermore, the target tracking control based on image processing is achieved by combining the generated gait patterns.
Nonlinear ring resonator: spatial pattern generation
NASA Astrophysics Data System (ADS)
Ivanov, Vladimir Y.; Lachinova, Svetlana L.; Irochnikov, Nikita G.
2000-03-01
We consider theoretically spatial pattern formation processes in a unidirectional ring cavity with thin layer of Kerr-type nonlinear medium. Our method is based on studying of two coupled equations. The first is a partial differential equation for temporal dynamics of phase modulation of light wave in the medium. It describes nonlinear interaction in the Kerr-type lice. The second is a free propagation equation for the intracavity field complex amplitude. It involves diffraction effects of light wave in the cavity.
Injury patterns among obese children involved in motor vehicle collisions.
Haricharan, Ramanath N; Griffin, Russell L; Barnhart, Douglas C; Harmon, Carroll M; McGwin, Gerald
2009-06-01
The purpose of this study was to compare injury patterns among obese children to their nonobese counterparts involved in motor vehicle collisions. A nationwide data collection program containing occupant, collision, and injury details from police-reported tow-away crashes between 1997 and 2006 were used. Risk ratios (RRs) and associated 95% confidence intervals (CIs) were adjusted for age, sex, restraint, seat track position, vehicle curb weight, and total velocity change. An estimated 9 million children aged 2 to 17 years (20.2% obese) were involved in motor vehicle collisions during the study period. Among 2-to-5-year-olds, obesity increased the risk of severe head (RR, 3.67; 95% CI, 1.03-13.08) and thoracic (2.27; 1.01-5.08) injuries. Among 6-to-9-year-olds, obesity increased risk of thoracic (2.31; 1.08-4.95) and lower extremity (LE) injuries (1.89; 1.03-3.47). Among 10-to-13-year-olds, obesity increased the risk of severe thoracic (1.98; 1.08-3.65) and LE (6.06; 2.23-16.44) injuries. Among 14-to-17-year-olds, obesity increased risk of severe LE injuries (1.44; 1.04-2.00) but decreased risk of abdominal (0.20; 0.07-0.60) and head (0.33; 0.18-0.60) injuries, very similar to the pattern reported in obese adults. The pattern of obesity-associated injuries changes from a higher risk of head and thoracic injuries among young children to a pattern in late teenagers that is similar to obese adults.
Evolution of central pattern generators and rhythmic behaviours
Katz, Paul S.
2016-01-01
Comparisons of rhythmic movements and the central pattern generators (CPGs) that control them uncover principles about the evolution of behaviour and neural circuits. Over the course of evolutionary history, gradual evolution of behaviours and their neural circuitry within any lineage of animals has been a predominant occurrence. Small changes in gene regulation can lead to divergence of circuit organization and corresponding changes in behaviour. However, some behavioural divergence has resulted from large-scale rewiring of the neural network. Divergence of CPG circuits has also occurred without a corresponding change in behaviour. When analogous rhythmic behaviours have evolved independently, it has generally been with different neural mechanisms. Repeated evolution of particular rhythmic behaviours has occurred within some lineages due to parallel evolution or latent CPGs. Particular motor pattern generating mechanisms have also evolved independently in separate lineages. The evolution of CPGs and rhythmic behaviours shows that although most behaviours and neural circuits are highly conserved, the nature of the behaviour does not dictate the neural mechanism and that the presence of homologous neural components does not determine the behaviour. This suggests that although behaviour is generated by neural circuits, natural selection can act separately on these two levels of biological organization. PMID:26598733
Evolution of central pattern generators and rhythmic behaviours.
Katz, Paul S
2016-01-05
Comparisons of rhythmic movements and the central pattern generators (CPGs) that control them uncover principles about the evolution of behaviour and neural circuits. Over the course of evolutionary history, gradual evolution of behaviours and their neural circuitry within any lineage of animals has been a predominant occurrence. Small changes in gene regulation can lead to divergence of circuit organization and corresponding changes in behaviour. However, some behavioural divergence has resulted from large-scale rewiring of the neural network. Divergence of CPG circuits has also occurred without a corresponding change in behaviour. When analogous rhythmic behaviours have evolved independently, it has generally been with different neural mechanisms. Repeated evolution of particular rhythmic behaviours has occurred within some lineages due to parallel evolution or latent CPGs. Particular motor pattern generating mechanisms have also evolved independently in separate lineages. The evolution of CPGs and rhythmic behaviours shows that although most behaviours and neural circuits are highly conserved, the nature of the behaviour does not dictate the neural mechanism and that the presence of homologous neural components does not determine the behaviour. This suggests that although behaviour is generated by neural circuits, natural selection can act separately on these two levels of biological organization. © 2015 The Author(s).
Controlling flows in microchannels with patterned surface charge and topography.
Stroock, Abraham D; Whitesides, George M
2003-08-01
This Account reviews two procedures for controlling the flow of fluids in microchannels. The first procedure involves patterning the density of charge on the inner surfaces of a channel. These patterns generate recirculating electroosmotic flows in the presence of a steady electric field. The second procedure involves patterning topography on an inner surface of a channel. These patterns generate recirculation in the cross-section of steady, pressure-driven flows. This Account summarizes applications of these flow to mixing and to controlling dispersion (band broadening).
Fox (forkhead) genes are involved in the dorso-ventral patterning of the Xenopus mesoderm.
El-Hodiri, H; Bhatia-Dey, N; Kenyon, K; Ault, K; Dirksen, M; Jamrich, M
2001-01-01
Fox (forkhead/winged helix) genes encode a family of transcription factors that are involved in embryonic pattern formation, regulation of tissue specific gene expression and tumorigenesis. Several of them are transcribed during Xenopus embryogenesis and are important for the patterning of ectoderm, mesoderm and endoderm. We have isolated three forkhead genes that are activated during gastrulation and play an important role in the dorso-ventral patterning of the mesoderm. XFKH1 (FoxA4b), the first vertebrate forkhead gene to be implicated in embryonic pattern formation, is expressed in the Spemann-Mangold organizer region and later in the embryonic notochord. XFKH7, the Xenopus orthologue of the murine Mfh1(Foxc2), is expressed in the presomitic mesoderm, but not in the notochord or lateral plate mesoderm. Finally, XFD-13'(FoxF1b)1 is expressed in the lateral plate mesoderm, but not in the notochord or presomitic mesoderm. Expression pattern and functional experiments indicate that these three forkhead genes are involved in the dorso-ventral patterning of the mesoderm.
Intergenerational Relationship Quality, Gender, and Grandparent Involvement
ERIC Educational Resources Information Center
Barnett, Melissa A.; Scaramella, Laura V.; Neppl, Tricia K.; Ontai, Lenna; Conger, Rand D.
2010-01-01
This prospective, intergenerational study (N = 181) considered how parent (G1, Generation 1) and child (G2, Generation 2) relationship quality during adolescence and adulthood is associated with G1's level of involvement with their 3- to 4-year-old grandchildren (G3, Generation 3). Path model analyses indicated different patterns of results for…
Al-Araji, A; Sharquie, K; Al-Rawi, Z
2003-01-01
Objectives: To determine the prevalence of neurological involvement in Behcet's disease in a prospective study, and to describe the clinical patterns of neurological presentation in this disease in patients attending a multidisciplinary clinic in Baghdad. Methods: All patients attending the clinic who fulfilled the international study group criteria for the diagnosis of Behcet's disease were studied during a two year period starting in April 1999. Patients were assessed neurologically by a neuro-Behcetologist. All those with clinical neurological manifestations were sent for CSF examination, cranial magnetic resonance imaging, and magnetic resonance venography and were followed up to explore the patterns of neurological relapse. Results: 140 patients with Behcet's disease were studied. Their mean age was 34.2 years (range 16 to 66); 105 (75%) were men and 35 (25%) were women. The mean duration of the disease was 4.2 years (range 0.4 to 26). Twenty patients (14%) had neurological involvement (neuro-Behcet's disease); 14 of these (70%) were men and six (30%) women. The mean age at the first neurological presentation was 34.1 years. The mean duration of follow up of patients with neuro-Behcet's disease was 20.7 months. Ten patients with neuro-Behcet's disease (50%) presented with parenchymal CNS involvement, six (30%) with intracranial hypertension, and four (20%) with a mixed pattern of both parenchymal CNS involvement and intracranial hypertension. Conclusions: Careful neurological assessment of patients with Behcet's disease may show a relatively high prevalence of neuro-Behcet features, and though the clinical patterns of presentation are characteristic a mixed pattern may occur. PMID:12700303
2012-01-01
Background Efficient perception of attacking pathogens is essential for plants. Plant defense is evoked by molecules termed elicitors. Endogenous elicitors or damage-associated molecular patterns (DAMPs) originate from plant materials upon injury or pathogen activity. While there are comparably well-characterized examples for DAMPs, often oligogalacturonides (OGAs), generated by the activity of fungal pathogens, endogenous elicitors evoked by bacterial pathogens have been rarely described. In particular, the signal perception and transduction processes involved in DAMP generation are poorly characterized. Results A mutant strain of the phytopathogenic bacterium Xanthomonas campestris pv. campestris deficient in exbD2, which encodes a component of its unusual elaborate TonB system, had impaired pectate lyase activity and caused no visible symptoms for defense on the non-host plant pepper (Capsicum annuum). A co-incubation of X. campestris pv. campestris with isolated cell wall material from C. annuum led to the release of compounds which induced an oxidative burst in cell suspension cultures of the non-host plant. Lipopolysaccharides and proteins were ruled out as elicitors by polymyxin B and heat treatment, respectively. After hydrolysis with trifluoroacetic acid and subsequent HPAE chromatography, the elicitor preparation contained galacturonic acid, the monosaccharide constituent of pectate. OGAs were isolated from this crude elicitor preparation by HPAEC and tested for their biological activity. While small OGAs were unable to induce an oxidative burst, the elicitor activity in cell suspension cultures of the non-host plants tobacco and pepper increased with the degree of polymerization (DP). Maximal elicitor activity was observed for DPs exceeding 8. In contrast to the X. campestris pv. campestris wild type B100, the exbD2 mutant was unable to generate elicitor activity from plant cell wall material or from pectin. Conclusions To our knowledge, this is the
Hill, Andrew
2009-01-01
Although much has been written about the role of therapists in children's recovery from child sexual abuse, relatively little attention has been paid to the role of nonoffending parents. This study investigated the work of a team of therapists who sometimes included such parents in therapy sessions with children. The study sought to understand what factors were influencing the degree and pattern of parental involvement and to understand what effect these patterns of parental involvement were having on the process and outcomes of therapy. The study successfully identified a range of factors influencing the patterns of parental involvement, but more research will be needed to understand the effect on outcomes.
Katz, P S; Frost, W N
1997-10-15
For the mollusc Tritonia diomedea to generate its escape swim motor pattern, interneuron C2, a crucial member of the central pattern generator (CPG) for this rhythmic behavior, must fire repetitive bursts of action potentials. Yet, before swimming, repeated depolarizing current pulses injected into C2 at periods similar those in the swim motor program are incapable of mimicking the firing rate attained by C2 on each cycle of a swim motor program. This resting level of C2 inexcitability is attributable to its own inherent spike frequency adaptation (SFA). Clearly, this property must be altered for the swim behavior to occur. The pathway for initiation of the swimming behavior involves activation of the serotonergic dorsal swim interneurons (DSIs), which are also intrinsic members of the swim CPG. Physiologically appropriate DSI stimulation transiently decreases C2 SFA, allowing C2 to fire at higher rates even when repeatedly depolarized at short intervals. The increased C2 excitability caused by DSI stimulation is mimicked and occluded by serotonin application. Furthermore, the change in excitability is not caused by the depolarization associated with DSI stimulation or serotonin application but is correlated with a decrease in C2 spike afterhyperpolarization. This suggests that the DSIs use serotonin to evoke a neuromodulatory action on a conductance in C2 that regulates its firing rate. This modulatory action of one CPG neuron on another is likely to play a role in configuring the swim circuit into its rhythmic pattern-generating mode and maintaining it in that state.
Patterns of Parental Involvement in Selected OECD Countries: Cross-National Analyses of PISA
ERIC Educational Resources Information Center
Hartas, Dimitra
2015-01-01
Using data from the Programme for International Student Assessment (PISA), patterns of parental involvement were examined in selected OECD countries. The findings showed that, irrespective of educational qualifications, parents were frequently involved in their children's learning at the start of primary school and at age 15. Cross-national…
Fossett, Mark
2011-01-01
This paper considers the potential for using agent models to explore theories of residential segregation in urban areas. Results of generative experiments conducted using an agent-based simulation of segregation dynamics document that varying a small number of model parameters representing constructs from urban-ecological theories of segregation can generate a wide range of qualitatively distinct and substantively interesting segregation patterns. The results suggest how complex, macro-level patterns of residential segregation can arise from a small set of simple micro-level social dynamics operating within particular urban-demographic contexts. The promise and current limitations of agent simulation studies are noted and optimism is expressed regarding the potential for such studies to engage and contribute to the broader research literature on residential segregation. PMID:21379372
Viewing Generativity and Social Capital as Underlying Factors of Parent Involvement
ERIC Educational Resources Information Center
Stevens, Sharon; Patel, Nimisha
2015-01-01
Parent involvement in education is a multifaceted support that has many well-documented benefits for students of all ages. Parent involvement is also a common expression of generativity as defined in Erik Erikson's theory of psychosocial development. The activities parents engage in during their children's educational pursuits, as well as their…
Automating the generation of lexical patterns for processing free text in clinical documents.
Meng, Frank; Morioka, Craig
2015-09-01
Many tasks in natural language processing utilize lexical pattern-matching techniques, including information extraction (IE), negation identification, and syntactic parsing. However, it is generally difficult to derive patterns that achieve acceptable levels of recall while also remaining highly precise. We present a multiple sequence alignment (MSA)-based technique that automatically generates patterns, thereby leveraging language usage to determine the context of words that influence a given target. MSAs capture the commonalities among word sequences and are able to reveal areas of linguistic stability and variation. In this way, MSAs provide a systemic approach to generating lexical patterns that are generalizable, which will both increase recall levels and maintain high levels of precision. The MSA-generated patterns exhibited consistent F1-, F.5-, and F2- scores compared to two baseline techniques for IE across four different tasks. Both baseline techniques performed well for some tasks and less well for others, but MSA was found to consistently perform at a high level for all four tasks. The performance of MSA on the four extraction tasks indicates the method's versatility. The results show that the MSA-based patterns are able to handle the extraction of individual data elements as well as relations between two concepts without the need for large amounts of manual intervention. We presented an MSA-based framework for generating lexical patterns that showed consistently high levels of both performance and recall over four different extraction tasks when compared to baseline methods. © The Author 2015. Published by Oxford University Press on behalf of the American Medical Informatics Association. All rights reserved. For Permissions, please email: journals.permissions@oup.com.
A random generation approach to pattern library creation for full chip lithographic simulation
NASA Astrophysics Data System (ADS)
Zou, Elain; Hong, Sid; Liu, Limei; Huang, Lucas; Yang, Legender; Kabeel, Aliaa; Madkour, Kareem; ElManhawy, Wael; Kwan, Joe; Du, Chunshan; Hu, Xinyi; Wan, Qijian; Zhang, Recoo
2017-04-01
As technology advances, the need for running lithographic (litho) checking for early detection of hotspots before tapeout has become essential. This process is important at all levels—from designing standard cells and small blocks to large intellectual property (IP) and full chip layouts. Litho simulation provides high accuracy for detecting printability issues due to problematic geometries, but it has the disadvantage of slow performance on large designs and blocks [1]. Foundries have found a good compromise solution for running litho simulation on full chips by filtering out potential candidate hotspot patterns using pattern matching (PM), and then performing simulation on the matched locations. The challenge has always been how to easily create a PM library of candidate patterns that provides both comprehensive coverage for litho problems and fast runtime performance. This paper presents a new strategy for generating candidate real design patterns through a random generation approach using a layout schema generator (LSG) utility. The output patterns from the LSG are simulated, and then classified by a scoring mechanism that categorizes patterns according to the severity of the hotspots, probability of their presence in the design, and the likelihood of the pattern causing a hotspot. The scoring output helps to filter out the yield problematic patterns that should be removed from any standard cell design, and also to define potential problematic patterns that must be simulated within a bigger context to decide whether or not they represent an actual hotspot. This flow is demonstrated on SMIC 14nm technology, creating a candidate hotspot pattern library that can be used in full chip simulation with very high coverage and robust performance.
Horn, Kyle G; Memelli, Heraldo; Solomon, Irene C
2012-01-01
Most models of central pattern generators (CPGs) involve two distinct nuclei mutually inhibiting one another via synapses. Here, we present a single-nucleus model of biologically realistic Hodgkin-Huxley neurons with random gap junction coupling. Despite no explicit division of neurons into two groups, we observe a spontaneous division of neurons into two distinct firing groups. In addition, we also demonstrate this phenomenon in a simplified version of the model, highlighting the importance of afterhyperpolarization currents (I(AHP)) to CPGs utilizing gap junction coupling. The properties of these CPGs also appear sensitive to gap junction conductance, probability of gap junction coupling between cells, topology of gap junction coupling, and, to a lesser extent, input current into our simulated nucleus.
Self-organization and progenitor targeting generate stable patterns in planarian regeneration.
Atabay, Kutay Deniz; LoCascio, Samuel A; de Hoog, Thom; Reddien, Peter W
2018-04-27
During animal regeneration, cells must organize into discrete and functional systems. We show that self-organization, along with patterning cues, govern progenitor behavior in planarian regeneration. Surgical paradigms allowed the manipulation of planarian eye regeneration in predictable locations and numbers, generating alternative stable neuroanatomical states for wild-type animals with multiple functional ectopic eyes. We used animals with multiple ectopic eyes and eye transplantation to demonstrate that broad progenitor specification, combined with self-organization, allows anatomy maintenance during regeneration. We propose a model for regenerative progenitors involving (i) migratory targeting cues, (ii) self-organization into existing or regenerating eyes, and (iii) a broad zone, associated with coarse progenitor specification, in which eyes can be targeted by progenitors. These three properties help explain how tissues can be organized during regeneration. Copyright © 2018 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of Science. No claim to original U.S. Government Works.
Central pattern generator for vocalization: Is there a vertebrate morphotype?
Bass, Andrew H.
2014-01-01
Animals that generate acoustic signals for social communication are faced with two essential tasks: generate a temporally precise signal and inform the auditory system about the occurrence of one’s own sonic signal. Recent studies of sound producing fishes delineate a hindbrain network comprised of anatomically distinct compartments coding equally distinct neurophysiological properties that allow an organism to meet these behavioral demands. A set of neural characters comprising a vocal-sonic central pattern generator (CPG) morphotype is proposed for fishes and tetrapods that shares evolutionary developmental origins with pectoral appendage motor systems. PMID:25050813
Generating Customized Verifiers for Automatically Generated Code
NASA Technical Reports Server (NTRS)
Denney, Ewen; Fischer, Bernd
2008-01-01
Program verification using Hoare-style techniques requires many logical annotations. We have previously developed a generic annotation inference algorithm that weaves in all annotations required to certify safety properties for automatically generated code. It uses patterns to capture generator- and property-specific code idioms and property-specific meta-program fragments to construct the annotations. The algorithm is customized by specifying the code patterns and integrating them with the meta-program fragments for annotation construction. However, this is difficult since it involves tedious and error-prone low-level term manipulations. Here, we describe an annotation schema compiler that largely automates this customization task using generative techniques. It takes a collection of high-level declarative annotation schemas tailored towards a specific code generator and safety property, and generates all customized analysis functions and glue code required for interfacing with the generic algorithm core, thus effectively creating a customized annotation inference algorithm. The compiler raises the level of abstraction and simplifies schema development and maintenance. It also takes care of some more routine aspects of formulating patterns and schemas, in particular handling of irrelevant program fragments and irrelevant variance in the program structure, which reduces the size, complexity, and number of different patterns and annotation schemas that are required. The improvements described here make it easier and faster to customize the system to a new safety property or a new generator, and we demonstrate this by customizing it to certify frame safety of space flight navigation code that was automatically generated from Simulink models by MathWorks' Real-Time Workshop.
Wide-field depth-sectioning fluorescence microscopy using projector-generated patterned illumination
NASA Astrophysics Data System (ADS)
Delica, Serafin; Mar Blanca, Carlo
2007-10-01
We present a simple and cost-effective wide-field, depth-sectioning, fluorescence microscope utilizing a commercial multimedia projector to generate excitation patterns on the sample. Highly resolved optical sections of fluorescent pollen grains at 1.9 μm axial resolution are constructed using the structured illumination technique. This requires grid excitation patterns to be scanned across the sample, which is straightforwardly implemented by creating slideshows of gratings at different phases, projecting them onto the sample, and synchronizing camera acquisition with slide transition. In addition to rapid dynamic pattern generation, the projector provides high illumination power and spectral excitation selectivity. We exploit these properties by imaging mouse neural cells in cultures multistained with Alexa 488 and Cy3. The spectral and structural neural information is effectively resolved in three dimensions. The flexibility and commercial availability of this light source is envisioned to open multidimensional imaging to a broader user base.
A visual analytics approach for pattern-recognition in patient-generated data.
Feller, Daniel J; Burgermaster, Marissa; Levine, Matthew E; Smaldone, Arlene; Davidson, Patricia G; Albers, David J; Mamykina, Lena
2018-06-13
To develop and test a visual analytics tool to help clinicians identify systematic and clinically meaningful patterns in patient-generated data (PGD) while decreasing perceived information overload. Participatory design was used to develop Glucolyzer, an interactive tool featuring hierarchical clustering and a heatmap visualization to help registered dietitians (RDs) identify associative patterns between blood glucose levels and per-meal macronutrient composition for individuals with type 2 diabetes (T2DM). Ten RDs participated in a within-subjects experiment to compare Glucolyzer to a static logbook format. For each representation, participants had 25 minutes to examine 1 month of diabetes self-monitoring data captured by an individual with T2DM and identify clinically meaningful patterns. We compared the quality and accuracy of the observations generated using each representation. Participants generated 50% more observations when using Glucolyzer (98) than when using the logbook format (64) without any loss in accuracy (69% accuracy vs 62%, respectively, p = .17). Participants identified more observations that included ingredients other than carbohydrates using Glucolyzer (36% vs 16%, p = .027). Fewer RDs reported feelings of information overload using Glucolyzer compared to the logbook format. Study participants displayed variable acceptance of hierarchical clustering. Visual analytics have the potential to mitigate provider concerns about the volume of self-monitoring data. Glucolyzer helped dietitians identify meaningful patterns in self-monitoring data without incurring perceived information overload. Future studies should assess whether similar tools can support clinicians in personalizing behavioral interventions that improve patient outcomes.
Allendorfer, Jane B.; Kissela, Brett M.; Holland, Scott K.; Szaflarski, Jerzy P.
2012-01-01
Summary Background Post-stroke language functions depend on the relative contributions of the dominant and non-dominant hemispheres. Thus, we aimed to identify the neural correlates of overt and covert verb generation in adult post-stroke aphasia. Material/Methods Sixteen aphasic LMCA stroke patients (SPs) and 32 healthy controls (HCs) underwent language testing followed by fMRI while performing an overt event-related verb generation task (ER-VGT) isolating activations related to noun-verb semantic processing or to articulation and auditory processing, and a covert block design verb generation task (BD-VGT). Results BD-VGT activation patterns were consistent with previous studies, while ER-VGT showed different patterns in SPs relative to HCs including less left-hemispheric involvement during semantic processing and predominantly right-sided activation related to articulation and auditory processing. ER-VGT intra-scanner performance was positively associated with activation during semantic associations in the left middle temporal gyrus for HCs (p=0.031) and left middle frontal gyrus for SPs (p=0.042). Increased activation in superior frontal/cingulate gyri was associated with better intra-scanner performance (p=0.020). Lesion size negatively impacted verbal fluency tested with Controlled Oral Word Association Test (p=0.0092) and the Semantic Fluency Test (p=0.033) and trended towards a negative association with verb generation performance on the event-related verb generation task (p=0.081). Conclusions Greater retention of pre-stroke language skills is associated with greater involvement of the left hemisphere with different cortical recruitment patterns observed in SPs versus HCs. Post-stroke verbal fluency may depend more upon the structural and functional integrity of the dominant left hemisphere language network rather than the shift to contralateral homologues. PMID:22367124
ERIC Educational Resources Information Center
Updegraff, Kimberly A.; Perez-Brena, Norma J.; Baril, Megan E.; McHale, Susan M.; Umana-Taylor, Adriana J.
2012-01-01
Using latent profile analysis, the authors examined patterns of mother-father involvement in adolescents' peer relationships along three dimensions--support, guidance, and restrictions--in 240 Mexican-origin families. Three profiles were identified: (a) High Mother Involvement (mothers higher than fathers on all three dimensions), (b) High…
Central pattern generator for vocalization: is there a vertebrate morphotype?
Bass, Andrew H
2014-10-01
Animals that generate acoustic signals for social communication are faced with two essential tasks: generate a temporally precise signal and inform the auditory system about the occurrence of one's own sonic signal. Recent studies of sound producing fishes delineate a hindbrain network comprised of anatomically distinct compartments coding equally distinct neurophysiological properties that allow an organism to meet these behavioral demands. A set of neural characters comprising a vocal-sonic central pattern generator (CPG) morphotype is proposed for fishes and tetrapods that shares evolutionary developmental origins with pectoral appendage motor systems. Copyright © 2014 Elsevier Ltd. All rights reserved.
Motor Neurons Tune Premotor Activity in a Vertebrate Central Pattern Generator
2017-01-01
Central patterns generators (CPGs) are neural circuits that drive rhythmic motor output without sensory feedback. Vertebrate CPGs are generally believed to operate in a top-down manner in which premotor interneurons activate motor neurons that in turn drive muscles. In contrast, the frog (Xenopus laevis) vocal CPG contains a functionally unexplored neuronal projection from the motor nucleus to the premotor nucleus, indicating a recurrent pathway that may contribute to rhythm generation. In this study, we characterized the function of this bottom-up connection. The X. laevis vocal CPG produces a 50–60 Hz “fast trill” song used by males during courtship. We recorded “fictive vocalizations” in the in vitro CPG from the laryngeal nerve while simultaneously recording premotor activity at the population and single-cell level. We show that transecting the motor-to-premotor projection eliminated the characteristic firing rate of premotor neurons. Silencing motor neurons with the intracellular sodium channel blocker QX-314 also disrupted premotor rhythms, as did blockade of nicotinic synapses in the motor nucleus (the putative location of motor neuron-to-interneuron connections). Electrically stimulating the laryngeal nerve elicited primarily IPSPs in premotor neurons that could be blocked by a nicotinic receptor antagonist. Our results indicate that an inhibitory signal, activated by motor neurons, is required for proper CPG function. To our knowledge, these findings represent the first example of a CPG in which precise premotor rhythms are tuned by motor neuron activity. SIGNIFICANCE STATEMENT Central pattern generators (CPGs) are neural circuits that produce rhythmic behaviors. In vertebrates, motor neurons are not commonly known to contribute to CPG function, with the exception of a few spinal circuits where the functional significance of motor neuron feedback is still poorly understood. The frog hindbrain vocal circuit contains a previously unexplored
Central Pattern Generation and the Motor Infrastructure for Suck, Respiration, and Speech
ERIC Educational Resources Information Center
Barlow, Steven M.; Estep, Meredith
2006-01-01
The objective of the current report is to review experimental findings on centrally patterned movements and sensory and descending modulation of central pattern generators (CPGs) in a variety of animal and human models. Special emphasis is directed toward speech production muscle systems, including the chest wall and orofacial complex during…
Paceley, Megan S; Oswald, Ramona Faith; Hardesty, Jennifer L
2014-01-01
Little is known about involvement in LGBTQ organizations. Factors associated with involvement in nonmetropolitan LGBTQ organizations were examined using logistic regression and survey data from 426 LGBTQ individuals residing in a nonmetropolitan region. Involvement was examined in five types of organizations (professional, social/recreational, religious, political, and community center/charity). The same model testing proximity, generativity, minority stress, and social location hypotheses was repeated for each organization type. Results demonstrate that the generativity hypothesis is most strongly supported. Indeed, emotional attachment to the LGBTQ community significantly increased the odds of involvement in every type of organization. However, the factors associated with involvement otherwise differed by organization type. Implications for organizational leaders are discussed.
Symmetry in locomotor central pattern generators and animal gaits
NASA Astrophysics Data System (ADS)
Golubitsky, Martin; Stewart, Ian; Buono, Pietro-Luciano; Collins, J. J.
1999-10-01
Animal locomotion is controlled, in part, by a central pattern generator (CPG), which is an intraspinal network of neurons capable of generating a rhythmic output. The spatio-temporal symmetries of the quadrupedal gaits walk, trot and pace lead to plausible assumptions about the symmetries of locomotor CPGs. These assumptions imply that the CPG of a quadruped should consist of eight nominally identical subcircuits, arranged in an essentially unique matter. Here we apply analogous arguments to myriapod CPGs. Analyses based on symmetry applied to these networks lead to testable predictions, including a distinction between primary and secondary gaits, the existence of a new primary gait called `jump', and the occurrence of half-integer wave numbers in myriapod gaits. For bipeds, our analysis also predicts two gaits with the out-of-phase symmetry of the walk and two gaits with the in-phase symmetry of the hop. We present data that support each of these predictions. This work suggests that symmetry can be used to infer a plausible class of CPG network architectures from observed patterns of animal gaits.
Order parameter for bursting polyrhythms in multifunctional central pattern generators
NASA Astrophysics Data System (ADS)
Wojcik, Jeremy; Clewley, Robert; Shilnikov, Andrey
2011-05-01
We examine multistability of several coexisting bursting patterns in a central pattern generator network composed of three Hodgkin-Huxley type cells coupled reciprocally by inhibitory synapses. We establish that the control of switching between bursting polyrhythms and their bifurcations are determined by the temporal characteristics, such as the duty cycle, of networked interneurons and the coupling strength asymmetry. A computationally effective approach to the reduction of dynamics of the nine-dimensional network to two-dimensional Poincaré return mappings for phase lags between the interneurons is presented.
Initial benchmarking of a new electron-beam raster pattern generator for 130-100 nm maskmaking
NASA Astrophysics Data System (ADS)
Sauer, Charles A.; Abboud, Frank E.; Babin, Sergey V.; Chakarian, Varoujan; Ghanbari, Abe; Innes, Robert; Trost, David; Raymond, Frederick, III
2000-07-01
The decision by the Semiconductor Industry Association (SIA) to accelerate the continuing evolution to smaller linewidths is consistent with the commitment by Etec Systems, Inc. to rapidly develop new technologies for pattern generation systems with improved resolution, critical dimension (CD) uniformity, positional accuracy, and throughput. Current pattern generation designs are inadequate to meet the more advanced requirements for masks, particularly at or below the 100 nm node. Major changes to all pattern generation tools will be essential to meet future market requirements. An electron-beam (e-beam) system that is designed to meet the challenges for 130 - 100 nm device generation with extendibility to the 70-nm range will be discussed. This system has an architecture that includes a graybeam writing strategy, a new state system, and improved thermal management. Detailed changes include a pulse width modulated blanking system, per-pixel deflection, retrograde scanning multipass writing, and a column with a 50 kV accelerating voltage that supports a dose of up to 45 (mu) C/cm2 with minimal amounts of resist heating. This paper examines current issues, our approach to meeting International Technology Roadmap for Semiconductors (ITRS) requirements, and some preliminary results from a new pattern generator.
Direct generation of abruptly focusing vortex beams using a 3/2 radial phase-only pattern.
Davis, Jeffrey A; Cottrell, Don M; Zinn, Jonathan M
2013-03-20
Abruptly focusing Airy beams have previously been generated using a radial cubic phase pattern that represents the Fourier transform of the Airy beam. The Fourier transform of this pattern is formed using a system length of 2f, where f is the focal length of the Fourier transform lens. In this work, we directly generate these abruptly focusing Airy beams using a 3/2 radial phase pattern encoded onto a liquid crystal display. The resulting optical system is much shorter. In addition, we can easily produce vortex patterns at the focal point of these beams. Experimental results match theoretical predictions.
From Central Pattern Generator to Sensory Template in the Evolution of Birdsong
ERIC Educational Resources Information Center
Konishi, Masakazu
2010-01-01
Central nervous networks, be they a part of the human brain or a group of neurons in a snail, may be designed to produce distinct patterns of movement. Central pattern generators can account for the development and production of normal vocal signals without auditory feedback in non-songbirds. Songbirds need auditory feedback to develop and…
NASA Astrophysics Data System (ADS)
Latrubesse, E. M.; Pereira, M.; Ramonell, C. G.; Szupiany, R. N.
2011-12-01
A new category of "very large" rivers was recently proposed and defined as mega-rivers, which are those rivers with a Qmean of more than ~17,000m3/s. This category includes the nine largest rivers on Earth and the Parana River is one of the selected members of that peculiar group. The planform adjustment of mega-rivers is a variety of anabranching patterns characterized by the existence of alluvial islands. The processes and mechanisms involved in the generation of the different anabranching styles, however, are not well understood. The Paraná channel pattern has been classified as a low to moderate anabranching, low sinuosity with tendency to braided and having a meandering thalweg. We analyzed a reach of the middle Paraná in Argentina applying a combined multitemporal, hydraulic, sedimentologic and geomorphologic approach. Multitemporal geomorphologic maps, sedimentary descriptions of bars, islands and banks, volumetric calculations using multitemporal bathymetric charts, measurements with ADCP and bathymetric surveys with echosound, sediment transport estimations and the hydrological analysis of available data from gauge stations were some of the tools used in our research. The evolution of the reach was studied from 1908 to present. The reach is subdivided in two sub-reaches (named Chapeton and Curtiembre) which are comprised between nodal points. Chapeton has been in a more mature quasi-equilibrium state through the XX Century but the main channel in Curtiembre evolved from a single pattern to anabranching pattern since 1950s. We conclude that the generation of the anabranching pattern in the studied reach depends of a combination of factors such as the architecture of the floodplain and islands, the main role played by the morphodynamics and shifting of the thalweg, the availability and path of sandy sediments bedforms architecture and the temporal variability of the effective discharge among other secondary factors. A feedback system coupling erosional
Principal-Generated YouTube Video as a Method of Improving Parental Involvement
ERIC Educational Resources Information Center
Richards, Joey
2013-01-01
The purpose of this study was to evaluate the involvement level of parents and reveal whether principal-generated YouTube videos for regular communication would enhance levels of parental involvement at one North Texas Christian Middle School (pseudonym). The following questions guided this study: 1. What is the beginning level of parental…
Optimum projection pattern generation for grey-level coded structured light illumination systems
NASA Astrophysics Data System (ADS)
Porras-Aguilar, Rosario; Falaggis, Konstantinos; Ramos-Garcia, Ruben
2017-04-01
Structured light illumination (SLI) systems are well-established optical inspection techniques for noncontact 3D surface measurements. A common technique is multi-frequency sinusoidal SLI that obtains the phase map at various fringe periods in order to estimate the absolute phase, and hence, the 3D surface information. Nevertheless, multi-frequency SLI systems employ multiple measurement planes (e.g. four phase shifted frames) to obtain the phase at a given fringe period. It is therefore an age old challenge to obtain the absolute surface information using fewer measurement frames. Grey level (GL) coding techniques have been developed as an attempt to reduce the number of planes needed, because a spatio-temporal GL sequence employing p discrete grey-levels and m frames has the potential to unwrap up to pm fringes. Nevertheless, one major disadvantage of GL based SLI techniques is that there are often errors near the border of each stripe, because an ideal stepwise intensity change cannot be measured. If the step-change in intensity is a single discrete grey-level unit, this problem can usually be overcome by applying an appropriate threshold. However, severe errors occur if the intensity change at the border of the stripe exceeds several discrete grey-level units. In this work, an optimum GL based technique is presented that generates a series of projection patterns with a minimal gradient in the intensity. It is shown that when using this technique, the errors near the border of the stripes can be significantly reduced. This improvement is achieved with the choice generated patterns, and does not involve additional hardware or special post-processing techniques. The performance of that method is validated using both simulations and experiments. The reported technique is generic, works with an arbitrary number of frames, and can employ an arbitrary number of grey-levels.
Diffractive elements for generating microscale laser beam patterns: a Y2K problem
NASA Astrophysics Data System (ADS)
Teiwes, Stephan; Krueger, Sven; Wernicke, Guenther K.; Ferstl, Margit
2000-03-01
Lasers are widely used in industrial fabrication for engraving, cutting and many other purposes. However, material processing at very small scales is still a matter of concern. Advances in diffractive optics could provide for laser systems that could be used for engraving or cutting of micro-scale patterns at high speeds. In our paper we focus on the design of diffractive elements which can be used for this special application. It is a common desire in material processing to apply 'discrete' as well as 'continuous' beam patterns. Especially, the latter case is difficult to handle as typical micro-scale patterns are characterized by bad band-limitation properties, and as speckles can easily occur in beam patterns. It is shown in this paper that a standard iterative design method usually fails to obtain diffractive elements that generate diffraction patterns with acceptable quality. Insights gained from an analysis of the design problems are used to optimize the iterative design method. We demonstrate applicability and success of our approach by the design of diffractive phase elements that generate a discrete and a continuous 'Y2K' pattern.
ERIC Educational Resources Information Center
Kalil, Ariel; Ziol-Guest, Kathleen M.; Coley, Rebekah Levine
2005-01-01
Based on adolescent mothers' reports, longitudinal patterns of involvement of young, unmarried biological fathers (n=77) in teenage-mother families using cluster analytic techniques were examined. Approximately one third of fathers maintained high levels of involvement over time, another third demonstrated low involvement at both time points, and…
Phase velocity nonuniformity-resulted beam patterns in difference frequency generation.
Lu, Daquan; Qian, Liejia; Li, Yongzhong; Yang, Hua; Zhu, Heyuan; Fan, Dianyuan
2007-04-16
The evolution of the difference frequency generation between a planar pump wave and a focused signal wave has been numerically investigated in this paper. We show that, at the difference frequency wave, various beam patterns such as ring and moon-like, are resulted due to the nonuniform distribution of phase velocity in the focused signal wave. The subluminal and superluminal regions can be identified by the intersection of two generated beam profiles that correspond to a pair of phase-mismatches with equal value but opposite signs.
González Pericot, N; Villoria Sáez, P; Del Río Merino, M; Liébana Carrasco, O
2014-11-01
The construction sector is responsible for around 28% of the total waste volume generated in Europe, which exceeds the amount of household waste. This has led to an increase of different research studies focusing on construction waste quantification. However, within the research studies made, packaging waste has been analyzed to a limited extent. This article focuses on the packaging waste stream generated in the construction sector. To this purpose current on-site waste packaging management has been assessed by monitoring ten Mediterranean residential building works. The findings of the experimental data collection revealed that the incentive measures implemented by the construction company to improve on-site waste sorting failed to achieve the intended purpose, showing low segregation ratios. Subsequently, through an analytical study the generation patterns for packaging waste are established, leading to the identification of the prevailing kinds of packaging and the products responsible for their generation. Results indicate that plastic waste generation maintains a constant trend throughout the whole construction process, while cardboard becomes predominant towards the end of the construction works with switches and sockets from the electricity stage. Understanding the production patterns of packaging waste will be beneficial for adapting waste management strategies to the identified patterns for the specific nature of packaging waste within the context of construction worksites. Copyright © 2014 Elsevier Ltd. All rights reserved.
NASA Astrophysics Data System (ADS)
Zhou, Yuping; Zhang, Qi
2018-04-01
In the information environment, digital and information processing to Li brocade patterns reveals an important means of Li ethnic style and inheriting the national culture. Adobe Illustrator CS3 and Java language were used in the paper to make "variation" processing to Li brocade patterns, and generate "Li brocade pattern mutant genes". The generation of pattern mutant genes includes color mutation, shape mutation, adding and missing transform, and twisted transform, etc. Research shows that Li brocade pattern mutant genes can be generated by using the Adobe Illustrator CS3 and the image processing tools of Java language edit, etc.
Westcott, Nathan P; Pulsipher, Abigail; Lamb, Brian M; Yousaf, Muhammad N
2008-09-02
An expedient and inexpensive method to generate patterned aldehydes on self-assembled monolayers (SAMs) of alkanethiolates on gold with control of density for subsequent chemoselective immobilization from commercially available starting materials has been developed. Utilizing microfluidic cassettes, primary alcohol oxidation of tetra(ethylene glycol) undecane thiol and 11-mercapto-1-undecanol SAMs was performed directly on the surface generating patterned aldehyde groups with pyridinium chlorochromate. The precise density of surface aldehydes generated can be controlled and characterized by electrochemistry. For biological applications, fibroblast cells were seeded on patterned surfaces presenting biospecifc cell adhesive (Arg-Glyc-Asp) RGD peptides.
DOE Office of Scientific and Technical Information (OSTI.GOV)
González Pericot, N., E-mail: natalia.gpericot@upm.es; Villoria Sáez, P., E-mail: paola.villoria@upm.es; Del Río Merino, M., E-mail: mercedes.delrio@upm.es
2014-11-15
Highlights: • On-site segregation level: 1.80%; training and motivation strategies were not effective. • 70% Cardboard waste: from switches and sockets during the building services stage. • 40% Plastic waste: generated during structures and partition works due to palletizing. • >50% Wood packaging waste, basically pallets, generated during the envelope works. - Abstract: The construction sector is responsible for around 28% of the total waste volume generated in Europe, which exceeds the amount of household waste. This has led to an increase of different research studies focusing on construction waste quantification. However, within the research studies made, packaging waste hasmore » been analyzed to a limited extent. This article focuses on the packaging waste stream generated in the construction sector. To this purpose current on-site waste packaging management has been assessed by monitoring ten Mediterranean residential building works. The findings of the experimental data collection revealed that the incentive measures implemented by the construction company to improve on-site waste sorting failed to achieve the intended purpose, showing low segregation ratios. Subsequently, through an analytical study the generation patterns for packaging waste are established, leading to the identification of the prevailing kinds of packaging and the products responsible for their generation. Results indicate that plastic waste generation maintains a constant trend throughout the whole construction process, while cardboard becomes predominant towards the end of the construction works with switches and sockets from the electricity stage. Understanding the production patterns of packaging waste will be beneficial for adapting waste management strategies to the identified patterns for the specific nature of packaging waste within the context of construction worksites.« less
Towards pattern generation and chaotic series prediction with photonic reservoir computers
NASA Astrophysics Data System (ADS)
Antonik, Piotr; Hermans, Michiel; Duport, François; Haelterman, Marc; Massar, Serge
2016-03-01
Reservoir Computing is a bio-inspired computing paradigm for processing time dependent signals that is particularly well suited for analog implementations. Our team has demonstrated several photonic reservoir computers with performance comparable to digital algorithms on a series of benchmark tasks such as channel equalisation and speech recognition. Recently, we showed that our opto-electronic reservoir computer could be trained online with a simple gradient descent algorithm programmed on an FPGA chip. This setup makes it in principle possible to feed the output signal back into the reservoir, and thus highly enrich the dynamics of the system. This will allow to tackle complex prediction tasks in hardware, such as pattern generation and chaotic and financial series prediction, which have so far only been studied in digital implementations. Here we report simulation results of our opto-electronic setup with an FPGA chip and output feedback applied to pattern generation and Mackey-Glass chaotic series prediction. The simulations take into account the major aspects of our experimental setup. We find that pattern generation can be easily implemented on the current setup with very good results. The Mackey-Glass series prediction task is more complex and requires a large reservoir and more elaborate training algorithm. With these adjustments promising result are obtained, and we now know what improvements are needed to match previously reported numerical results. These simulation results will serve as basis of comparison for experiments we will carry out in the coming months.
Chen, Sue-Hui; Chiou, Chii-Jun
2010-04-01
Children of the so-called "net generation" began joining the nurse workforce from the mid-1990s. Studies on the characteristics of this generation have been done primarily outside of Taiwan, and results may not adequately reflect conditions in Taiwan due to cultural differences. This study aimed to investigate the relationships amongst work values, job characteristics and job involvement in "net generation" nurses. This study employed a cross-sectional design. A randomized sample of 370 nurses born between 1977 and 1985 working in a medical center or a community hospital in Southern Taiwan accepted our invitation to join this study. A structured questionnaire was used to collect data. (1) Variables including work values, job characteristics, head nurse leadership qualities, job structure and opportunities for in-service education all correlated significantly with job involvement. (2) Regression analysis showed work values, job characteristics, head nurse leadership and religious belief to be significant predictors of job involvement, explaining 22.6% of the variance. This study provides insights that may be of potential value to nursing administrators. We suggest that administrators adopt democratic management practices, build diverse learning methods, strengthen autonomy, completeness, and feedback, and provide appropriate work guidance for nurses to increase job involvement.
Vapor-Generator Wand Helps To Reveal Airflow Patterns
NASA Technical Reports Server (NTRS)
Robelen, David B.
1993-01-01
In vapor-generator wand, liquid propylene glycol flows into electrically heated stainless-steel tube. Liquid boils in heated tube, and emerging vapor forms dense, smoke-like fog used to make airflow patterns visible. Built in variety of sizes, suitable for uses ranging from tabletop demonstrations to research in wind tunnels. For best viewing, plume illuminated by bright, focused incandescent spotlight at right angle to viewing direction. Viewing further enhanced by coating walls of test chamber with flat, dark color to minimize reflections and increase contrast.
Motor unit firing rate patterns during voluntary muscle force generation: a simulation study
NASA Astrophysics Data System (ADS)
Hu, Xiaogang; Rymer, William Z.; Suresh, Nina L.
2014-04-01
Objective. Muscle force is generated by a combination of motor unit (MU) recruitment and changes in the discharge rate of active MUs. There have been two basic MU recruitment and firing rate paradigms reported in the literature, which describe the control of the MUs during force generation. The first (termed the reverse ‘onion skin’ profile), exhibits lower firing rates for lower threshold units, with higher firing rates occurring in higher threshold units. The second (termed the ‘onion skin’ profile), exhibits an inverse arrangement, with lower threshold units reaching higher firing rates. Approach. Using a simulation of the MU activity in a hand muscle, this study examined the force generation capacity and the variability of the muscle force magnitude at different excitation levels of the MU pool under these two different MU control paradigms. We sought to determine which rate/recruitment scheme was more efficient for force generation, and which scheme gave rise to the lowest force variability. Main results. We found that the force output of both firing patterns leads to graded force output at low excitation levels, and that the force generation capacity of the two different paradigms diverged around 50% excitation. In the reverse ‘onion skin’ pattern, at 100% excitation, the force output reached up to 88% of maximum force, whereas for the ‘onion skin’ pattern, the force output only reached up to 54% of maximum force at 100% excitation. The force variability was lower at the low to moderate force levels under the ‘onion skin’ paradigm than with the reverse ‘onion skin’ firing patterns, but this effect was reversed at high force levels. Significance. This study captures the influence of MU recruitment and firing rate organization on muscle force properties, and our results suggest that the different firing organizations can be beneficial at different levels of voluntary muscle force generation and perhaps for different tasks.
Evaluating the Evidence Surrounding Pontine Cholinergic Involvement in REM Sleep Generation
Grace, Kevin P.; Horner, Richard L.
2015-01-01
Rapid eye movement (REM) sleep – characterized by vivid dreaming, motor paralysis, and heightened neural activity – is one of the fundamental states of the mammalian central nervous system. Initial theories of REM sleep generation posited that induction of the state required activation of the “pontine REM sleep generator” by cholinergic inputs. Here, we review and evaluate the evidence surrounding cholinergic involvement in REM sleep generation. We submit that: (i) the capacity of pontine cholinergic neurotransmission to generate REM sleep has been firmly established by gain-of-function experiments, (ii) the function of endogenous cholinergic input to REM sleep generating sites cannot be determined by gain-of-function experiments; rather, loss-of-function studies are required, (iii) loss-of-function studies show that endogenous cholinergic input to the PTF is not required for REM sleep generation, and (iv) cholinergic input to the pontine REM sleep generating sites serve an accessory role in REM sleep generation: reinforcing non-REM-to-REM sleep transitions making them quicker and less likely to fail. PMID:26388832
Continuities and changes in infant attachment patterns across two generations.
Raby, K Lee; Steele, Ryan D; Carlson, Elizabeth A; Sroufe, L Alan
2015-01-01
This study examined the intergenerational continuities and changes in infant attachment patterns within a higher-risk longitudinal sample of 55 female participants born into poverty. Infant attachment was assessed using the Strange Situation when participants were 12 and 18 months as well as several decades later with participants' children. Paralleling earlier findings from this sample on the stability of attachment patterns from infancy to young adulthood, results provided evidence for intergenerational continuities in attachment disorganization but not security. Children of adults with histories of infant attachment disorganization were at an increased risk of forming disorganized attachments. Although changes in infant attachment patterns across the two generations were not correlated with individuals' caregiving experiences or interpersonal stresses and supports during childhood and adolescence, higher quality social support during adulthood was associated with intergenerational changes from insecure to secure infant-caregiver attachment relationships.
Continuities and Changes in Infant Attachment Patterns Across Two Generations
Raby, K. Lee; Steele, Ryan D.; Carlson, Elizabeth A.; Sroufe, L. Alan
2015-01-01
This study examined the intergenerational continuities and changes in infant attachment patterns within a higher-risk longitudinal sample of 55 female participants born into poverty. Infant attachment was assessed using the Strange Situation when participants were 12 and 18 months as well as several decades later with participants’ children. Paralleling earlier findings from this sample on the stability of attachment patterns from infancy to young adulthood, results provided evidence for intergenerational continuities in attachment disorganization but not security. Children of adults with histories of infant attachment disorganization were at an increased risk of forming disorganized attachments. Although changes in infant attachment patterns across the two generations were not correlated with individuals’ caregiving experiences or interpersonal stresses and supports during childhood and adolescence, higher quality social support during adulthood was associated with intergenerational changes from insecure to secure infant-caregiver attachment relationships. PMID:26213155
Atypical patterns of cardiac involvement in Fabry disease.
Coughlan, J J; Elkholy, K; O'Brien, J; Kiernan, T
2016-03-17
A 58-year-old woman was referred to our cardiology service with chest pain, exertional dyspnoea and palpitations on a background of known Fabry disease diagnosed with genetic testing in 1994. ECG showed sinus rhythm, shortened PR interval, widespread t wave inversion, q waves in the lateral leads and left ventricular hypertrophy (LVH). Coronary angiogram showed only mild atheroma. Transthoracic echocardiogram showed anterolateral LVH and reduced left ventricular cavity size in keeping with Fabry cardiomyopathy. Cardiac MRI demonstrated asymmetric hypertrophy with evidence of diffuse myocardial fibrosis in the maximally hypertrophied segments from base to apex with late gadolinium enhancement in the anterior and anteroseptal walls. This was quite an atypical appearance for Fabry cardiomyopathy. This case highlights the heterogeneity of patterns of cardiac involvement that may be associated with this rare X-linked lysosomal disorder. 2016 BMJ Publishing Group Ltd.
NASA Astrophysics Data System (ADS)
Barada, Daisuke; Yatagai, Toyohiko
2016-09-01
Holographic memory is expected for cold storage because of the features of huge data capacity, high data transfer rate, and long life time. In holographic memory, a signal beam is modulated by a spatial light modulator according to data pages. The recording density is dependent on information amount per pixel in a data page. However, a binary spatial light modulator is used to realize high data transfer rate in general. In our previous study, an optical conversion method from binary data to multilevel data has been proposed. In this paper, the principle of the method is experimentally verified. In the proposed method, a data page consists of symbols with 2x2 pixels and a four-step phase mask is used. Then, the complex amplitudes of four pixels in a symbol become positive real, positive imaginary, negative real, and negative imaginary values, respectively. A square pixel pattern is spread by spatial frequency filtering with a square aperture in a Fourier plane. When the aperture size is too small, the complex amplitude of four pixels in a symbol is superposed and a symbol is regarded as a pixel with a complex number. In this work, a data page pattern with a four-step phase pattern was generated by using a computer-generated circular polarization hologram (CGCPH). The CGCPH was prepared by electron beam lithography. The page data pattern is Fourier transformed by a lens and spatially filtered by a variable rectangular aperture. The complex amplitude of the spatial filtered data page pattern was measured by digital holography and the principle was experimentally verified.
Towards control of dexterous hand manipulations using a silicon Pattern Generator.
Russell, Alexander; Tenore, Francesco; Singhal, Girish; Thakor, Nitish; Etienne-Cummings, Ralph
2008-01-01
This work demonstrates how an in silico Pattern Generator (PG) can be used as a low power control system for rhythmic hand movements in an upper-limb prosthesis. Neural spike patterns, which encode rotation of a cylindrical object, were implemented in a custom Very Large Scale Integration chip. PG control was tested by using the decoded control signals to actuate the fingers of a virtual prosthetic arm. This system provides a framework for prototyping and controlling dexterous hand manipulation tasks in a compact and efficient solution.
Leung, Doris G
2017-07-01
A growing body of the literature supports the use of magnetic resonance imaging as a potential biomarker for disease severity in the hereditary myopathies. We performed a systematic review of the medical literature to evaluate patterns of fat infiltration observed in magnetic resonance imaging studies of muscular dystrophy and congenital myopathy. Searches were performed using MEDLINE, EMBASE, and grey literature databases. Studies that described fat infiltration of muscles in patients with muscular dystrophy or congenital myopathy were selected for full-length review. Data on preferentially involved or spared muscles were extracted for analysis. A total of 2172 titles and abstracts were screened, and 70 publications met our criteria for inclusion in the systematic review. There were 23 distinct genetic disorders represented in this analysis. In most studies, preferential involvement and sparing of specific muscles were reported. We conclude that magnetic resonance imaging studies can be used to identify distinct patterns of muscle involvement in the hereditary myopathies. However, larger studies and standardized methods of reporting are needed to develop imaging as a diagnostic tool in these diseases.
Silicon central pattern generators for cardiac diseases
Nogaret, Alain; O'Callaghan, Erin L; Lataro, Renata M; Salgado, Helio C; Meliza, C Daniel; Duncan, Edward; Abarbanel, Henry D I; Paton, Julian F R
2015-01-01
Cardiac rhythm management devices provide therapies for both arrhythmias and resynchronisation but not heart failure, which affects millions of patients worldwide. This paper reviews recent advances in biophysics and mathematical engineering that provide a novel technological platform for addressing heart disease and enabling beat-to-beat adaptation of cardiac pacing in response to physiological feedback. The technology consists of silicon hardware central pattern generators (hCPGs) that may be trained to emulate accurately the dynamical response of biological central pattern generators (bCPGs). We discuss the limitations of present CPGs and appraise the advantages of analog over digital circuits for application in bioelectronic medicine. To test the system, we have focused on the cardio-respiratory oscillators in the medulla oblongata that modulate heart rate in phase with respiration to induce respiratory sinus arrhythmia (RSA). We describe here a novel, scalable hCPG comprising physiologically realistic (Hodgkin–Huxley type) neurones and synapses. Our hCPG comprises two neurones that antagonise each other to provide rhythmic motor drive to the vagus nerve to slow the heart. We show how recent advances in modelling allow the motor output to adapt to physiological feedback such as respiration. In rats, we report on the restoration of RSA using an hCPG that receives diaphragmatic electromyography input and use it to stimulate the vagus nerve at specific time points of the respiratory cycle to slow the heart rate. We have validated the adaptation of stimulation to alterations in respiratory rate. We demonstrate that the hCPG is tuneable in terms of the depth and timing of the RSA relative to respiratory phase. These pioneering studies will now permit an analysis of the physiological role of RSA as well as its any potential therapeutic use in cardiac disease. PMID:25433077
Hedman, Annicka; Kottorp, Anders; Nygård, Louise
2018-05-01
The aims were to describe longitudinal patterns in terms of perceived ability to use everyday technology (ET) and involvement in everyday activities over five years in older adults with mild cognitive impairment (MCI), and to examine the predictive value of these patterns regarding diagnostic outcomes. Thirty older adults diagnosed with MCI at inclusion, reported their perceived ability in using ET and involvement in everyday activities on seven occasions over five years. Individual longitudinal case plots and a pattern-oriented analysis were used to compare the participants' distribution in earlier identified stable/ascending, fluctuating and descending patterns of functioning (year 0-2). Fisher's exact test was used for testing the relation between pattern and diagnostic outcomes. An initial descending pattern of functioning tended to continue; none of these participants later developed a more stable pattern. More congruent trajectories of change appeared over time. Pattern affinity years 0-2 and diagnostic outcome were significantly related (p = .05), with a dementia diagnosis being more likely for those initially displaying an early descending pattern Conclusion: These findings point to a need for early support focusing on the use of ET for persons with MCI who early after diagnosis descend in functioning.
Generation of Earth's first-order biodiversity pattern.
Krug, Andrew Z; Jablonski, David; Valentine, James W; Roy, Kaustuv
2009-01-01
The first-order biodiversity pattern on Earth today and at least as far back as the Paleozoic is the latitudinal diversity gradient (LDG), a decrease in richness of species and higher taxa from the equator to the poles. LDGs are produced by geographic trends in origination, extinction, and dispersal over evolutionary timescales, so that analyses of static patterns will be insufficient to reveal underlying processes. The fossil record of marine bivalve genera, a model system for the analysis of biodiversity dynamics over large temporal and spatial scales, shows that an origination and range-expansion gradient plays a major role in generating the LDG. Peak origination rates and peak diversities fall within the tropics, with range expansion out of the tropics the predominant spatial dynamic thereafter. The origination-diversity link occurs even in a "contrarian" group whose diversity peaks at midlatitudes, an exception proving the rule that spatial variations in origination are key to latitudinal diversity patterns. Extinction rates are lower in polar latitudes (> or =60 degrees ) than in temperate zones and thus cannot create the observed gradient alone. They may, however, help to explain why origination and immigration are evidently damped in higher latitudes. We suggest that species require more resources in higher latitudes, for the seasonality of primary productivity increases by more than an order of magnitude from equatorial to polar regions. Higher-latitude species are generalists that, unlike potential immigrants, are adapted to garner the large share of resources required for incumbency in those regions. When resources are opened up by extinctions, lineages spread chiefly poleward and chiefly through speciation.
Generation of Earth's First-Order Biodiversity Pattern
NASA Astrophysics Data System (ADS)
Krug, Andrew Z.; Jablonski, David; Valentine, James W.; Roy, Kaustuv
2009-02-01
The first-order biodiversity pattern on Earth today and at least as far back as the Paleozoic is the latitudinal diversity gradient (LDG), a decrease in richness of species and higher taxa from the equator to the poles. LDGs are produced by geographic trends in origination, extinction, and dispersal over evolutionary timescales, so that analyses of static patterns will be insufficient to reveal underlying processes. The fossil record of marine bivalve genera, a model system for the analysis of biodiversity dynamics over large temporal and spatial scales, shows that an origination and range-expansion gradient plays a major role in generating the LDG. Peak origination rates and peak diversities fall within the tropics, with range expansion out of the tropics the predominant spatial dynamic thereafter. The origination-diversity link occurs even in a "contrarian" group whose diversity peaks at midlatitudes, an exception proving the rule that spatial variations in origination are key to latitudinal diversity patterns. Extinction rates are lower in polar latitudes (≥60°) than in temperate zones and thus cannot create the observed gradient alone. They may, however, help to explain why origination and immigration are evidently damped in higher latitudes. We suggest that species require more resources in higher latitudes, for the seasonality of primary productivity increases by more than an order of magnitude from equatorial to polar regions. Higher-latitude species are generalists that, unlike potential immigrants, are adapted to garner the large share of resources required for incumbency in those regions. When resources are opened up by extinctions, lineages spread chiefly poleward and chiefly through speciation.
Crashes involving motorised rickshaws in urban India: Characteristics and injury patterns
Schmucker, Uli; Dandona, Rakhi; Kumar, G. Anil; Dandona, Lalit
2011-01-01
Introduction Motorised three-wheeled vehicles (motorised rickshaw) are popular in Asian countries including India. This study aims to describe the crash characteristics and injury patterns for motorised rickshaw occupants and the road users hit-by-motorised rickshaw in urban India. Methods Consecutive cases of road traffic crashes involving motorised rickshaw, irrespective of injury severity, whether alive or dead, presenting to the emergency departments of two large government hospitals and three branches of a private hospital in Hyderabad city were recruited. Crash characteristics, details of injuries, injury severity parameters and outcome were documented in detailed interviews. Results A total of 139 (18%) of the 781 participants recruited were injured as a motorised rickshaw occupant (11%) or were hit by a motorised rickshaw (7%) in 114 crashes involving motorised rickshaw. Amongst motorised rickshaw occupants, single-vehicle collisions (54%) were more frequent than multi-vehicle collisions (46%), with overturning of motorised rickshaw in 73% of the single-vehicle collisions. Mortality (12%), the mean Injury Severity Score (5.8) and rate of multiple injured (60%) indicated a substantial trauma load. No significant differences in injury pattern were found between motorised rickshaw occupants and hit-by-motorised rickshaw subjects, with the pattern being similar to that of the pedestrians and two-wheeled vehicle users. With bivariate analysis for motorised rickshaw occupants, the risk of fatal outcome (odds ratio (OR) 2.60, 95% confidence interval (CI): 0.64–10.54), upper limb injury (OR 2.25, 95% CI: 0.94–5.37) and multiple injuries (OR 2.03, 95% CI 0.85–4.83) was high, although not statistically significant in multi-motorised-vehicle collisions as compared with the single-vehicle collisions or overturning. The risk of having multiple injuries (OR 4.55, 95% CI: 1.15–17.95) was significantly higher in motorised rickshaw occupants involved in front
Toward robust phase-locking in Melibe swim central pattern generator models
NASA Astrophysics Data System (ADS)
Jalil, Sajiya; Allen, Dane; Youker, Joseph; Shilnikov, Andrey
2013-12-01
Small groups of interneurons, abbreviated by CPG for central pattern generators, are arranged into neural networks to generate a variety of core bursting rhythms with specific phase-locked states, on distinct time scales, which govern vital motor behaviors in invertebrates such as chewing and swimming. These movements in lower level animals mimic motions of organs in higher animals due to evolutionarily conserved mechanisms. Hence, various neurological diseases can be linked to abnormal movement of body parts that are regulated by a malfunctioning CPG. In this paper, we, being inspired by recent experimental studies of neuronal activity patterns recorded from a swimming motion CPG of the sea slug Melibe leonina, examine a mathematical model of a 4-cell network that can plausibly and stably underlie the observed bursting rhythm. We develop a dynamical systems framework for explaining the existence and robustness of phase-locked states in activity patterns produced by the modeled CPGs. The proposed tools can be used for identifying core components for other CPG networks with reliable bursting outcomes and specific phase relationships between the interneurons. Our findings can be employed for identifying or implementing the conditions for normal and pathological functioning of basic CPGs of animals and artificially intelligent prosthetics that can regulate various movements.
NASA Astrophysics Data System (ADS)
Izzati, Munifatul; Haryanti, Sri; Parman, Sarjana
2018-05-01
Gracilaria widely known as a source of essential trace elements. However this red seaweeds also has great potential for being developed into commercial products. This study examined the sequential pattern of essential trace elements composition in fresh Gracilaria verrucosa and a selection of its generated products, nemely extracted agar, Gracilaria salt and Gracilaria residue. The sample was collected from a brackish water pond, located in north part Semarang, Central Java. The collected sample was then dried under the sun, and subsequently processed into aformentioned generated products. The Gracilaria salt was obtain by soaking the sun dried Gracilaria overnight in fresh water overnight. The resulted salt solution was then boiled leaving crystal salt. Extracted agar was obtained with alkali agar extraction method. The rest of remaining material was considered as Gracilaria residue. The entire process was repeated 3 times. The compositin of trace elements was examined using ICP-MS Spectrometry. Collected data was then analyzed by ANOVA single factor. Resulting sequential pattern of its essential trace elements composition was compared. A regular table salt was used as controls. Resuts from this study revealed that Gracilaria verrucosa and its all generated products all have similarly patterned the composition of essential trace elements, where Mn>Zn>Cu>Mo. Additionally this pattern is similar to different subspecies of Gracilaria from different location and and different season. However, Gracilaria salt has distinctly different pattern of sequential essential trace elements composition compared to table salt.
NASA Technical Reports Server (NTRS)
Patwardhan, A. R.; Vallurupalli, S.; Evans, J. M.; Bruce, E. N.; Knapp, C. F.
1995-01-01
We investigated the effects of voluntary control of breathing on autonomic function in cardiovascular regulation. Variability in heart rate was compared between 5 min of spontaneous and controlled breathing. During controlled breathing, for 5 min, subjects voluntarily reproduced their own spontaneous breathing pattern (both rate and volume on a breath-by-breath basis). With the use of this experimental design, we could unmask the effects of voluntary override of the spontaneous respiratory pattern generator on autonomic function in cardiovascular regulation without the confounding effects of altered respiratory pattern. Results from 10 subjects showed that during voluntary control of breathing, mean values of heart rate and blood pressure increased, whereas fractal and spectral powers in heart rate in the respiratory frequency region decreased. End-tidal PCO2 was similar during spontaneous and controlled breathing. These results indicate that the act of voluntary control of breathing decreases the influence of the vagal component, which is the principal parasympathetic influence in cardiovascular regulation.
EEG Spectral Generators Involved in Motor Imagery: A swLORETA Study
Cebolla, Ana-Maria; Palmero-Soler, Ernesto; Leroy, Axelle; Cheron, Guy
2017-01-01
In order to characterize the neural generators of the brain oscillations related to motor imagery (MI), we investigated the cortical, subcortical, and cerebellar localizations of their respective electroencephalogram (EEG) spectral power and phase locking modulations. The MI task consisted in throwing a ball with the dominant upper limb while in a standing posture, within an ecological virtual reality (VR) environment (tennis court). The MI was triggered by the visual cues common to the control condition, during which the participant remained mentally passive. As previously developed, our paradigm considers the confounding problem that the reference condition allows two complementary analyses: one which uses the baseline before the occurrence of the visual cues in the MI and control resting conditions respectively; and the other which compares the analog periods between the MI and the control resting-state conditions. We demonstrate that MI activates specific, complex brain networks for the power and phase modulations of the EEG oscillations. An early (225 ms) delta phase-locking related to MI was generated in the thalamus and cerebellum and was followed (480 ms) by phase-locking in theta and alpha oscillations, generated in specific cortical areas and the cerebellum. Phase-locking preceded the power modulations (mainly alpha–beta ERD), whose cortical generators were situated in the frontal BA45, BA11, BA10, central BA6, lateral BA13, and posterior cortex BA2. Cerebellar-thalamic involvement through phase-locking is discussed as an underlying mechanism for recruiting at later stages the cortical areas involved in a cognitive role during MI. PMID:29312028
HOW MICROTUBULE PATTERNS ARE GENERATED
Tilney, Lewis G.
1971-01-01
The axonemes of Raphidiophrys converge near the center of the cell in an electron-opaque material, the centroplast. In order to establish whether this material acts not only to nucleate the microtubules which form the axonemes but also to give the axoneme its characteristic pattern, the microtubules were disassembled with low temperature and stages in their reformation were studied. It was shown that even though the microtubules appear to be nucleated from the centroplast, pattern formation first appeared at a distance from the centroplast. Thus, the axonemal pattern could not be attributed to any prepattern in the centroplast. Rather, the pattern appears to arise by specific interactions between tubules brought about by bridges. It was concluded that each tubule could bind to a maximum of four other tubules and that once one bridge attached to a tubule it specified the binding positions of the others, thus giving the characteristic axonemal pattern of Raphidiophrys. PMID:5128354
Flavor changing neutral currents involving heavy quarks with four generations
NASA Astrophysics Data System (ADS)
Arhrib, Abdesslam; Hou, Wei-Shu
2006-07-01
We study various flavor changing neutral currents (FCNC) involving heavy quarks in the Standard Model (SM) with a sequential fourth generation. After imposing B→Xsγ, B→Xsl+l- and Z→bbar b constraints, we find Script B(Z→sbar b+bar sb) can be enhanced by an order of magnitude to 10-7, while t→cZ,cH decays can reach 10-6, which are orders of magnitude higher than three generation SM. However, these rates are still not observable for the near future. With the era of Large Hadron Collider approaching, we focus on FCNC decays involving fourth generation b' and t' quarks. We calculate the rates for loop induced FCNC decays b'→bZ, bH, bg, bγ, as well as t'→tZ, tH, tg, tγ. If |Vcb'| is of order |Vcb| simeq 0.04, tree level b'→cW decay would dominate, posing a challenge since b-tagging is less effective. For |Vcb'| << |Vcb|, b'→tW would tend to dominate, while b'→t'W* could also open for heavier b', leading to the possibility of quadruple-W signals via b'bar b'→bbar bW+W-W+W-. The FCNC b'→bZ,bH decays could still dominate if mb' is just above 200 GeV. For the case of t', in general t'→bW would be dominant, hence it behaves like a heavy top. For both b' and t', except for the intriguing light b' case, FCNC decays are typically in the 10-4-10-2 range, and are quite detectable at the LHC. For a possible future International Linear Collider, we find the associated production of FCNC e+e-→bbar s, tbar c are below sensitivity, while e+e-→b'bar b and t'bar t can be better probed. Tevatron Run-II can still probe the lighter b' or t' scenario. LHC would either discover the fourth generation and measure the FCNC rates, or rule out the fourth generation conclusively. If discovered, the ILC can study the b' or t' decay modes in detail.
Takahashi, Yu; Yasuhiko, Yukuto; Takahashi, Jun; Takada, Shinji; Johnson, Randy L; Saga, Yumiko; Kanno, Jun
2013-08-15
The vertebrae are derived from the sclerotome of somites. Formation of the vertebral body involves a process called resegmentation, by which the caudal half of a sclerotome is combined with the rostral half of the next sclerotome. To elucidate the relationship between resegmentation and rostro-caudal patterning of somite, we used the Uncx4.1-LacZ transgene to characterize the resegmentation process. Our observations suggested that in the thoracic and lumbar vertebrae, the Uncx4.1-expressing caudal sclerotome gave rise to the intervertebral disc (IVD) and rostral portion of the vertebral body (VB). In the cervical vertebrae, the Uncx4.1-expressing caudal sclerotome appeared to contribute to the IVD and both caudal and rostral ends of the VB. This finding suggests that the rostro-caudal gene expression boundary does not necessarily coincide with the resegmentation boundary. This conclusion was supported by analyses of Mesp2 KO and Ripply1/2 double KO embryos lacking rostral and caudal properties, respectively. Resegmentation was not observed in Mesp2 KO embryos, but both the IVD and whole VB were formed from the caudalized sclerotome. Expression analysis of IVD marker genes including Pax1 in the wild-type, Mesp2 KO, and Ripply1/2 DKO embryos also supported the idea that a metameric pattern of IVD/VB is generated independently of Mesp2/Ripply-mediated rostro-caudal patterning of somite. However, in the lumbar region, IVD differentiation appeared to be stimulated by the caudal property and suppressed by the rostral property. Therefore, we propose that rostro-caudal patterning of somites is not a prerequisite for metameric patterning of the IVD and VB, but instead required to stimulate IVD differentiation in the caudal half of the sclerotome. Copyright © 2013 Elsevier Inc. All rights reserved.
Schöneich, Stefan; Hedwig, Berthold
2012-01-01
The singing behavior of male crickets allows analyzing a central pattern generator (CPG) that was shaped by sexual selection for reliable production of species-specific communication signals. After localizing the essential ganglia for singing in Gryllus bimaculatus, we now studied the calling song CPG at the cellular level. Fictive singing was initiated by pharmacological brain stimulation. The motor pattern underlying syllables and chirps was recorded as alternating spike bursts of wing-opener and wing-closer motoneurons in a truncated wing nerve; it precisely reflected the natural calling song. During fictive singing, we intracellularly recorded and stained interneurons in thoracic and abdominal ganglia and tested their impact on the song pattern by intracellular current injections. We identified three interneurons of the metathoracic and first unfused abdominal ganglion that rhythmically de- and hyperpolarized in phase with the syllable pattern and spiked strictly before the wing-opener motoneurons. Depolarizing current injection in two of these opener interneurons caused additional rhythmic singing activity, which reliably reset the ongoing chirp rhythm. The closely intermeshing arborizations of the singing interneurons revealed the dorsal midline neuropiles of the metathoracic and three most anterior abdominal neuromeres as the anatomical location of singing pattern generation. In the same neuropiles, we also recorded several closer interneurons that rhythmically hyper- and depolarized in the syllable rhythm and spiked strictly before the wing-closer motoneurons. Some of them received pronounced inhibition at the beginning of each chirp. Hyperpolarizing current injection in the dendrite revealed postinhibitory rebound depolarization as one functional mechanism of central pattern generation in singing crickets. PMID:23170234
Leech, Rebecca M; McNaughton, Sarah A; Crawford, David A; Campbell, Karen J; Pearson, Natalie; Timperio, Anna
2014-04-01
Involvement in meal preparation and eating meals with the family are associated with better dietary patterns in adolescents, however little research has included older children or longitudinal study designs. This 3-year longitudinal study examines cross-sectional and longitudinal associations between family food involvement, family dinner meal frequency and dietary patterns during late childhood. Questionnaires were completed by parents of 188 children from Greater Melbourne, Australia at baseline in 2002 (mean age=11.25years) and at follow-up in 2006 (mean age=14.16years). Principal components analysis (PCA) was used to identify dietary patterns. Factor analysis (FA) was used to determine the principal factors from six indicators of family food involvement. Multiple linear regression models were used to predict the dietary patterns of children and adolescents at baseline and at follow-up, 3years later, from baseline indicators of family food involvement and frequency of family dinner meals. PCA revealed two dietary patterns, labeled a healthful pattern and an energy-dense pattern. FA revealed one factor for family food involvement. Cross-sectionally among boys, family food involvement score (β=0.55, 95% CI: 0.02, 1.07) and eating family dinner meals daily (β=1.11, 95% CI: 0.27, 1.96) during late childhood were positively associated with the healthful pattern. Eating family dinner meals daily was inversely associated with the energy-dense pattern, cross-sectionally among boys (β=-0.56, 95% CI: -1.06, -0.06). No significant cross-sectional associations were found among girls and no significant longitudinal associations were found for either gender. Involvement in family food and eating dinner with the family during late childhood may have a positive influence on dietary patterns of boys. No evidence was found to suggest the effects on dietary patterns persist into adolescence. Copyright © 2014 Elsevier Ltd. All rights reserved.
Central pattern generators for social vocalization: Androgen-dependent neurophysiological mechanisms
Bass, Andrew H.; Remage-Healey, Luke
2008-01-01
Historically, most studies of vertebrate central pattern generators (CPGs) have focused on mechanisms for locomotion and respiration. Here, we highlight new results for ectothermic vertebrates, namely teleost fish and amphibians, showing how androgenic steroids can influence the temporal patterning of CPGs for social vocalization. Investigations of vocalizing teleosts show how androgens can rapidly (within minutes) modulate the neurophysiological output of the vocal CPG (fictive vocalizations that mimic the temporal properties of natural vocalizations) inclusive of their divergent actions between species, as well as intraspecific differences between male reproductive morphs. Studies of anuran amphibians (frogs) demonstrate that long-term steroid treatments (wks) can masculinize the fictive vocalizations of females, inclusive of its sensitivity to rapid modulation by serotonin. Given the conserved organization of vocal control systems across vertebrate groups, the vocal CPGs of fish and amphibians provide tractable models for identifying androgen-dependent events that are fundamental to the mechanisms of vocal motor patterning. These basic mechanisms can also inform our understanding of the more complex CPGs for vocalization, and social behaviors in general, that have evolved among birds and mammals. PMID:18262186
The generation of vertebral segmental patterning in the chick embryo
Senthinathan, Biruntha; Sousa, Cátia; Tannahill, David; Keynes, Roger
2012-01-01
We have carried out a series of experimental manipulations in the chick embryo to assess whether the notochord, neural tube and spinal nerves influence segmental patterning of the vertebral column. Using Pax1 expression in the somite-derived sclerotomes as a marker for segmentation of the developing intervertebral disc, our results exclude such an influence. In contrast to certain teleost species, where the notochord has been shown to generate segmentation of the vertebral bodies (chordacentra), these experiments indicate that segmental patterning of the avian vertebral column arises autonomously in the somite mesoderm. We suggest that in amniotes, the subdivision of each sclerotome into non-miscible anterior and posterior halves plays a critical role in establishing vertebral segmentation, and in maintaining left/right alignment of the developing vertebral elements at the body midline. PMID:22458512
2014-01-01
Ambulation or walking is one of the main gaits of locomotion. In terrestrial animals, it may be defined as a series of rhythmic and bilaterally coordinated movement of the limbs which creates a forward movement of the body. This applies regardless of the number of limbs—from arthropods with six or more limbs to bipedal primates. These fundamental similarities among species may explain why comparable neural systems and cellular properties have been found, thus far, to control in similar ways locomotor rhythm generation in most animal models. The aim of this article is to provide a comprehensive review of the known structural and functional features associated with central nervous system (CNS) networks that are involved in the control of ambulation and other stereotyped motor patterns—specifically Central Pattern Generators (CPGs) that produce basic rhythmic patterned outputs for locomotion, micturition, ejaculation, and defecation. Although there is compelling evidence of their existence in humans, CPGs have been most studied in reduced models including in vitro isolated preparations, genetically-engineered mice and spinal cord-transected animals. Compared with other structures of the CNS, the spinal cord is generally considered as being well-preserved phylogenetically. As such, most animal models of spinal cord-injured (SCI) should be considered as valuable tools for the development of novel pharmacological strategies aimed at modulating spinal activity and restoring corresponding functions in chronic SCI patients. PMID:24910602
Distributed plasticity of locomotor pattern generators in spinal cord injured patients.
Grasso, Renato; Ivanenko, Yuri P; Zago, Myrka; Molinari, Marco; Scivoletto, Giorgio; Castellano, Vincenzo; Macellari, Velio; Lacquaniti, Francesco
2004-05-01
Recent progress with spinal cord injured (SCI) patients indicates that with training they can recover some locomotor ability. Here we addressed the question of whether locomotor responses developed with training depend on re-activation of the normal motor patterns or whether they depend on learning new motor patterns. To this end we recorded detailed kinematic and EMG data in SCI patients trained to step on a treadmill with body-weight support (BWST), and in healthy subjects. We found that all patients could be trained to step with BWST in the laboratory conditions, but they used new coordinative strategies. Patients with more severe lesions used their arms and body to assist the leg movements via the biomechanical coupling of limb and body segments. In all patients, the phase-relationship of the angular motion of the different lower limb segments was very different from the control, as was the pattern of activity of most recorded muscles. Surprisingly, however, the new motor strategies were quite effective in generating foot motion that closely matched the normal in the laboratory conditions. With training, foot motion recovered the shape, the step-by-step reproducibility, and the two-thirds power relationship between curvature and velocity that characterize normal gait. We mapped the recorded patterns of muscle activity onto the approximate rostrocaudal location of motor neuron pools in the human spinal cord. The reconstructed spatiotemporal maps of motor neuron activity in SCI patients were quite different from those of healthy subjects. At the end of training, the locomotor network reorganized at both supralesional and sublesional levels, from the cervical to the sacral cord segments. We conclude that locomotor responses in SCI patients may not be subserved by changes localized to limited regions of the spinal cord, but may depend on a plastic redistribution of activity across most of the rostrocaudal extent of the spinal cord. Distributed plasticity underlies
USDA-ARS?s Scientific Manuscript database
CLIGEN (CLImate GENerator) is a widely used stochastic weather generator to simulate continuous daily precipitation and storm pattern information for hydrological and soil erosion models. Although CLIGEN has been tested in several regions in the world, thoroughly assessment before applying it to Chi...
NeuroPG: open source software for optical pattern generation and data acquisition
Avants, Benjamin W.; Murphy, Daniel B.; Dapello, Joel A.; Robinson, Jacob T.
2015-01-01
Patterned illumination using a digital micromirror device (DMD) is a powerful tool for optogenetics. Compared to a scanning laser, DMDs are inexpensive and can easily create complex illumination patterns. Combining these complex spatiotemporal illumination patterns with optogenetics allows DMD-equipped microscopes to probe neural circuits by selectively manipulating the activity of many individual cells or many subcellular regions at the same time. To use DMDs to study neural activity, scientists must develop specialized software to coordinate optical stimulation patterns with the acquisition of electrophysiological and fluorescence data. To meet this growing need we have developed an open source optical pattern generation software for neuroscience—NeuroPG—that combines, DMD control, sample visualization, and data acquisition in one application. Built on a MATLAB platform, NeuroPG can also process, analyze, and visualize data. The software is designed specifically for the Mightex Polygon400; however, as an open source package, NeuroPG can be modified to incorporate any data acquisition, imaging, or illumination equipment that is compatible with MATLAB’s Data Acquisition and Image Acquisition toolboxes. PMID:25784873
ERIC Educational Resources Information Center
Veloz, Elizabeth Andrea
2010-01-01
The purpose of this study was to determine whether differences existed among generations (Baby Boomers, Generation X, and Generation Y) regarding the levels of parental involvement within each of these generations. Also examined were additional factors such as the parents. socioeconomic status, educational level, marital status, and ethnicity. The…
An image-processing methodology for extracting bloodstain pattern features.
Arthur, Ravishka M; Humburg, Philomena J; Hoogenboom, Jerry; Baiker, Martin; Taylor, Michael C; de Bruin, Karla G
2017-08-01
There is a growing trend in forensic science to develop methods to make forensic pattern comparison tasks more objective. This has generally involved the application of suitable image-processing methods to provide numerical data for identification or comparison. This paper outlines a unique image-processing methodology that can be utilised by analysts to generate reliable pattern data that will assist them in forming objective conclusions about a pattern. A range of features were defined and extracted from a laboratory-generated impact spatter pattern. These features were based in part on bloodstain properties commonly used in the analysis of spatter bloodstain patterns. The values of these features were consistent with properties reported qualitatively for such patterns. The image-processing method developed shows considerable promise as a way to establish measurable discriminating pattern criteria that are lacking in current bloodstain pattern taxonomies. Copyright © 2017 Elsevier B.V. All rights reserved.
Mala, Sankeerti; Rathod, Vanita; Pundir, Siddharth; Dixit, Sudhanshu
2017-01-01
The unique pattern and structural diversity of fingerprints, lip prints, palatal rugae, and their occurrence in different patterns among individuals make it questionable whether they are completely unique even in a family hierarchy? Do they have any repetition of the patterns among the generations? Or is this a mere chaos theory? The present study aims to assess the pattern self-repetition of fingerprints, lip prints, and palatal rugae among three generations of ten different families. The present study was conducted at Rungta College of Dental Science and Research, Bhilai, India. Participants birth by origin of Chhattisgarh were only included in the study. Thirty participants from three consecutive generations of ten different families were briefed about the purpose of the study, and their fingerprints, lip prints, and palatal rugae impression were recorded and analyzed for the pattern of self-repetition. Multiple comparisons among the generations and one-way analysis of variance test were performed using SPSS 20 trial version. Among the pattern of primary palatal rugae, 10% showed repetition in all the three generations. Thirty percent showed repetition of the pattern of thumb fingerprints in all the three generation. The pattern of lip prints in the middle 1/3 rd of lower lip, 20% showed repetition in alternative generations. The evaluations of fingerprints, lip prints, and palatal rugae showed fractal dimensions, occurring variations in dimensions according to the complexity of each structure. Even though a minute self-repetition in the patterns of lip, thumb, and palate among the three consequent generations in a family was observed considering the sample size, these results need to be confirmed in a larger sample, either to establish the role of chaos theory in forensic science or identifying a particular pattern of the individual in his family hierarchy.
The generation of vertebral segmental patterning in the chick embryo.
Senthinathan, Biruntha; Sousa, Cátia; Tannahill, David; Keynes, Roger
2012-06-01
We have carried out a series of experimental manipulations in the chick embryo to assess whether the notochord, neural tube and spinal nerves influence segmental patterning of the vertebral column. Using Pax1 expression in the somite-derived sclerotomes as a marker for segmentation of the developing intervertebral disc, our results exclude such an influence. In contrast to certain teleost species, where the notochord has been shown to generate segmentation of the vertebral bodies (chordacentra), these experiments indicate that segmental patterning of the avian vertebral column arises autonomously in the somite mesoderm. We suggest that in amniotes, the subdivision of each sclerotome into non-miscible anterior and posterior halves plays a critical role in establishing vertebral segmentation, and in maintaining left/right alignment of the developing vertebral elements at the body midline. © 2012 The Authors. Journal of Anatomy © 2012 Anatomical Society.
Generation of Viable Cell and Biomaterial Patterns by Laser Transfer
NASA Astrophysics Data System (ADS)
Ringeisen, Bradley
2001-03-01
In order to fabricate and interface biological systems for next generation applications such as biosensors, protein recognition microarrays, and engineered tissues, it is imperative to have a method of accurately and rapidly depositing different active biomaterials in patterns or layered structures. Ideally, the biomaterial structures would also be compatible with many different substrates including technologically relevant platforms such as electronic circuits or various detection devices. We have developed a novel laser-based technique, termed matrix assisted pulsed laser evaporation direct write (MAPLE DW), that is able to direct write patterns and three-dimensional structures of numerous biologically active species ranging from proteins and antibodies to living cells. Specifically, we have shown that MAPLE DW is capable of forming mesoscopic patterns of living prokaryotic cells (E. coli bacteria), living mammalian cells (Chinese hamster ovaries), active proteins (biotinylated bovine serum albumin, horse radish peroxidase), and antibodies specific to a variety of classes of cancer related proteins including intracellular and extracellular matrix proteins, signaling proteins, cell cycle proteins, growth factors, and growth factor receptors. In addition, patterns of viable cells and active biomolecules were deposited on different substrates including metals, semiconductors, nutrient agar, and functionalized glass slides. We will present an explanation of the laser-based transfer mechanism as well as results from our recent efforts to fabricate protein recognition microarrays and tissue-based microfluidic networks.
Protein recognition by a pattern-generating fluorescent molecular probe.
Pode, Zohar; Peri-Naor, Ronny; Georgeson, Joseph M; Ilani, Tal; Kiss, Vladimir; Unger, Tamar; Markus, Barak; Barr, Haim M; Motiei, Leila; Margulies, David
2017-12-01
Fluorescent molecular probes have become valuable tools in protein research; however, the current methods for using these probes are less suitable for analysing specific populations of proteins in their native environment. In this study, we address this gap by developing a unimolecular fluorescent probe that combines the properties of small-molecule-based probes and cross-reactive sensor arrays (the so-called chemical 'noses/tongues'). On the one hand, the probe can detect different proteins by generating unique identification (ID) patterns, akin to cross-reactive arrays. On the other hand, its unimolecular scaffold and selective binding enable this ID-generating probe to identify combinations of specific protein families within complex mixtures and to discriminate among isoforms in living cells, where macroscopic arrays cannot access. The ability to recycle the molecular device and use it to track several binding interactions simultaneously further demonstrates how this approach could expand the fluorescent toolbox currently used to detect and image proteins.
Protein recognition by a pattern-generating fluorescent molecular probe
NASA Astrophysics Data System (ADS)
Pode, Zohar; Peri-Naor, Ronny; Georgeson, Joseph M.; Ilani, Tal; Kiss, Vladimir; Unger, Tamar; Markus, Barak; Barr, Haim M.; Motiei, Leila; Margulies, David
2017-12-01
Fluorescent molecular probes have become valuable tools in protein research; however, the current methods for using these probes are less suitable for analysing specific populations of proteins in their native environment. In this study, we address this gap by developing a unimolecular fluorescent probe that combines the properties of small-molecule-based probes and cross-reactive sensor arrays (the so-called chemical 'noses/tongues'). On the one hand, the probe can detect different proteins by generating unique identification (ID) patterns, akin to cross-reactive arrays. On the other hand, its unimolecular scaffold and selective binding enable this ID-generating probe to identify combinations of specific protein families within complex mixtures and to discriminate among isoforms in living cells, where macroscopic arrays cannot access. The ability to recycle the molecular device and use it to track several binding interactions simultaneously further demonstrates how this approach could expand the fluorescent toolbox currently used to detect and image proteins.
Parental Involvement in Middle School Predicting College Attendance for First-Generation Students
ERIC Educational Resources Information Center
Bui, Khanh; Rush, Ryan A.
2016-01-01
Using data from the National Education Longitudinal Study, this report examined the relationship between parental involvement in eighth grade and college attendance by eight years after high school for students whose parents have no college education (i.e., first-generation students; n = 1,358) in comparison to students whose parents have some…
Carey, Ryan M.; Wachowiak, Matt
2009-01-01
Sniffing has long been thought to play a critical role in shaping neural responses to odorants at multiple levels of the nervous system. However, it has been difficult to systematically examine how particular parameters of sniffing behavior shape odorant-evoked activity, in large part because of the complexity of sniffing behavior and the difficulty in reproducing this behavior in an anesthetized or reduced preparation. Here we present a method for generating naturalistic sniffing patterns in such preparations. The method involves a nasal ventilator whose movement is controlled by an analog command voltage. The command signal may consist of intranasal pressure transients recorded from awake rats and mice or user-defined waveforms. This “sniff playback” device generates intranasal pressure and airflow transients in anesthetized animals that approximate those recorded from the awake animal and are reproducible across trials and across preparations. The device accurately reproduces command waveforms over an amplitude range of approximately 1 log unit and up to frequencies of approximately 12 Hz. Further, odorant-evoked neural activity imaged during sniff playback appears similar to that seen in awake animals. This method should prove useful in investigating how the parameters of odorant sampling shape neural responses in a variety of experimental settings. PMID:18791186
Generational Patterns in Mexican Americans' Academic Performance in an Unwelcoming Political Context
Moosmann, Danyel A. V.; Roosa, Mark W.; Knight, George P.
2014-01-01
Research has shown that immigrant students often do better academically than their U.S.-born peers from the same ethnic group but it is unclear whether this pattern holds for Mexican Americans. We examined the academic performance of four generations of Mexican American students from fifth to 10th grade looking for generation differences and explanations for them. Using data from 749 families, we tested a model with fifth grade variables that differed by generation as potential mediators linking student generation to 10th grade academic performance. Results showed that immigrants were academically behind at fifth grade but caught up by seventh. Only economic hardship mediated the long term relationship between student generation and 10th grade academic performance; maternal educational expectations and child language hassles, English usage, discrimination, and mainstream values helped explained the early academic deficit of immigrant children. The results identified potential targets for interventions to improve Mexican American students' academic performance. PMID:24578588
A silicon central pattern generator controls locomotion in vivo.
Vogelstein, R J; Tenore, F; Guevremont, L; Etienne-Cummings, R; Mushahwar, V K
2008-09-01
We present a neuromorphic silicon chip that emulates the activity of the biological spinal central pattern generator (CPG) and creates locomotor patterns to support walking. The chip implements ten integrate-and-fire silicon neurons and 190 programmable digital-to-analog converters that act as synapses. This architecture allows for each neuron to make synaptic connections to any of the other neurons as well as to any of eight external input signals and one tonic bias input. The chip's functionality is confirmed by a series of experiments in which it controls the motor output of a paralyzed animal in real-time and enables it to walk along a three-meter platform. The walking is controlled under closed-loop conditions with the aide of sensory feedback that is recorded from the animal's legs and fed into the silicon CPG. Although we and others have previously described biomimetic silicon locomotor control systems for robots, this is the first demonstration of a neuromorphic device that can replace some functions of the central nervous system in vivo.
[Involvement of Turkish Immigrant Fathers Elevates Children's Well-Being].
Leyendecker, Birgit; Agache, Alexandru
2016-01-01
This study examined paternal involvement in parenting, the association between parents' perception of mutual support, and the relation to their children's well-being before (t1) and after the transition to first grade (t2). Participants were first and second generation immigrant families from Turkey (n = 134). In addition, German families (n = 45) were included for the comparison of paternal involvement. The percentage of highly involved fathers was higher in the German sub-sample (54 %) than in the Turkish sub-sample (38 %), but we found no influence of parents' education, household income, employment status, or children's gender. First generation fathers were more likely to be highly involved than second generation fathers. Analyses of the longitudinal data revealed that mothers with highly involved fathers were more likely to report higher marital support. This pattern was less clear for fathers. Children with highly involved fathers reported significantly higher well-being at t1. For t2, a moderator analysis revealed a positive effect on children's well-being only for those fathers who were both highly involved and reported the highest fathering self-efficacy. Among other variables, we controlled for children's well-being at t1, their health status, fathers' work hours and mothers' marital satisfaction.
Statistical spatial properties of speckle patterns generated by multiple laser beams
DOE Office of Scientific and Technical Information (OSTI.GOV)
Le Cain, A.; Sajer, J. M.; Riazuelo, G.
2011-08-15
This paper investigates hot spot characteristics generated by the superposition of multiple laser beams. First, properties of speckle statistics are studied in the context of only one laser beam by computing the autocorrelation function. The case of multiple laser beams is then considered. In certain conditions, it is shown that speckles have an ellipsoidal shape. Analytical expressions of hot spot radii generated by multiple laser beams are derived and compared to numerical estimates made from the autocorrelation function. They are also compared to numerical simulations performed within the paraxial approximation. Excellent agreement is found for the speckle width as wellmore » as for the speckle length. Application to the speckle patterns generated in the Laser MegaJoule configuration in the zone where all the beams overlap is presented. Influence of polarization on the size of the speckles as well as on their abundance is studied.« less
Changing clothes easily: connexin41.8 regulates skin pattern variation.
Watanabe, Masakatsu; Kondo, Shigeru
2012-05-01
The skin patterns of animals are very important for their survival, yet the mechanisms involved in skin pattern formation remain unresolved. Turing's reaction-diffusion model presents a well-known mathematical explanation of how animal skin patterns are formed, and this model can predict various animal patterns that are observed in nature. In this study, we used transgenic zebrafish to generate various artificial skin patterns including a narrow stripe with a wide interstripe, a narrow stripe with a narrow interstripe, a labyrinth, and a 'leopard' pattern (or donut-like ring pattern). In this process, connexin41.8 (or its mutant form) was ectopically expressed using the mitfa promoter. Specifically, the leopard pattern was generated as predicted by Turing's model. Our results demonstrate that the pigment cells in animal skin have the potential and plasticity to establish various patterns and that the reaction-diffusion principle can predict skin patterns of animals. © 2012 John Wiley & Sons A/S.
Generation, language, body mass index, and activity patterns in Hispanic children.
Taverno, Sharon E; Rollins, Brandi Y; Francis, Lori A
2010-02-01
The acculturation hypothesis proposes an overall disadvantage in health outcomes for Hispanic immigrants with more time spent living in the U.S., but little is known about how generational status and language may influence Hispanic children's relative weight and activity patterns. To investigate associations among generation and language with relative weight (BMI z-scores), physical activity, screen time, and participation in extracurricular activities (i.e., sports, clubs) in a U.S.-based, nationally representative sample of Hispanic children. Participants included 2012 Hispanic children aged 6-11 years from the cross-sectional 2003 National Survey of Children's Health. Children were grouped according to generational status (first, second, or third), and the primary language spoken in the home (English versus non-English). Primary analyses included adjusted logistic and multinomial logistic regression to examine the relationships among variables; all analyses were conducted between 2008 and 2009. Compared to third-generation, English speakers, first- and second-generation, non-English speakers were more than two times more likely to be obese. Moreover, first-generation, non-English speakers were half as likely to engage in regular physical activity and sports. Both first- and second-generation, non-English speakers were less likely to participate in clubs compared to second- and third-generation, English speakers. Overall, non-English-speaking groups reported less screen time compared to third-generation, English speakers. The hypothesis that Hispanics lose their health protection with more time spent in the U.S. was not supported in this sample of Hispanic children. Copyright 2010 American Journal of Preventive Medicine. Published by Elsevier Inc. All rights reserved.
Yang, Jie; Tang, Chongjun; Chen, Lihua; Liu, Yaojun; Wang, Lingyun
2017-01-01
Rainfall patterns and land cover are two important factors that affect the runoff generation process. To determine the surface and subsurface flows associated with different rainfall patterns on sloping Ferralsols under different land cover types, observational data related to surface and subsurface flows from 5 m × 15 m plots were collected from 2010 to 2012. The experiment was conducted to assess three land cover types (grass, litter cover and bare land) in the Jiangxi Provincial Soil and Water Conservation Ecological Park. During the study period, 114 natural rainfall events produced subsurface flow and were divided into four groups using k-means clustering according to rainfall duration, rainfall depth and maximum 30-min rainfall intensity. The results showed that the total runoff and surface flow values were highest for bare land under all four rainfall patterns and lowest for the covered plots. However, covered plots generated higher subsurface flow values than bare land. Moreover, the surface and subsurface flows associated with the three land cover types differed significantly under different rainfall patterns. Rainfall patterns with low intensities and long durations created more subsurface flow in the grass and litter cover types, whereas rainfall patterns with high intensities and short durations resulted in greater surface flow over bare land. Rainfall pattern I had the highest surface and subsurface flow values for the grass cover and litter cover types. The highest surface flow value and lowest subsurface flow value for bare land occurred under rainfall pattern IV. Rainfall pattern II generated the highest subsurface flow value for bare land. Therefore, grass or litter cover are able to convert more surface flow into subsurface flow under different rainfall patterns. The rainfall patterns studied had greater effects on subsurface flow than on total runoff and surface flow for covered surfaces, as well as a greater effect on surface flows associated
Safety Case Patterns: Theory and Applications
NASA Technical Reports Server (NTRS)
Denney, Ewen W.; Pai, Ganesh J.
2015-01-01
We develop the foundations for a theory of patterns of safety case argument structures, clarifying the concepts involved in pattern specification, including choices, labeling, and well-founded recursion. We specify six new patterns in addition to those existing in the literature. We give a generic way to specify the data required to instantiate patterns and a generic algorithm for their instantiation. This generalizes earlier work on generating argument fragments from requirements tables. We describe an implementation of these concepts in AdvoCATE, the Assurance Case Automation Toolset, showing how patterns are defined and can be instantiated. In particular, we describe how our extended notion of patterns can be specified, how they can be instantiated in an interactive manner, and, finally, how they can be automatically instantiated using our algorithm.
Pe'er, Guy; Zurita, Gustavo A.; Schober, Lucia; Bellocq, Maria I.; Strer, Maximilian; Müller, Michael; Pütz, Sandro
2013-01-01
Landscape simulators are widely applied in landscape ecology for generating landscape patterns. These models can be divided into two categories: pattern-based models that generate spatial patterns irrespective of the processes that shape them, and process-based models that attempt to generate patterns based on the processes that shape them. The latter often tend toward complexity in an attempt to obtain high predictive precision, but are rarely used for generic or theoretical purposes. Here we show that a simple process-based simulator can generate a variety of spatial patterns including realistic ones, typifying landscapes fragmented by anthropogenic activities. The model “G-RaFFe” generates roads and fields to reproduce the processes in which forests are converted into arable lands. For a selected level of habitat cover, three factors dominate its outcomes: the number of roads (accessibility), maximum field size (accounting for land ownership patterns), and maximum field disconnection (which enables field to be detached from roads). We compared the performance of G-RaFFe to three other models: Simmap (neutral model), Qrule (fractal-based) and Dinamica EGO (with 4 model versions differing in complexity). A PCA-based analysis indicated G-RaFFe and Dinamica version 4 (most complex) to perform best in matching realistic spatial patterns, but an alternative analysis which considers model variability identified G-RaFFe and Qrule as performing best. We also found model performance to be affected by habitat cover and the actual land-uses, the latter reflecting on land ownership patterns. We suggest that simple process-based generators such as G-RaFFe can be used to generate spatial patterns as templates for theoretical analyses, as well as for gaining better understanding of the relation between spatial processes and patterns. We suggest caution in applying neutral or fractal-based approaches, since spatial patterns that typify anthropogenic landscapes are often non
Pe'er, Guy; Zurita, Gustavo A; Schober, Lucia; Bellocq, Maria I; Strer, Maximilian; Müller, Michael; Pütz, Sandro
2013-01-01
Landscape simulators are widely applied in landscape ecology for generating landscape patterns. These models can be divided into two categories: pattern-based models that generate spatial patterns irrespective of the processes that shape them, and process-based models that attempt to generate patterns based on the processes that shape them. The latter often tend toward complexity in an attempt to obtain high predictive precision, but are rarely used for generic or theoretical purposes. Here we show that a simple process-based simulator can generate a variety of spatial patterns including realistic ones, typifying landscapes fragmented by anthropogenic activities. The model "G-RaFFe" generates roads and fields to reproduce the processes in which forests are converted into arable lands. For a selected level of habitat cover, three factors dominate its outcomes: the number of roads (accessibility), maximum field size (accounting for land ownership patterns), and maximum field disconnection (which enables field to be detached from roads). We compared the performance of G-RaFFe to three other models: Simmap (neutral model), Qrule (fractal-based) and Dinamica EGO (with 4 model versions differing in complexity). A PCA-based analysis indicated G-RaFFe and Dinamica version 4 (most complex) to perform best in matching realistic spatial patterns, but an alternative analysis which considers model variability identified G-RaFFe and Qrule as performing best. We also found model performance to be affected by habitat cover and the actual land-uses, the latter reflecting on land ownership patterns. We suggest that simple process-based generators such as G-RaFFe can be used to generate spatial patterns as templates for theoretical analyses, as well as for gaining better understanding of the relation between spatial processes and patterns. We suggest caution in applying neutral or fractal-based approaches, since spatial patterns that typify anthropogenic landscapes are often non
Bautista, Tara G; Dutschmann, Mathias
2014-01-01
Both swallowing and respiration involve postinspiratory laryngeal adduction. Swallowing-related postinspiratory neurons are likely to be located in the nucleus of the solitary tract (NTS) and those involved in respiration are found in the Kölliker–Fuse nucleus (KF). The function of KF and NTS in the generation of swallowing and its coordination with respiration was investigated in perfused brainstem preparations of juvenile rats (n = 41). Orally injected water evoked sequential pharyngeal swallowing (s-PSW) seen as phasic, spindle-shaped bursting of vagal nerve activity (VNA) against tonic postinspiratory discharge. KF inhibition by microinjecting isoguvacine (GABAA receptor agonist) selectively attenuated tonic postinspiratory VNA (n = 10, P < 0.001) but had no effect on frequency or timing of s-PSW. KF disinhibition after bicuculline (GABAA receptor antagonist) microinjections caused an increase of the tonic VNA (n = 8, P < 0.01) resulting in obscured and delayed phasic s-PSW. Occurrence of spontaneous PSW significantly increased after KF inhibition (P < 0.0001) but not after KF disinhibition (P = 0.14). NTS isoguvacine microinjections attenuated the occurrence of all PSW (n = 5, P < 0.01). NTS bicuculline microinjections (n = 6) resulted in spontaneous activation of a disordered PSW pattern and long-lasting suppression of respiratory activity. Pharmacological manipulation of either KF or NTS also triggered profound changes in respiratory postinspiratory VNA. Our results indicate that the s-PSW comprises two functionally distinct components. While the primary s-PSW is generated within the NTS, a KF-mediated laryngeal adductor reflex safeguards the lower airways from aspiration. Synaptic interaction between KF and NTS is required for s-PSW coordination with respiration as well as for proper gating and timing of s-PSW. PMID:24639482
Variation in motor output and motor performance in a centrally generated motor pattern
Norris, Brian J.; Doloc-Mihu, Anca; Calabrese, Ronald L.
2014-01-01
Central pattern generators (CPGs) produce motor patterns that ultimately drive motor outputs. We studied how functional motor performance is achieved, specifically, whether the variation seen in motor patterns is reflected in motor performance and whether fictive motor patterns differ from those in vivo. We used the leech heartbeat system in which a bilaterally symmetrical CPG coordinates segmental heart motor neurons and two segmented heart tubes into two mutually exclusive coordination modes: rear-to-front peristaltic on one side and nearly synchronous on the other, with regular side-to-side switches. We assessed individual variability of the motor pattern and the beat pattern in vivo. To quantify the beat pattern we imaged intact adults. To quantify the phase relations between motor neurons and heart constrictions we recorded extracellularly from two heart motor neurons and movement from the corresponding heart segments in minimally dissected leeches. Variation in the motor pattern was reflected in motor performance only in the peristaltic mode, where larger intersegmental phase differences in the motor neurons resulted in larger phase differences between heart constrictions. Fictive motor patterns differed from those in vivo only in the synchronous mode, where intersegmental phase differences in vivo had a larger front-to-rear bias and were more constrained. Additionally, load-influenced constriction timing might explain the amplification of the phase differences between heart segments in the peristaltic mode and the higher variability in motor output due to body shape assumed in this soft-bodied animal. The motor pattern determines the beat pattern, peristaltic or synchronous, but heart mechanics influence the phase relations achieved. PMID:24717348
Picarsic, Jennifer; Egeler, R Maarten; Chikwava, Kudakwashe; Patterson, Kathleen; Jaffe, Ronald
2015-01-01
Thymic involvement by Langerhans cell histiocytosis (LCH) has been described mainly in isolated case reports. A description of the histopathologic patterns of LCH proliferations in the thymus, together with therapeutic implications, has not, to our knowledge, been previously addressed. The pathology consultation files at Children's Hospital of Pittsburgh of the University of Pennsylvania Medical Center were reviewed for cases of thymic involvement by LCH. Relevant cases in the literature were also reviewed, and the histopathology and clinical course of those cases were collected. Nine consultation cases of thymic involvement were reviewed, together with 23 cases in the literature, which provided adequate pathologic description and ancillary confirmation (n = 32), revealing 4 distinct pathologic groups. Group 1 showed microscopic collection of hyperplastic LCH-like cells in incidental thymectomies of patients without LCH disease, requiring no further treatment (n = 7; 22%). Group 2 showed solitary and/or cystic LCH of the thymus with gland disruption, and at least 3 cases resolved without systemic therapy (n = 10; 31%). Group 3 showed more variable thymic involvement in multisystemic LCH disease, with either a medullary restricted pattern or more diffuse gland involvement, requiring adjuvant therapy and having a higher mortality rate (n = 13; 41%). Group 4 showed a mixed histiocytic lesion with a concurrent LCH and juvenile xanthogranuloma-like proliferation (n = 2; 6%). Thymic involvement in LCH is quite rare. Based on our cases and those in the literature, we propose 4 distinct pathologic groups of thymic involvement in Langerhans cell proliferations with relevance for diagnosis and treatment.
Recurrence Methods for the Identification of Morphogenetic Patterns
Facchini, Angelo; Mocenni, Chiara
2013-01-01
This paper addresses the problem of identifying the parameters involved in the formation of spatial patterns in nonlinear two dimensional systems. To this aim, we perform numerical experiments on a prototypical model generating morphogenetic Turing patterns, by changing both the spatial frequency and shape of the patterns. The features of the patterns and their relationship with the model parameters are characterized by means of the Generalized Recurrence Quantification measures. We show that the recurrence measures Determinism and Recurrence Entropy, as well as the distribution of the line lengths, allow for a full characterization of the patterns in terms of power law decay with respect to the parameters involved in the determination of their spatial frequency and shape. A comparison with the standard two dimensional Fourier transform is performed and the results show a better performance of the recurrence indicators in identifying a reliable connection with the spatial frequency of the patterns. Finally, in order to evaluate the robustness of the estimation of the power low decay, extensive simulations have been performed by adding different levels of noise to the patterns. PMID:24066062
Traub, Roger D.; Whittington, Miles A.; Hall, Stephen P.
2017-01-01
Rhythmic motor patterns in invertebrates are often driven by specialized “central pattern generators” (CPGs), containing small numbers of neurons, which are likely to be “identifiable” in one individual compared with another. The dynamics of any particular CPG lies under the control of modulatory substances, amines, or peptides, entering the CPG from outside it, or released by internal constituent neurons; consequently, a particular CPG can generate a given rhythm at different frequencies and amplitudes, and perhaps even generate a repertoire of distinctive patterns. The mechanisms exploited by neuromodulators in this respect are manifold: Intrinsic conductances (e.g., calcium, potassium channels), conductance state of postsynaptic receptors, degree of plasticity, and magnitude and kinetics of transmitter release can all be affected. The CPG concept has been generalized to vertebrate motor pattern generating circuits (e.g., for locomotion), which may contain large numbers of neurons – a construct that is sensible, if there is enough redundancy: that is, the large number of neurons consists of only a small number of classes, and the cells within any one class act stereotypically. Here we suggest that CPG and modulator ideas may also help to understand cortical oscillations, normal ones, and particularly transition to epileptiform pathology. Furthermore, in the case illustrated, the mechanism of the transition appears to be an exaggerated form of a normal modulatory action used to influence sensory processing. PMID:29093667
ERIC Educational Resources Information Center
Wawrzusin, Andrea C.
2013-01-01
Although there have always been differences in how generations navigate decision-making in higher education, highly involved parents have led to conflicting inter-generational educational expectations. This research study investigated the phenomenon of parental involvement and how meanings on educational expectations vary depending on generation.…
TermGenie - a web-application for pattern-based ontology class generation.
Dietze, Heiko; Berardini, Tanya Z; Foulger, Rebecca E; Hill, David P; Lomax, Jane; Osumi-Sutherland, David; Roncaglia, Paola; Mungall, Christopher J
2014-01-01
Biological ontologies are continually growing and improving from requests for new classes (terms) by biocurators. These ontology requests can frequently create bottlenecks in the biocuration process, as ontology developers struggle to keep up, while manually processing these requests and create classes. TermGenie allows biocurators to generate new classes based on formally specified design patterns or templates. The system is web-based and can be accessed by any authorized curator through a web browser. Automated rules and reasoning engines are used to ensure validity, uniqueness and relationship to pre-existing classes. In the last 4 years the Gene Ontology TermGenie generated 4715 new classes, about 51.4% of all new classes created. The immediate generation of permanent identifiers proved not to be an issue with only 70 (1.4%) obsoleted classes. TermGenie is a web-based class-generation system that complements traditional ontology development tools. All classes added through pre-defined templates are guaranteed to have OWL equivalence axioms that are used for automatic classification and in some cases inter-ontology linkage. At the same time, the system is simple and intuitive and can be used by most biocurators without extensive training.
Reflection Patterns Generated by Condensed-Phase Oblique Detonation Interaction with a Rigid Wall
NASA Astrophysics Data System (ADS)
Short, Mark; Chiquete, Carlos; Bdzil, John; Meyer, Chad
2017-11-01
We examine numerically the wave reflection patterns generated by a detonation in a condensed phase explosive inclined obliquely but traveling parallel to a rigid wall as a function of incident angle. The problem is motivated by the characterization of detonation-material confiner interactions. We compare the reflection patterns for two detonation models, one where the reaction zone is spatially distributed, and the other where the reaction is instantaneous (a Chapman-Jouguet detonation). For the Chapman-Jouguet model, we compare the results of the computations with an asymptotic study recently conducted by Bdzil and Short for small detonation incident angles. We show that the ability of a spatially distributed reaction energy release to turn flow streamlines has a significant impact on the nature of the observed reflection patterns. The computational approach uses a shock-fit methodology.
NASA Technical Reports Server (NTRS)
Parsons-Wingerter, Patricia A.; Hosamani, Ravikumar; Bhattacharya, Sharmila
2015-01-01
Imaginal wing discs of Drosophila melanogaster (fruit fly) defined during embryogenesis ultimately result in mature wings of stereotyped (specific) venation patterning. Major regulators of wing disc development are the epidermal growth factor receptor (EGF), Notch, Hedgehog (Hh), Wingless (Wg), and Dpp signaling pathways. Highly stereotyped vascular patterning is also characteristic of tissues in other organisms flown in space such as the mouse retina and leaves of Arabidopsis thaliana. Genetic and other adaptations of vascular patterning to space environmental factors have not yet been systematically quantified, despite widespread recognition of their critical importance for terrestrial and microgravity applications. Here we report changes in gene expression with space flight related to Drosophila wing morphogenesis and vein patterning. In addition, genetically modified phenotypes of increasingly abnormal ectopic wing venation in the Drosophila wing1 were analyzed by NASA's VESsel GENeration Analysis (VESGEN) software2. Our goal is to further develop insightful vascular mappings associated with bioinformatic dimensions of genetic or other molecular phenotypes for correlation with genetic and other molecular profiling relevant to NASA's GeneLab and other Space Biology exploration initiatives.
Cultured embryonic non-innervated mouse muzzle is capable of generating a whisker pattern.
Andrés, F L; Van Der Loos, H
1983-01-01
The whisker pattern on the muzzle of the mouse is mapped in the contralateral parietal neocortex, each whisker follicle projecting to its own multineuronal unit ('barrel'). To determine the role, if any, of the peripheral innervation in the establishment of the vibrissal array, we cultured non-innervated prospective whiskerpads from 9- and 10-day-old embryos, mostly on chorioallantoic membrane. The results show that skin, alone, is capable of generating the whisker pattern, thus adducing a strong argument for the hypothesis that the central brain maps have their origin in the periphery. Copyright © 1983. Published by Elsevier Ltd.
A model-based exploration of the role of pattern generating circuits during locomotor adaptation.
Marjaninejad, Ali; Finley, James M
2016-08-01
In this study, we used a model-based approach to explore the potential contributions of central pattern generating circuits (CPGs) during adaptation to external perturbations during locomotion. We constructed a neuromechanical modeled of locomotion using a reduced-phase CPG controller and an inverted pendulum mechanical model. Two different forms of locomotor adaptation were examined in this study: split-belt treadmill adaptation and adaptation to a unilateral, elastic force field. For each simulation, we first examined the effects of phase resetting and varying the model's initial conditions on the resulting adaptation. After evaluating the effect of phase resetting on the adaptation of step length symmetry, we examined the extent to which the results from these simple models could explain previous experimental observations. We found that adaptation of step length symmetry during split-belt treadmill walking could be reproduced using our model, but this model failed to replicate patterns of adaptation observed in response to force field perturbations. Given that spinal animal models can adapt to both of these types of perturbations, our findings suggest that there may be distinct features of pattern generating circuits that mediate each form of adaptation.
NASA Technical Reports Server (NTRS)
King, J. C.
1976-01-01
The generation of satellite coverage patterns is facilitated by three basic strategies: use of a simplified physical model, permitting rapid closed-form calculation; separation of earth rotation and nodal precession from initial geometric analyses; and use of symmetries to construct traces of indefinite length by repetitive transposition of basic one-quadrant elements. The complete coverage patterns generated consist of a basic nadir trace plus a number of associated off-nadir traces, one for each sensor swath edge to be delineated. Each trace is generated by transposing one or two of the basic quadrant elements into a circle on a nonrotating earth model sphere, after which the circle is expanded into the actual 'helical' pattern by adding rotational displacements to the longitude coordinates. The procedure adapts to the important periodic coverage cases by direct insertion of the characteristic integers N and R (days and orbital revolutions, respectively, per coverage period).
Multi-GHz Synchronous Waveform Acquisition With Real-Time Pattern-Matching Trigger Generation
NASA Astrophysics Data System (ADS)
Kleinfelder, Stuart A.; Chiang, Shiuh-hua Wood; Huang, Wei
2013-10-01
A transient waveform capture and digitization circuit with continuous synchronous 2-GHz sampling capability and real-time programmable windowed trigger generation has been fabricated and tested. Designed in 0.25 μm CMOS, the digitizer contains a circular array of 128 sample and hold circuits for continuous sample acquisition, and attains 2-GHz sample speeds with over 800-MHz analog bandwidth. Sample clock generation is synchronous, combining a phase-locked loop for high-speed clock generation and a high-speed fully-differential shift register for distributing clocks to all 128 sample circuits. Using two comparators per sample, the sampled voltage levels are compared against two reference levels, a high threshold and a low threshold, that are set via per-comparator digital to analog converters (DACs). The 256 per-comparator 5-bit DACs compensate for comparator offsets and allow for fine reference level adjustment. The comparator results are matched in 8-sample-wide windows against up to 72 programmable patterns in real time using an on-chip programmable logic array. Each 8-sample trigger window is equivalent to 4 ns of acquisition, overlapped sample by sample in a circular fashion through the entire 128-sample array. The 72 pattern-matching trigger criteria can be programmed to be any combination of High-above the high threshold, Low-below the low threshold, Middle-between the two thresholds, or “Don't Care”-any state is accepted. A trigger pattern of “HLHLHLHL,” for example, watches for a waveform that is oscillating at about 1 GHz given the 2-GHz sample rate. A trigger is flagged in under 20 ns if there is a match, after which sampling is stopped, and on-chip digitization can proceed via 128 parallel 10-bit converters, or off-chip conversion can proceed via an analog readout. The chip exceeds 11 bits of dynamic range, nets over 800-MHz -3-dB bandwidth in a realistic system, and jitter in the PLL-based sampling clock has been measured to be about 1 part
Vehovszky, A; Elliott, C J
1995-01-01
This study examines neurotransmission between identified buccal interneurons in the feeding system of the snail Lymnaea stagnalis. We compare the pharmacology of the individual synaptic connections from a hybrid modulatory/pattern generating interneuron (N1L) to a pattern generating interneuron (N1M) with that from a modulatory interneuron (SO) to the same follower cell (N1M). The pharmacological properties of the N1L to N1M and the SO to N1M connections closely resemble each other. Both interneurons produce fast cholinergic EPSPs as judged by the blocking effects of cholinergic antagonists hexamethonium, d-tubocurarine and the cholinergic neurotoxin AF-64A. A slower, more complex but non-cholinergic component of the synaptic response is also present after stimulating either the presynaptic N1L or SO interneurons. This second component of the postsynaptic response is not dopaminergic, on the basis of its persistence in the presence of dopaminergic antagonists ergometrine and fluphenazine and the dopaminergic neurotoxin MPP+. We conclude that, although there has been an evolutionary divergence in function, the modulatory SO and the hybrid modulatory/pattern generating N1L are pharmacologically similar. Neither of them contributes directly to dopaminergic modulation of the feeding activity. These neurons also resemble the N1M protraction phase pattern generating neurons which are cholinergic (Elliott and Kemenes, 1992).
Xiang, Zuoshuang; Zheng, Jie; Lin, Yu; He, Yongqun
2015-01-01
It is time-consuming to build an ontology with many terms and axioms. Thus it is desired to automate the process of ontology development. Ontology Design Patterns (ODPs) provide a reusable solution to solve a recurrent modeling problem in the context of ontology engineering. Because ontology terms often follow specific ODPs, the Ontology for Biomedical Investigations (OBI) developers proposed a Quick Term Templates (QTTs) process targeted at generating new ontology classes following the same pattern, using term templates in a spreadsheet format. Inspired by the ODPs and QTTs, the Ontorat web application is developed to automatically generate new ontology terms, annotations of terms, and logical axioms based on a specific ODP(s). The inputs of an Ontorat execution include axiom expression settings, an input data file, ID generation settings, and a target ontology (optional). The axiom expression settings can be saved as a predesigned Ontorat setting format text file for reuse. The input data file is generated based on a template file created by a specific ODP (text or Excel format). Ontorat is an efficient tool for ontology expansion. Different use cases are described. For example, Ontorat was applied to automatically generate over 1,000 Japan RIKEN cell line cell terms with both logical axioms and rich annotation axioms in the Cell Line Ontology (CLO). Approximately 800 licensed animal vaccines were represented and annotated in the Vaccine Ontology (VO) by Ontorat. The OBI team used Ontorat to add assay and device terms required by ENCODE project. Ontorat was also used to add missing annotations to all existing Biobank specific terms in the Biobank Ontology. A collection of ODPs and templates with examples are provided on the Ontorat website and can be reused to facilitate ontology development. With ever increasing ontology development and applications, Ontorat provides a timely platform for generating and annotating a large number of ontology terms by following
Electron Beam Pattern Rotation as a Method of Tunable Bunch Train Generation
DOE Office of Scientific and Technical Information (OSTI.GOV)
Halavanau, A.; Piot, P.
Transversely modulated electron beams can be formed in photo injectors via microlens array (MLA) UV laser shap- ing technique. Microlenses can be arranged in polygonal lattices, with resulting transverse electron beam modula- tion mimicking the lenses pattern. Conventionally, square MLAs are used for UV laser beam shaping, and generated electron beam patterns form square beamlet arrays. The MLA setup can be placed on a rotational mount, thereby rotating electron beam distribution. In combination with transverse-to-longitudinal emittance exchange (EEX) beam line, it allows to vary beamlets horizontal projection and tune electron bunch train. In this paper, we extend the technique tomore » the case of different MLA lattice arrangements and explore the benefits of its rotational symmetries.« less
Dickinson, Patsy S; Qu, Xuan; Stanhope, Meredith E
2016-12-01
Central pattern generators are subject to modulation by peptides, allowing for flexibility in patterned output. Current techniques used to characterize peptides include mass spectrometry and transcriptomics. In recent years, hundreds of neuropeptides have been sequenced from crustaceans; mass spectrometry has been used to identify peptides and to determine their levels and locations, setting the stage for comparative studies investigating the physiological roles of peptides. Such studies suggest that there is some evolutionary conservation of function, but also divergence of function even within a species. With current baseline data, it should be possible to begin using comparative approaches to ask fundamental questions about why peptides are encoded the way that they are and how this affects nervous system function. Copyright © 2016 Elsevier Ltd. All rights reserved.
Generation of Crystal-Structure Transverse Patterns via a Self-Frequency-Doubling Laser
Yu, Haohai; Zhang, Huaijin; Wang, Yicheng; Wang, Zhengping; Wang, Jiyang; Petrov, V.
2013-01-01
Two-dimensional (2D) visible crystal-structure patterns analogous to the quantum harmonic oscillator (QHO) have been experimentally observed in the near- and far-fields of a self-frequency-doubling (SFD) microchip laser. Different with the fundamental modes, the localization of the SFD light is changed with the propagation. Calculation based on Hermite-Gaussian (HG) functions and second harmonic generation theory reproduces well the patterns both in the near- and far-field which correspond to the intensity distribution in coordinate and momentum spaces, respectively. Considering the analogy of wave functions of the transverse HG mode and 2D harmonic oscillator, we propose that the simple monolithic SFD lasers can be used for developing of new materials and devices and testing 2D quantum mechanical theories. PMID:23336067
Generation of crystal-structure transverse patterns via a self-frequency-doubling laser.
Yu, Haohai; Zhang, Huaijin; Wang, Yicheng; Wang, Zhengping; Wang, Jiyang; Petrov, V
2013-01-01
Two-dimensional (2D) visible crystal-structure patterns analogous to the quantum harmonic oscillator (QHO) have been experimentally observed in the near- and far-fields of a self-frequency-doubling (SFD) microchip laser. Different with the fundamental modes, the localization of the SFD light is changed with the propagation. Calculation based on Hermite-Gaussian (HG) functions and second harmonic generation theory reproduces well the patterns both in the near- and far-field which correspond to the intensity distribution in coordinate and momentum spaces, respectively. Considering the analogy of wave functions of the transverse HG mode and 2D harmonic oscillator, we propose that the simple monolithic SFD lasers can be used for developing of new materials and devices and testing 2D quantum mechanical theories.
NASA Astrophysics Data System (ADS)
Nakamachi, Eiji; Koga, Hirotaka; Morita, Yusuke; Yamamoto, Koji; Sakamoto, Hidetoshi
2018-01-01
We developed a PC12 cell trapping and patterning device by combining the dielectrophoresis (DEP) methodology and the micro electro mechanical systems (MEMS) technology for time-lapse observation of morphological change of nerve network to elucidate the generation mechanism of neural network. We succeeded a neural network generation, which consisted of cell body, axon and dendrites by using tetragonal and hexagonal cell patterning. Further, the time laps observations was carried out to evaluate the axonal extension rate. The axon extended in the channel and reached to the target cell body. We found that the shorter the PC12 cell distance, the less the axonal connection time in both tetragonal and hexagonal structures. After 48 hours culture, a maximum success rate of network formation was 85% in the case of 40 μm distance tetragonal structure.
ERIC Educational Resources Information Center
London, Manuel; Sessa, Valerie I.
2007-01-01
This article integrates the literature on group interaction process analysis and group learning, providing a framework for understanding how patterns of interaction develop. The model proposes how adaptive, generative, and transformative learning processes evolve and vary in their functionality. Environmental triggers for learning, the group's…
Yu, Haitao; Dhingra, Rishi R; Dick, Thomas E; Galán, Roberto F
2017-01-01
Neural activity generally displays irregular firing patterns even in circuits with apparently regular outputs, such as motor pattern generators, in which the output frequency fluctuates randomly around a mean value. This "circuit noise" is inherited from the random firing of single neurons, which emerges from stochastic ion channel gating (channel noise), spontaneous neurotransmitter release, and its diffusion and binding to synaptic receptors. Here we demonstrate how to expand conductance-based network models that are originally deterministic to include realistic, physiological noise, focusing on stochastic ion channel gating. We illustrate this procedure with a well-established conductance-based model of the respiratory pattern generator, which allows us to investigate how channel noise affects neural dynamics at the circuit level and, in particular, to understand the relationship between the respiratory pattern and its breath-to-breath variability. We show that as the channel number increases, the duration of inspiration and expiration varies, and so does the coefficient of variation of the breath-to-breath interval, which attains a minimum when the mean duration of expiration slightly exceeds that of inspiration. For small channel numbers, the variability of the expiratory phase dominates over that of the inspiratory phase, and vice versa for large channel numbers. Among the four different cell types in the respiratory pattern generator, pacemaker cells exhibit the highest sensitivity to channel noise. The model shows that suppressing input from the pons leads to longer inspiratory phases, a reduction in breathing frequency, and larger breath-to-breath variability, whereas enhanced input from the raphe nucleus increases breathing frequency without changing its pattern. A major source of noise in neuronal circuits is the "flickering" of ion currents passing through the neurons' membranes (channel noise), which cannot be suppressed experimentally. Computational
Pacifier Stiffness Alters the Dynamics of the Suck Central Pattern Generator.
Zimmerman, Emily; Barlow, Steven M
2008-06-01
Variation in pacifier stiffness on non-nutritive suck (NNS) dynamics was examined among infants born prematurely with a history of respiratory distress syndrome. Three types of silicone pacifiers used in the NICU were tested for stiffness, revealing the Super Soothie™ nipple is 7 times stiffer than the Wee™ or Soothie™ pacifiers even though shape and displaced volume are identical. Suck dynamics among 20 preterm infants were subsequently sampled using the Soothie™ and Super Soothie™ pacifiers during follow-up at approximately 3 months of age. ANOVA revealed significant differences in NNS cycles/min, NNS amplitude, NNS cycles/burst, and NNS cycle periods as a function of pacifier stiffness. Infants modify the spatiotemporal output of their suck central pattern generator when presented with pacifiers with significantly different mechanical properties. Infants show a non-preference to suck due to high stiffness in the selected pacifier. Therefore, excessive pacifier stiffness may decrease ororhythmic patterning and impact feeding outcomes.
Pacifier Stiffness Alters the Dynamics of the Suck Central Pattern Generator
Zimmerman, Emily; Barlow, Steven M.
2008-01-01
Variation in pacifier stiffness on non-nutritive suck (NNS) dynamics was examined among infants born prematurely with a history of respiratory distress syndrome. Three types of silicone pacifiers used in the NICU were tested for stiffness, revealing the Super Soothie™ nipple is 7 times stiffer than the Wee™ or Soothie™ pacifiers even though shape and displaced volume are identical. Suck dynamics among 20 preterm infants were subsequently sampled using the Soothie™ and Super Soothie™ pacifiers during follow-up at approximately 3 months of age. ANOVA revealed significant differences in NNS cycles/min, NNS amplitude, NNS cycles/burst, and NNS cycle periods as a function of pacifier stiffness. Infants modify the spatiotemporal output of their suck central pattern generator when presented with pacifiers with significantly different mechanical properties. Infants show a non-preference to suck due to high stiffness in the selected pacifier. Therefore, excessive pacifier stiffness may decrease ororhythmic patterning and impact feeding outcomes. PMID:19492006
Allendorfer, Jane B; Lindsell, Christopher J; Siegel, Miriam; Banks, Christi L; Vannest, Jennifer; Holland, Scott K; Szaflarski, Jerzy P
2012-10-01
To test the existence of sex differences in cortical activation during verb generation when performance is controlled for. Twenty male and 20 female healthy adults underwent functional magnetic resonance imaging (fMRI) using a covert block-design verb generation task (BD-VGT) and its event-related version (ER-VGT) that allowed for intra-scanner recordings of overt responses. Task-specific activations were determined using the following contrasts: BD-VGT covert generation>finger-tapping; ER-VGT overt generation>repetition; ER-VGT overt>covert generation. Lateral cortical regions activated during each contrast were used for calculating language lateralization index scores. Voxelwise regressions were used to determine sex differences in activation, with and without controlling for performance. Each brain region showing male/female activation differences for ER-VGT overt generation>repetition (isolating noun-verb association) was defined as a region of interest (ROI). For each subject, the signal change in each ROI was extracted, and the association between ER-VGT activation related to noun-verb association and performance was assessed separately for each sex. Males and females performed similarly on language assessments, had similar patterns of language lateralization, and exhibited similar activation patterns for each fMRI task contrast. Regression analysis controlling for overt intra-scanner performance either abolished (BD-VGT) or reduced (ER-VGT) the observed differences in activation between sexes. The main difference between sexes occurred during ER-VGT processing of noun-verb associations, where males showed greater activation than females in the right middle/superior frontal gyrus (MFG/SFG) and the right caudate/anterior cingulate gyrus (aCG) after controlling for performance. Better verb generation performance was associated with increased right caudate/aCG activation in males and with increased right MFG/SFG activation in females. Males and females exhibit
Establishment of spatial pattern.
Slack, Jonathan
2014-01-01
An overview and perspective are presented of mechanisms for the development of spatial pattern in animal embryos. It is intended both for new entrants to developmental biology and for specialists in other fields, with only a basic knowledge of animal life cycles being required. The first event of pattern formation is normally the localization of a cytoplasmic determinant in the egg, either during oogenesis or post-fertilization. Following cleavage to a multicellular stage, some cells contain the determinant and others do not. The determinant confers a specific developmental pathway on the cells that contain it, often making them the source of the first extracellular signal, or inducing factor. Inducing factors often form concentration gradients to which cells respond by up or downregulating genes at various concentration thresholds. This enables an initial situation consisting of two cell states (with or without the determinant) to generate a multistate pattern. Multiple rounds of gradient signaling, interspersed with phases of morphogenetic movements, can generate a complex pattern using a small number of signals and responding genes. Development proceeds in a hierarchical manner, with broad body subdivisions being specified initially, and becoming successively subdivided to give individual organs and tissues composed of multiple cell types in a characteristic arrangement. Double gradient models can account for embryonic regulation, whereby a similarly proportioned body pattern is formed following removal of material. Processes that are involved at the later stages include the formation of repeating structures by the combination of an oscillator with a gradient, and the formation of tissues with one cell type scattered in a background of another through a process called lateral inhibition. This set of processes make up a 'developmental toolkit' which can be deployed in various sequences and combinations to generate a very wide variety of structures and cell types
Jiang, Xin; Peterson, Ruth D
2012-03-01
Participation in extracurricular activities is purported to protect the broad spectrum of youth from a host of behavioral risks. Yet, empirical research on the extent to which this assumption holds for involvement in violence by immigrant youth is limited. Thus, using data for 13,236 (51.8% female) adolescents from the National Longitudinal Study of Adolescent Health, this study explores how the relationship between extracurricular activities and youth violence varies by type of extracurricular activity profile (sports alone, non-sports alone, and a combination of sports and non-sports) and by generations of immigration (first, second, and third-plus). The sample is composed of 9.3% (n = 1,233) first-generation youth, 15.7% (n = 2,080) second generation, and 74.9% (n = 9,923) third-plus generation. The results reveal that adolescents from the third-plus generation (i.e., non-immigrant youth) who participate in non-sports alone or sports plus non-sports have lower odds of involvement in violence than adolescents from the same generation who do not participate in extracurricular activities. However, for first- and second-generation adolescents, participation in extracurricular activities is associated with higher rather than lower odds of violence compared to their non-participating counterparts. These findings challenge the viewpoint that participation in mainstream extracurricular activities as afforded by US schools is equally beneficial for all youth. They also call for additional research that explores why immigrant youth are less likely than non-immigrant youth to gain violence-reducing benefits when they participate in extracurricular activities.
Sherlock Holmes and the Curious Case of the Human Locomotor Central Pattern Generator.
Klarner, Taryn; Zehr, E Paul
2018-03-14
Evidence first described in reduced animal models over 100 years ago led to deductions about the control of locomotion through spinal locomotor central pattern generating (CPG) networks. These discoveries in nature were contemporaneous with another form of deductive reasoning found in popular culture-that of Arthur Conan Doyle's detective "Sherlock Holmes". Since the invasive methods used in reduced non-human animal preparations are not amenable to study in humans, we are left instead with deducing from other measures and observations. Using the deductive reasoning approach of Sherlock Holmes as a metaphor for framing research into human CPGs, we speculate and weigh the evidence that should be observable in humans based on knowledge from other species. This review summarizes indirect inference to assess "observable evidence" of pattern generating activity which leads to the logical deduction of CPG contributions to arm and leg activity during locomotion in humans. The question of where a CPG may be housed in the human nervous system remains incompletely resolved at this time. Ongoing understanding, elaboration and application of functioning locomotor CPGs in humans is important for gait rehabilitation strategies in those with neurological injuries.
Kuk, Mariya; Shkrum, Michael J
2018-05-01
The Office of the Chief Coroner for Ontario database for 2011-2012 was used to compare fatal injury patterns in drivers whose third-generation airbags deployed compared to first- and second-generation airbag deployments and airbag nondeployments with and without seatbelt use. There were 110 frontal and offset frontal crashes analyzed. The small sample size meant that the odds of craniocerebral, cervical spinal, thoracic, and abdominal injuries were not statistically different for airbag generation, deployment status, and seatbelt use; however, the risk of fatal thoracic injuries in third- and second-generation cases was increased. Seatbelt usage in third- and second-generation deployment cases reduced the risk of all injuries except abdominal trauma. High severity impacts and occupant compartment intrusion were frequently observed. The analyses in this retrospective study were challenged by data that were not collated in a standardized way and were limited in details about scene, vehicle, and driver variables. © 2017 American Academy of Forensic Sciences.
Newman, Amy E M; Foerster, Melody; Shoemaker, Kelly L; Robertson, R Meldrum
2003-11-01
Ventilation is a crucial motor activity that provides organisms with an adequate circulation of respiratory gases. For animals that exist in harsh environments, an important goal is to protect ventilation under extreme conditions. Heat shock, anoxia, and cold shock are environmental stresses that have previously been shown to trigger protective responses. We used the locust to examine stress-induced thermotolerance by monitoring the ability of the central nervous system to generate ventilatory motor patterns during a subsequent heat exposure. Preparations from pre-stressed animals had an increased incidence of motor pattern recovery following heat-induced failure, however, prior stress did not alter the characteristics of the ventilatory motor pattern. During constant heat exposure at sub-lethal temperatures, we observed a protective effect of heat shock pre-treatment. Serotonin application had similar effects on motor patterns when compared to prior heat shock. These studies are consistent with previous studies that indicate prior exposure to extreme temperatures and hypoxia can protect neural operation against high temperature stress. They further suggest that the protective mechanism is a time-dependent process best revealed during prolonged exposure to extreme temperatures and is mediated by a neuromodulator such as serotonin.
Generation of optical vortices with controllable topological charges and polarization patterns
NASA Astrophysics Data System (ADS)
Yang, Ching-Han; Fuh, Andy Ying-Guey
2017-02-01
We present a simple and flexible method of generating various vectorial vortex beams (VVBs) based on the scheme of double modulations from a single liquid crystal spatial light modulator (SLM). In this configuration, a half-wave plate (HWP) placed in front of the SLM is first used to control the weights of linear polarization components of incident light. Then, we respectively encode two orbital angular momentum (OAM) eigenstates displayed on each half of the SLM onto each of the linear components of light. This yields the generation of VVB fields spanned by a pair of linearly polarized OAM eigenstates. In order to convert polarization bases from the linear pair into another orthogonal pair, a quarter-wave plate (QWP) placed behind the SLM is used. This enables us to generate VVBs spanned by any pair of orthogonally polarized OAM eigenstates. Generally, the light states of polarization (SOP) can be presented as a geodesic path located on the plane perpendicular to the axis connecting the pair of bases used on the Poincaré sphere. The light property is adjustable depending on both slow axes of HWP and QWP, as well as via computer generated holograms. To validate generated beams, two measurement procedures are subsequently applied. First, Stokes polarimetry is used to measure the light SOP over the transverse plane. Next, a Shack-Hartmann wavefront sensor is used to measure the OAM charge. Both the simulated and experimental results are shown to be in a good qualitative agreement. In addition, both polarization patterns and OAM charges can be controlled independently using the proposed method.
2013-01-01
Background Multicellular organisms consist of cells of many different types that are established during development. Each type of cell is characterized by the unique combination of expressed gene products as a result of spatiotemporal gene regulation. Currently, a fundamental challenge in regulatory biology is to elucidate the gene expression controls that generate the complex body plans during development. Recent advances in high-throughput biotechnologies have generated spatiotemporal expression patterns for thousands of genes in the model organism fruit fly Drosophila melanogaster. Existing qualitative methods enhanced by a quantitative analysis based on computational tools we present in this paper would provide promising ways for addressing key scientific questions. Results We develop a set of computational methods and open source tools for identifying co-expressed embryonic domains and the associated genes simultaneously. To map the expression patterns of many genes into the same coordinate space and account for the embryonic shape variations, we develop a mesh generation method to deform a meshed generic ellipse to each individual embryo. We then develop a co-clustering formulation to cluster the genes and the mesh elements, thereby identifying co-expressed embryonic domains and the associated genes simultaneously. Experimental results indicate that the gene and mesh co-clusters can be correlated to key developmental events during the stages of embryogenesis we study. The open source software tool has been made available at http://compbio.cs.odu.edu/fly/. Conclusions Our mesh generation and machine learning methods and tools improve upon the flexibility, ease-of-use and accuracy of existing methods. PMID:24373308
Module Based Complexity Formation: Periodic Patterning in Feathers and Hairs
Chuong, Cheng-Ming; Yeh, Chao-Yuan; Jiang, Ting-Xin; Widelitz, Randall
2012-01-01
Patterns describe order which emerges from homogeneity. Complex patterns on the integument are striking because of their visibility throughout an organism's lifespan. Periodic patterning is an effective design because the ensemble of hair or feather follicles (modules) allows the generation of complexity, including regional variations and cyclic regeneration, giving the skin appendages a new lease on life. Spatial patterns include the arrangements of feathers and hairs in specified number, size, and spacing. We explore how a field of equivalent progenitor cells can generate periodically arranged modules based on genetic information, physical-chemical rules and developmental timing. Reconstitution experiments suggest a competitive equilibrium regulated by activators / inhibitors involving Turing reaction-diffusion. Temporal patterns result from oscillating stem cell activities within each module (micro-environment regulation), reflected as growth (anagen) and resting (telogen) phases during the cycling of feather and hair follicles. Stimulating modules with activators initiates the spread of regenerative hair waves, while global inhibitors outside each module (macro-environment) prevent this. Different wave patterns can be simulated by Cellular Automata principles. Hormonal status and seasonal changes can modulate appendage phenotypes, leading to “organ metamorphosis”, with multiple ectodermal organ phenotypes generated from the same precursors. We discuss potential evolutionary novel steps using this module based complexity in several amniote integument organs, exemplified by the spectacular peacock feather pattern. We thus explore the application of the acquired knowledge of patterning in tissue engineering. New hair follicles can be generated after wounding. Hairs and feathers can be reconstituted through self-organization of dissociated progenitor cells. PMID:23539312
Module-based complexity formation: periodic patterning in feathers and hairs.
Chuong, Cheng-Ming; Yeh, Chao-Yuan; Jiang, Ting-Xin; Widelitz, Randall
2013-01-01
Patterns describe order which emerges from homogeneity. Complex patterns on the integument are striking because of their visibility throughout an organism’s lifespan. Periodic patterning is an effective design because the ensemble of hair or feather follicles (modules) allows the generation of complexity, including regional variations and cyclic regeneration, giving the skin appendages a new lease on life. Spatial patterns include the arrangements of feathers and hairs in specific number, size, and spacing.We explorehowa field of equivalent progenitor cells can generate periodically arranged modules based on genetic information, physical–chemical rules and developmental timing. Reconstitution experiments suggest a competitive equilibrium regulated by activators/inhibitors involving Turing reaction-diffusion. Temporal patterns result from oscillating stem cell activities within each module (microenvironment regulation), reflected as growth (anagen) and resting (telogen) phases during the cycling of feather and hair follicles. Stimulating modules with activators initiates the spread of regenerative hair waves, while global inhibitors outside each module (macroenvironment) prevent this. Different wave patterns can be simulated by cellular automata principles. Hormonal status and seasonal changes can modulate appendage phenotypes, leading to ‘organ metamorphosis’, with multiple ectodermal organ phenotypes generated from the same precursors. We discuss potential novel evolutionary steps using this module-based complexity in several amniote integument organs, exemplified by the spectacular peacock feather pattern. We thus explore the application of the acquired knowledge of patterning in tissue engineering. New hair follicles can be generated after wounding. Hairs and feathers can be reconstituted through self-organization of dissociated progenitor cells. © 2012 Wiley Periodicals, Inc.
NASA Astrophysics Data System (ADS)
Octarina, Sisca; Radiana, Mutia; Bangun, Putra B. J.
2018-01-01
Two dimensional cutting stock problem (CSP) is a problem in determining the cutting pattern from a set of stock with standard length and width to fulfill the demand of items. Cutting patterns were determined in order to minimize the usage of stock. This research implemented pattern generation algorithm to formulate Gilmore and Gomory model of two dimensional CSP. The constraints of Gilmore and Gomory model was performed to assure the strips which cut in the first stage will be used in the second stage. Branch and Cut method was used to obtain the optimal solution. Based on the results, it found many patterns combination, if the optimal cutting patterns which correspond to the first stage were combined with the second stage.
Espinal, Andres; Rostro-Gonzalez, Horacio; Carpio, Martin; Guerra-Hernandez, Erick I.; Ornelas-Rodriguez, Manuel; Sotelo-Figueroa, Marco
2016-01-01
This paper presents a method to design Spiking Central Pattern Generators (SCPGs) to achieve locomotion at different frequencies on legged robots. It is validated through embedding its designs into a Field-Programmable Gate Array (FPGA) and implemented on a real hexapod robot. The SCPGs are automatically designed by means of a Christiansen Grammar Evolution (CGE)-based methodology. The CGE performs a solution for the configuration (synaptic weights and connections) for each neuron in the SCPG. This is carried out through the indirect representation of candidate solutions that evolve to replicate a specific spike train according to a locomotion pattern (gait) by measuring the similarity between the spike trains and the SPIKE distance to lead the search to a correct configuration. By using this evolutionary approach, several SCPG design specifications can be explicitly added into the SPIKE distance-based fitness function, such as looking for Spiking Neural Networks (SNNs) with minimal connectivity or a Central Pattern Generator (CPG) able to generate different locomotion gaits only by changing the initial input stimuli. The SCPG designs have been successfully implemented on a Spartan 6 FPGA board and a real time validation on a 12 Degrees Of Freedom (DOFs) hexapod robot is presented. PMID:27516737
Why the leopard got its spots: relating pattern development to ecology in felids
Allen, William L.; Cuthill, Innes C.; Scott-Samuel, Nicholas E.; Baddeley, Roland
2011-01-01
A complete explanation of the diversity of animal colour patterns requires an understanding of both the developmental mechanisms generating them and their adaptive value. However, only two previous studies, which involved computer-generated evolving prey, have attempted to make this link. This study examines variation in the camouflage patterns displayed on the flanks of many felids. After controlling for the effects of shared ancestry using a fully resolved molecular phylogeny, this study shows how phenotypes from plausible felid coat pattern generation mechanisms relate to ecology. We found that likelihood of patterning and pattern attributes, such as complexity and irregularity, were related to felids' habitats, arboreality and nocturnality. Our analysis also indicates that disruptive selection is a likely explanation for the prevalence of melanistic forms in Felidae. Furthermore, we show that there is little phylogenetic signal in the visual appearance of felid patterning, indicating that camouflage adapts to ecology over relatively short time scales. Our method could be applied to any taxon with colour patterns that can reasonably be matched to reaction–diffusion and similar models, where the kinetics of the reaction between two or more initially randomly dispersed morphogens determines the outcome of pattern development. PMID:20961899
TermGenie – a web-application for pattern-based ontology class generation
Dietze, Heiko; Berardini, Tanya Z.; Foulger, Rebecca E.; ...
2014-01-01
Biological ontologies are continually growing and improving from requests for new classes (terms) by biocurators. These ontology requests can frequently create bottlenecks in the biocuration process, as ontology developers struggle to keep up, while manually processing these requests and create classes. TermGenie allows biocurators to generate new classes based on formally specified design patterns or templates. The system is web-based and can be accessed by any authorized curator through a web browser. Automated rules and reasoning engines are used to ensure validity, uniqueness and relationship to pre-existing classes. In the last 4 years the Gene Ontology TermGenie generated 4715 newmore » classes, about 51.4% of all new classes created. The immediate generation of permanent identifiers proved not to be an issue with only 70 (1.4%) obsoleted classes. Lastly, TermGenie is a web-based class-generation system that complements traditional ontology development tools. All classes added through pre-defined templates are guaranteed to have OWL equivalence axioms that are used for automatic classification and in some cases inter-ontology linkage. At the same time, the system is simple and intuitive and can be used by most biocurators without extensive training.« less
TermGenie – a web-application for pattern-based ontology class generation
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dietze, Heiko; Berardini, Tanya Z.; Foulger, Rebecca E.
Biological ontologies are continually growing and improving from requests for new classes (terms) by biocurators. These ontology requests can frequently create bottlenecks in the biocuration process, as ontology developers struggle to keep up, while manually processing these requests and create classes. TermGenie allows biocurators to generate new classes based on formally specified design patterns or templates. The system is web-based and can be accessed by any authorized curator through a web browser. Automated rules and reasoning engines are used to ensure validity, uniqueness and relationship to pre-existing classes. In the last 4 years the Gene Ontology TermGenie generated 4715 newmore » classes, about 51.4% of all new classes created. The immediate generation of permanent identifiers proved not to be an issue with only 70 (1.4%) obsoleted classes. Lastly, TermGenie is a web-based class-generation system that complements traditional ontology development tools. All classes added through pre-defined templates are guaranteed to have OWL equivalence axioms that are used for automatic classification and in some cases inter-ontology linkage. At the same time, the system is simple and intuitive and can be used by most biocurators without extensive training.« less
Chen, G; Fournier, R L; Varanasi, S
1998-02-20
An optimal pH control technique has been developed for multistep enzymatic synthesis reactions where the optimal pH differs by several units for each step. This technique separates an acidic environment from a basic environment by the hydrolysis of urea within a thin layer of immobilized urease. With this technique, a two-step enzymatic reaction can take place simultaneously, in proximity to each other, and at their respective optimal pH. Because a reaction system involving an acid generation represents a more challenging test of this pH control technique, a number of factors that affect the generation of such a pH gradient are considered in this study. The mathematical model proposed is based on several simplifying assumptions and represents a first attempt to provide an analysis of this complex problem. The results show that, by choosing appropriate parameters, the pH control technique still can generate the desired pH gradient even if there is an acid-generating reaction in the system. Copyright 1998 John Wiley & Sons, Inc.
NASA Astrophysics Data System (ADS)
Cao, L.; Cheng, Q.
2004-12-01
The scale invariant generator technique (SIG) and spectrum-area analysis technique (S-A) were developed independently relevant to the concept of the generalized scale invariance (GSI). The former was developed for characterizing the parameters involved in the GSI for characterizing and simulating multifractal measures whereas the latter was for identifying scaling breaks for decomposition of superimposed multifractal measures caused by multiple geophysical processes. A natural integration of these two techniques may yield a new technique to serve two purposes, on the one hand, that can enrich the power of S-A by increasing the interpretability of decomposed patterns in some applications of S-A and, on the other hand, that can provide a mean to test the uniqueness of multifractality of measures which is essential for application of SIG technique in more complicated environment. The implementation of the proposed technique has been done as a Dynamic Link Library (DLL) in Visual C++. The program can be friendly used for method validation and application in different fields.
Bernard, Kristin; Butzin-Dozier, Zachary; Rittenhouse, Joseph; Dozier, Mary
2010-05-01
To examine differences in waking to bedtime cortisol production between children who remained with birth parents vs children placed in foster care following involvement of Child Protective Services (CPS). Between-subject comparison of cortisol patterns among 2 groups of children. Children referred from the child welfare system. Three hundred thirty-nine children aged 2.9 to 31.4 months who were living with birth parents (n = 155) or placed in foster care (n = 184) following CPS involvement as well as 96 unmatched children from low-risk environments. Main Exposures Involvement by CPS and foster care. Main Outcome Measure Salivary cortisol samples obtained at waking and bedtime for children on 2 days. Child Protective Services-involved children who continued to live with birth parents and CPS-involved children placed in foster care differed in cortisol production, with children living with their birth parents showing flatter slopes in waking to bedtime values. Continuing to live with birth parents following involvement of CPS is associated with greater perturbation to the diurnal pattern of cortisol production than living with foster parents. Foster care may have a regulating influence on children's cortisol among children who have experienced maltreatment.
Studies of uncontrolled air traffic patterns, phase 1
NASA Technical Reports Server (NTRS)
Baxa, E. G., Jr.; Scharf, L. L.; Ruedger, W. H.; Modi, J. A.; Wheelock, S. L.; Davis, C. M.
1975-01-01
The general aviation air traffic flow patterns at uncontrolled airports are investigated and analyzed and traffic pattern concepts are developed to minimize the midair collision hazard in uncontrolled airspace. An analytical approach to evaluate midair collision hazard probability as a function of traffic densities is established which is basically independent of path structure. Two methods of generating space-time interrelationships between terminal area aircraft are presented; one is a deterministic model to generate pseudorandom aircraft tracks, the other is a statistical model in preliminary form. Some hazard measures are presented for selected traffic densities. It is concluded that the probability of encountering a hazard should be minimized independently of any other considerations and that the number of encounters involving visible-avoidable aircraft should be maximized at the expense of encounters in other categories.
Noh, Yun Hong; Jeong, Do Un
2014-07-15
In this paper, a packet generator using a pattern matching algorithm for real-time abnormal heartbeat detection is proposed. The packet generator creates a very small data packet which conveys sufficient crucial information for health condition analysis. The data packet envelopes real time ECG signals and transmits them to a smartphone via Bluetooth. An Android application was developed specifically to decode the packet and extract ECG information for health condition analysis. Several graphical presentations are displayed and shown on the smartphone. We evaluate the performance of abnormal heartbeat detection accuracy using the MIT/BIH Arrhythmia Database and real time experiments. The experimental result confirm our finding that abnormal heart beat detection is practically possible. We also performed data compression ratio and signal restoration performance evaluations to establish the usefulness of the proposed packet generator and the results were excellent.
Life-Patterns, Choices, Careers: 1991-1998. Research Report 17.
ERIC Educational Resources Information Center
Dwyer, Peter; Harwood, Aramiha; Tyler, Debra
A follow-up study of participants in the "Life Patterns of the Post-1970 Generation" project (1,334 of 29,155 initial participants) focused on backgrounds, living situations, work and study involvement, and life experience and future expectations. Data indicated that 49 percent had attained a career job within 3 years of graduating. The…
ERIC Educational Resources Information Center
Roderick, Jessie A.
The Involvement Instrument, an observational technique for examining children's interaction patterns and degree of involvement within the school setting, is presented in this paper. Training protocols for obtaining skill in using the Involvement Instrument, methods of analyzing data obtained by using the instrument, and criteria for determining…
Lee, Sahmin; Yoon, Chang-Hwan; Oh, Il-Young; Suh, Jung-Won; Cho, Young-Seok; Cho, Goo-Yeong; Chae, In-Ho; Choi, Dong-Ju; Youn, Tae-Jin
2015-01-01
The angiographic features of restenosis contain prognostic information. However, restenosis patterns of the new generation drug-eluting stents (DES), everolimus-(EES) and resolute zotarolimus-eluting stent (ZES) have not been described.A total of 210 consecutive patients with DES restenosis were enrolled from 2003 to 2012. We analyzed 217 restenotic lesions after DES implantation, and compared the morphologic characteristics of the 2nd generation DES restenosis to those of restenosis with 2 first generation DES, sirolimus-(SES) and paclitaxel-eluting stent (PES).Baseline characteristics were comparable between the different stent groups. The incidence of focal restenosis was significantly lower for PES than the other stents (49.5% versus 87.0%, 76.2%, and 82.1% for PES versus SES, EES, and ZES, respectively, P < 0.001). When considering the pattern of restenosis solely within the stent margins, a further clear distinction between PES and other stents was observed (40.0% versus 92.9%, 88.9%, and 81.2% in PES versus SES, EES, and ZES, respectively, P < 0.001). There were no significant differences in restenosis patterns among SES, EES, and ZES. In multivariate analysis, PES implantation, hypertension, and age were associated with non-focal type of restenosis after DES implantation. After the introduction of EES and ZES into routine clinical practice in 2008, focal restenosis significantly increased from 63.9% to 76.7% and diffuse restenosis significantly decreased from 26.4% to 11.0% (P = 0.045).Focal restenosis was the most common pattern of restenosis in the new generation DES and the incidence of diffuse restenosis significantly decreased with the introduction of the 2nd generation DES.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jiang, Ximan
strategies have been studied. The dependence of the throughput with the exposure field size and the speed of the mechanical stage has been investigated. In order to perform maskless lithography, different micro-fabricated pattern generators have been developed for the MMRL system. Ion beamlet switching has been successfully demonstrated on the MMRL system. A positive bias voltage around 10 volts is sufficient to switch off the ion current on the micro-fabricated pattern generators. Some unexpected problems, such as the high-energy secondary electron radiations, have been discovered during the experimental investigation. Thermal and structural analysis indicates that the aperture displacement error induced by thermal expansion can satisfy the 3δ CD requirement for lithography nodes down to 25 nm. The cross-talking effect near the surface and inside the apertures of the pattern generator has been simulated in a 3-D ray-tracing code. New pattern generator design has been proposed to reduce the cross-talking effect. In order to eliminate the surface charging effect caused by the secondary electrons, a new beam-switching scheme in which the switching electrodes are immersed in the plasma has been demonstrated on a mechanically fabricated pattern generator.« less
Baev, K V; Esipenko, V B; Shimansky YuP
1991-01-01
Changes in the motor activity of the spinal locomotor generator evoked by tonic and phasic peripheral afferent signals during fictitious locomotion of both slow and fast rhythms were analysed in the cat. The tonic afferent inflow was conditioned by the position of the hindlimb. The phasic afferent signals were imitated by electrical stimulation of hindlimb nerves. The correlation between the kinematics of hindlimb locomotor movement and sensory inflow was investigated during actual locomotion. Reliable correlations between motor activity parameters during fictitious locomotion were revealed in cases of both slow and fast "locomotor" rhythms. The main difference between these cases was that correlations "duration-intensity" were positive in the first and negative in the second case. The functional role of "locomotor" pattern dependence on tonic sensory inflow consisted of providing stability for planting the hindlimb on the ground. For any investigated afferent input the phase moments in the "locomotor" cycle were found, in which an afferent signal caused no rearrangement in locomotor generator activity. These moments corresponded to the transitions between "flexion" and "extension" phases and to the bursts of integral afferent activity observed during real locomotion. The data obtained are compared with the results previously described for the scratching generator. The character of changes in "locomotor" activity in response to tonic and phasic sensory signals was similar to that of such changes in "scratching" rhythm in the case of fast "locomotion". Intensification of the "flexion" phase caused by phasic high-intensity stimulation of cutaneous afferents during low "locomotor" rhythm was changed to inhibition (such as observed during "scratching") when this rhythm was fast. It is concluded that the main regularities of peripheral afferent control for both the locomotor and scratching generators are the same. Moreover, these central pattern generators are just
Generation and Gender Differences in Causal Attributions of Parenting Performance.
ERIC Educational Resources Information Center
McBride, Angela Barron; Austin, Joan Kessner
The social psychology literature largely ignores attribution patterns made by both sexes of differing generations on an activity with salience for both sexes. "Parenting" is an activity with such salience. In estimating parental success for stimulus situations involving parent-child interactions, undergraduates and their parents were virtually…
NASA Astrophysics Data System (ADS)
Pastorello, G.; Agarwal, D.; Poindexter, C.; Papale, D.; Trotta, C.; Ribeca, A.; Canfora, E.; Faybishenko, B.; Gunter, D.; Chu, H.
2015-12-01
The fluxes-measuring sites that are part of AmeriFlux are operated and maintained in a fairly independent fashion, both in terms of scientific goals and operational practices. This is also the case for most sites from other networks in FLUXNET. This independence leads to a degree of heterogeneity in the data sets collected at the sites, which is also reflected in data quality levels. The generation of derived data products and data synthesis efforts, two of the main goals of these networks, are directly affected by the heterogeneity in data quality. In a collaborative effort between AmeriFlux and ICOS, a series of quality checks are being conducted for the data sets before any network-level data processing and product generation take place. From these checks, a set of common data issues were identified, and are being cataloged and classified into data quality patterns. These patterns are now being used as a basis for implementing automation for certain data quality checks, speeding up the process of applying the checks and evaluating the data. Currently, most data checks are performed individually in each data set, requiring visual inspection and inputs from a data curator. This manual process makes it difficult to scale the quality checks, creating a bottleneck for the data processing. One goal of the automated checks is to free up time of data curators so they can focus on new or less common issues. As new issues are identified, they can also be cataloged and classified, extending the coverage of existing patterns or potentially generating new patterns, helping both improve existing automated checks and create new ones. This approach is helping make data quality evaluation faster, more systematic, and reproducible. Furthermore, these patterns are also helping with documenting common causes and solutions for data problems. This can help tower teams with diagnosing problems in data collection and processing, and also in correcting historical data sets. In this
Persistent pattern speeds in Saturn's D ring
NASA Astrophysics Data System (ADS)
Chancia, Robert; Hedman, Matthew M.
2016-05-01
Saturn's D ring is the innermost part of Saturn's ring system. Due to its close proximity to the planet, it is sensitive to perturbing forces caused by asymmetries in Saturn's interior and magnetic field. Using high-phase-angle images obtained by the Imaging Science Subsystem (ISS) over the course of the entire Cassini mission we investigate the region between 71000-73000 km from Saturn's center. Previous studies have shown that this region contains azimuthal brightness variations generated by periodic perturbing forces with frequencies close to Saturn's rotation rate (nearly twice the local orbital period). These structures are not due to a single resonance, but instead involve a complex network of patterns drifting past one another over time. Some of these could be caused by asymmetries in Saturn's magnetosphere, which have rotation rates that have been observed to change over the course of the Cassini mission. However, some patterns may be generated by perturbations from long-lived gravitational anomalies inside the planet that move at speeds comparable to Saturn's winds. By comparing observations taken over several years we can distinguish the patterns caused by each phenomenon. We identify multiple structures with nearly constant pattern speeds that would appear to be due to persistent structures inside the planet. Strangely, the rotation rates required to produce these D ring structures are different from those responsible for generating waves in the C ring (where the local orbital rate is roughly 3/2 Saturn's rotation rate).
Cortical regions involved in the generation of musical structures during improvisation in pianists.
Bengtsson, Sara L; Csíkszentmihályi, Mihály; Ullén, Fredrik
2007-05-01
Studies on simple pseudorandom motor and cognitive tasks have shown that the dorsolateral prefrontal cortex and rostral premotor areas are involved in free response selection. We used functional magnetic resonance imaging to investigate whether these brain regions are also involved in free generation of responses in a more complex creative behavior: musical improvisation. Eleven professional pianists participated in the study. In one condition, Improvise, the pianist improvised on the basis of a visually displayed melody. In the control condition, Reproduce, the participant reproduced his previous improvisation from memory. Participants were able to reproduce their improvisations with a high level of accuracy, and the contrast Improvise versus Reproduce was thus essentially matched in terms of motor output and sensory feedback. However, the Improvise condition required storage in memory of the improvisation. We therefore also included a condition FreeImp, where the pianist improvised but was instructed not to memorize his performance. To locate brain regions involved in musical creation, we investigated the activations in the Improvise-Reproduce contrast that were also present in FreeImp contrasted with a baseline rest condition. Activated brain regions included the right dorsolateral prefrontal cortex, the presupplementary motor area, the rostral portion of the dorsal premotor cortex, and the left posterior part of the superior temporal gyrus. We suggest that these regions are part of a network involved in musical creation, and discuss their possible functional roles.
NASA Astrophysics Data System (ADS)
Bohra, Murtaza
Legged rovers are often considered as viable solutions for traversing unknown terrain. This work addresses the optimal locomotion reconfigurability of quadruped rovers, which consists of obtaining optimal locomotion modes, and transitioning between them. A 2D sagittal plane rover model is considered based on a domestic cat. Using a Genetic Algorithm, the gait, pose and control variables that minimize torque or maximize speed are found separately. The optimization approach takes into account the elimination of leg impact, while considering the entire variable spectrum. The optimal solutions are consistent with other works on gait optimization, and are similar to gaits found in quadruped animals as well. An online model-free gait planning framework is also implemented, that is based on Central Pattern Generators is implemented. It is used to generate joint and control trajectories for any arbitrarily varying speed profile, and shown to regulate locomotion transition and speed modulation, both endogenously and continuously.
Convergence of pattern generator outputs on a common mechanism of diaphragm motor unit recruitment.
Mantilla, Carlos B; Seven, Yasin B; Sieck, Gary C
2014-01-01
Motor units are the final element of neuromotor control. In manner analogous to the organization of neuromotor control in other skeletal muscles, diaphragm motor units comprise phrenic motoneurons located in the cervical spinal cord that innervate the diaphragm muscle, the main inspiratory muscle in mammals. Diaphragm motor units play a primary role in sustaining ventilation but are also active in other nonventilatory behaviors, including coughing, sneezing, vomiting, defecation, and parturition. Diaphragm muscle fibers comprise all fiber types. Thus, diaphragm motor units display substantial differences in contractile and fatigue properties, but importantly, properties of the motoneuron and muscle fibers within a motor unit are matched. As in other skeletal muscles, diaphragm motor units are recruited in order such that motor units that display greater fatigue resistance are recruited earlier and more often than more fatigable motor units. The properties of the motor unit population are critical determinants of the function of a skeletal muscle across the range of possible motor tasks. Accordingly, fatigue-resistant motor units are sufficient to generate the forces necessary for ventilatory behaviors, whereas more fatigable units are only activated during expulsive behaviors important for airway clearance. Neuromotor control of diaphragm motor units may reflect selective inputs from distinct pattern generators distributed according to the motor unit properties necessary to accomplish these different motor tasks. In contrast, widely distributed inputs to phrenic motoneurons from various pattern generators (e.g., for breathing, coughing, or vocalization) would dictate recruitment order based on intrinsic electrophysiological properties. © 2014 Elsevier B.V. All rights reserved.
Convergence of pattern generator outputs on a common mechanism of diaphragm motor unit recruitment
Mantilla, Carlos B.; Seven, Yasin B.; Sieck, Gary C.
2014-01-01
Motor units are the final element of neuromotor control. In manner analogous to the organization of neuromotor control in other skeletal muscles, diaphragm motor units comprise phrenic motoneurons located in the cervical spinal cord that innervate the diaphragm muscle, the main inspiratory muscle in mammals. Diaphragm motor units play a primary role in sustaining ventilation, but are also active in other non-ventilatory behaviors, including coughing, sneezing, vomiting, defecation and parturition. Diaphragm muscle fibers comprise all fiber types. Thus, diaphragm motor units display substantial differences in contractile and fatigue properties, but importantly properties of the motoneuron and muscle fibers within a motor unit are matched. As in other skeletal muscles, diaphragm motor units are recruited in order such that motor units that display greater fatigue resistance are recruited earlier and more often than more fatigable motor units. The properties of the motor unit population are critical determinants of the function of a skeletal muscle across the range of possible motor tasks. Accordingly, fatigue-resistant motor units are sufficient to generate the forces necessary for ventilatory behaviors whereas more fatigable units are only activated during expulsive behaviors important for airway clearance. Neuromotor control of diaphragm motor units may reflect selective inputs from distinct pattern generators distributed according to the motor unit properties necessary to accomplish these different motor tasks. In contrast, widely-distributed inputs to phrenic motoneurons from various pattern generators (e.g., for breathing, coughing or vocalization) would dictate recruitment order based on intrinsic electrophysiological properties. PMID:24746055
Talpalar, Adolfo E.; Rybak, Ilya A.
2015-01-01
The locomotor gait in limbed animals is defined by the left-right leg coordination and locomotor speed. Coordination between left and right neural activities in the spinal cord controlling left and right legs is provided by commissural interneurons (CINs). Several CIN types have been genetically identified, including the excitatory V3 and excitatory and inhibitory V0 types. Recent studies demonstrated that genetic elimination of all V0 CINs caused switching from a normal left-right alternating activity to a left-right synchronized “hopping” pattern. Furthermore, ablation of only the inhibitory V0 CINs (V0D subtype) resulted in a lack of left-right alternation at low locomotor frequencies and retaining this alternation at high frequencies, whereas selective ablation of the excitatory V0 neurons (V0V subtype) maintained the left–right alternation at low frequencies and switched to a hopping pattern at high frequencies. To analyze these findings, we developed a simplified mathematical model of neural circuits consisting of four pacemaker neurons representing left and right, flexor and extensor rhythm-generating centers interacting via commissural pathways representing V3, V0D, and V0V CINs. The locomotor frequency was controlled by a parameter defining the excitation of neurons and commissural pathways mimicking the effects of N-methyl-D-aspartate on locomotor frequency in isolated rodent spinal cord preparations. The model demonstrated a typical left-right alternating pattern under control conditions, switching to a hopping activity at any frequency after removing both V0 connections, a synchronized pattern at low frequencies with alternation at high frequencies after removing only V0D connections, and an alternating pattern at low frequencies with hopping at high frequencies after removing only V0V connections. We used bifurcation theory and fast-slow decomposition methods to analyze network behavior in the above regimes and transitions between them. The model
Shook, Jeffrey; Goodkind, Sara; Pohlig, Ryan T; Schelbe, Lisa; Herring, David; Kim, Kevin H
2011-07-01
Although research on youth aging out of the child welfare system has increased, there has been limited focus on how their experiences vary. In particular, there is a need to examine patterns in the involvement of these youth in other systems, which indicate constellations of challenges facing these young people as they transition out of care and into adulthood. Using administrative data from a large birth cohort of individuals born between 1985 and 1994 whose families have been involved in the child welfare system, this article presents an analysis of the mental health, substance abuse, juvenile justice, and criminal justice system involvement of youth who have aged out of child welfare. Using a 2-step cluster analysis, we identify 5 subgroups of youth. Two of these groups, accounting for almost half of the youth, have little other system involvement and have child welfare care careers of relative stability. The other 3 groups, consisting of just over half of the youth, have much more extensive other system involvement, as well as care careers marked by instability and a greater proportion of time spent in congregate care. © 2011 American Orthopsychiatric Association.
Frank, Steven A.
2010-01-01
We typically observe large-scale outcomes that arise from the interactions of many hidden, small-scale processes. Examples include age of disease onset, rates of amino acid substitutions, and composition of ecological communities. The macroscopic patterns in each problem often vary around a characteristic shape that can be generated by neutral processes. A neutral generative model assumes that each microscopic process follows unbiased or random stochastic fluctuations: random connections of network nodes; amino acid substitutions with no effect on fitness; species that arise or disappear from communities randomly. These neutral generative models often match common patterns of nature. In this paper, I present the theoretical background by which we can understand why these neutral generative models are so successful. I show where the classic patterns come from, such as the Poisson pattern, the normal or Gaussian pattern, and many others. Each classic pattern was often discovered by a simple neutral generative model. The neutral patterns share a special characteristic: they describe the patterns of nature that follow from simple constraints on information. For example, any aggregation of processes that preserves information only about the mean and variance attracts to the Gaussian pattern; any aggregation that preserves information only about the mean attracts to the exponential pattern; any aggregation that preserves information only about the geometric mean attracts to the power law pattern. I present a simple and consistent informational framework of the common patterns of nature based on the method of maximum entropy. This framework shows that each neutral generative model is a special case that helps to discover a particular set of informational constraints; those informational constraints define a much wider domain of non-neutral generative processes that attract to the same neutral pattern. PMID:19538344
Model Predictive Control-based gait pattern generation for wearable exoskeletons.
Wang, Letian; van Asseldonk, Edwin H F; van der Kooij, Herman
2011-01-01
This paper introduces a new method for controlling wearable exoskeletons that do not need predefined joint trajectories. Instead, it only needs basic gait descriptors such as step length, swing duration, and walking speed. End point Model Predictive Control (MPC) is used to generate the online joint trajectories based on these gait parameters. Real-time ability and control performance of the method during the swing phase of gait cycle is studied in this paper. Experiments are performed by helping a human subject swing his leg with different patterns in the LOPES gait trainer. Results show that the method is able to assist subjects to make steps with different step length and step duration without predefined joint trajectories and is fast enough for real-time implementation. Future study of the method will focus on controlling the exoskeletons in the entire gait cycle. © 2011 IEEE
ERIC Educational Resources Information Center
Goldstein, Joshua R.; Harknett, Kristen
2006-01-01
We examine the assortative mating patterns of new parents who are married, cohabiting, romantically involved and no longer romantically involved. Using data from the Fragile Families and Child Wellbeing study, we find that relationship status at the time of a birth depends mainly on father's race rather than on whether mother and father's…
Arshavsky, I; Deliagina, T G; Orlovsky, G N
2015-01-01
Central pattern generators (CPGs) are a set of interconnected neurons capable of generating a basic pattern of motor output underlying "automatic" movements (breathing, locomotion, chewing, swallowing, and so on) in the absence of afferent signals from the executive motor apparatus. They can be divided into the constitutive CPGs active throughout the entire lifetime (respiratory CPGs) and conditional CPGs controlling episodic movements (locomotion, chewing, swallowing, and others). Since a motor output of CPGs is determined by their internal organization, the activities of the conditional CPGs are initiated by simple commands coming from higher centers. We describe the structural and functional organization of the locomotor CPGs in the marine mollusk Clione limacina, lamprey, frog embryo, and laboratory mammals (cat, mouse, and rat), CPGs controlling the respiratory and swallowing movements in mammals, and CPGs controlling discharges of the electric organ in the gymnotiform fish. It is shown that in all these cases, the generation of rhythmic motor output is based both on the endogenous (pacemaker) activity of specific groups of interneurons and on interneural interactions. These two interrelated mechanisms complement each other, ensuring the high reliability of CPG functionality. We discuss how the experience obtained in studying CPGs can be used to understand mechanisms of more complex functions of the brain, including its cognitive functions.
PAST-TENSE GENERATION FROM FORM VERSUS MEANING: BEHAVIOURAL DATA AND SIMULATION EVIDENCE
Woollams, Anna M.; Joanisse, Marc; Patterson, Karalyn
2009-01-01
The standard task used to study inflectional processing of verbs involves presentation of the stem form from which the participant is asked to generate the past tense. This task reveals a processing disadvantage for irregular relative to regular English verbs, more pronounced for lower-frequency items. Dual- and single-mechanism theories of inflectional morphology are both able to account for this pattern; but the models diverge in their predictions concerning the magnitude of the regularity effect expected when the task involves past-tense generation from meaning. In this study, we asked normal speakers to generate the past tense from either form (verb stem) or meaning (action picture). The robust regularity effect observed in the standard form condition was no longer reliable when participants were required to generate the past tense from meaning. This outcome would appear problematic for dual-mechanism theories to the extent that they assume the process of inflection requires stem retrieval. By contrast, it supports single-mechanism models that consider stem retrieval to be task-dependent. We present a single-mechanism model of verb inflection incorporating distributed phonological and semantic representations that reproduces this task-dependent pattern. PMID:20161125
2D spatially controlled polymer micro patterning for cellular behavior studies
NASA Astrophysics Data System (ADS)
Dinca, V.; Palla-Papavlu, A.; Paraico, I.; Lippert, T.; Wokaun, A.; Dinescu, M.
2011-04-01
A simple and effective method to functionalize glass surfaces that enable polymer micropatterning and subsequent spatially controlled adhesion of cells is reported in this paper. The method involves the application of laser induced forward transfer (LIFT) to achieve polymer patterning in a single step onto cell repellent substrates (i.e. polyethyleneglycol (PEG)). This approach was used to produce micron-size polyethyleneimine (PEI)-patterns alternating with cell-repellent areas. The focus of this work is the ability of SH-SY5Y human neuroblastoma cells to orient, migrate, and produce organized cellular arrangements on laser generated PEI patterns.
NASA Astrophysics Data System (ADS)
Hirano, Ryoichi; Iida, Susumu; Amano, Tsuyoshi; Watanabe, Hidehiro; Hatakeyama, Masahiro; Murakami, Takeshi; Yoshikawa, Shoji; Suematsu, Kenichi; Terao, Kenji
2015-07-01
High-sensitivity EUV mask pattern defect detection is one of the major issues in order to realize the device fabrication by using the EUV lithography. We have already designed a novel Projection Electron Microscope (PEM) optics that has been integrated into a new inspection system named EBEYE-V30 ("Model EBEYE" is an EBARA's model code), and which seems to be quite promising for 16 nm hp generation EUVL Patterned mask Inspection (PI). Defect inspection sensitivity was evaluated by capturing an electron image generated at the mask by focusing onto an image sensor. The progress of the novel PEM optics performance is not only about making an image sensor with higher resolution but also about doing a better image processing to enhance the defect signal. In this paper, we describe the experimental results of EUV patterned mask inspection using the above-mentioned system. The performance of the system is measured in terms of defect detectability for 11 nm hp generation EUV mask. To improve the inspection throughput for 11 nm hp generation defect detection, it would require a data processing rate of greater than 1.5 Giga- Pixel-Per-Second (GPPS) that would realize less than eight hours of inspection time including the step-and-scan motion associated with the process. The aims of the development program are to attain a higher throughput, and enhance the defect detection sensitivity by using an adequate pixel size with sophisticated image processing resulting in a higher processing rate.
Generating strain signals under consideration of road surface profiles
NASA Astrophysics Data System (ADS)
Putra, T. E.; Abdullah, S.; Schramm, D.; Nuawi, M. Z.; Bruckmann, T.
2015-08-01
The current study aimed to develop the mechanism for generating strain signal utilising computer-based simulation. The strain data, caused by the acceleration, were undertaken from a fatigue data acquisition involving car movements. Using a mathematical model, the measured strain signals yielded to acceleration data used to describe the bumpiness of road surfaces. The acceleration signals were considered as an external disturbance on generating strain signals. Based on this comparison, both the actual and simulated strain data have similar pattern. The results are expected to provide new knowledge to generate a strain signal via a simulation.
Katz, P S
1998-05-01
There are many sources of modulatory input to CPGs and other types of neuronal circuits. These inputs can change the properties of cells and synapses and dramatically alter the production of motor patterns. Sometimes this enables the production of motor patterns by the circuit. At other times, the modulation allows alternate motor patterns to be produced by a single circuit. Modulatory neurones have fast as well as slow actions. In some cases, such as with GPR, the two types of effects are due to the release of co-transmitters. In other cases, such as with the DSIs, a single substance can act at different receptors to cause fast and slow postsynaptic actions. The effect of a neuromodulatory neurone is determined by the type of receptor on the target neurone. Thus a single modulatory neurone evokes a suite of actions in a circuit and thereby produces a co-ordinated output. Extrinsic and intrinsic sources of neuromodulation have different sets of constraints acting upon them. For example, extrinsic neuromodulation can easily be used for motor pattern selection; a different pattern is produced depending upon which modulatory inputs are active. However, intrinsic neuromodulation is not well suited to that task. Instead, it is useful for self-organizing properties and experience-dependent effects. One clear conclusion from this work and other work in the field is that neuromodulation by neurones intrinsic and extrinsic to CPGs is not uncommon (Katz, 1995; Katz & Frost, 1996). It is part of the normal process of motor pattern generation. As such, it needs to be considered when discussing mechanisms for neuronal circuit actions.
Spencer, Nick J; Hibberd, Timothy J; Travis, Lee; Wiklendt, Lukasz; Costa, Marcello; Hu, Hongzhen; Brookes, Simon J; Wattchow, David A; Dinning, Phil G; Keating, Damien J; Sorensen, Julian
2018-05-28
The enteric nervous system (ENS) contains millions of neurons essential for organization of motor behaviour of the intestine. It is well established the large intestine requires ENS activity to drive propulsive motor behaviours. However, the firing pattern of the ENS underlying propagating neurogenic contractions of the large intestine remains unknown. To identify this, we used high resolution neuronal imaging with electrophysiology from neighbouring smooth muscle. Myoelectric activity underlying propagating neurogenic contractions along murine large intestine (referred to as colonic migrating motor complexes, CMMCs) consisted of prolonged bursts of rhythmic depolarizations at a frequency of ∼2 Hz. Temporal coordination of this activity in the smooth muscle over large spatial fields (∼7mm, longitudinally) was dependent on the ENS. During quiescent periods between neurogenic contractions, recordings from large populations of enteric neurons, in mice of either sex, revealed ongoing activity. The onset of neurogenic contractions was characterized by the emergence of temporally synchronized activity across large populations of excitatory and inhibitory neurons. This neuronal firing pattern was rhythmic and temporally synchronized across large numbers of ganglia at ∼2 Hz. ENS activation preceded smooth muscle depolarization, indicating rhythmic depolarizations in smooth muscle were controlled by firing of enteric neurons. The cyclical emergence of temporally coordinated firing of large populations of enteric neurons represents a unique neural motor pattern outside the central nervous system. This is the first direct observation of rhythmic firing in the ENS underlying rhythmic electrical depolarizations in smooth muscle. The pattern of neuronal activity we identified underlies the generation of CMMCs. SIGNIFICANCE STATEMENT How the enteric nervous system (ENS) generates neurogenic contractions of smooth muscle in the gastrointestinal (GI) tract has been a long
Parental Involvement in Adolescent Romantic Relationships: Patterns and Correlates
ERIC Educational Resources Information Center
Kan, Marni L.; McHale, Susan M.; Crouter, Ann C.
2008-01-01
This study examined dimensions of mothers' and fathers' involvement in adolescents' romantic relationships when offspring were age 17. Using cluster analysis, parents from 105 White, working and middle class families were classified as positively involved, negatively involved, or autonomy-oriented with respect to their adolescents' romantic…
Behavioral Patterns of Children Involved in Bullying Episodes.
Santoyo, Carlos V; Mendoza, Brenda G
2018-01-01
This study applied a systematic observation strategy to identify coercive behavioral patterns in school environments. The aim was to describe stability and change in the behavioral patterns of children identified as victims of bullying. To this end, the following specific objectives were defined: (1) to identify episodes of bullying based on the frequency of negative behaviors received and power imbalances between bully and victim; (2) to describe stability and behavioral changes in student victims based on their social and academic conduct and the aggression they receive from peers and teachers; and (3) to describe the functional mechanisms responsible for the process of social organization (i.e., the Social Effectiveness, Social Responsiveness, and Social Reciprocity Indexes). The sample consisted of nine children identified as victims, nine classified as bullies, and nine matched controls, all elementary school students from the study developed at the National Autonomous University of Mexico files. A multidimensional/idiographic/follow-up observational design was used. Observational data describes asymmetry between victims and bullies based on microanalyses of the reciprocity of their behavioral exchanges. In addition, the behavioral patterns of victimized children were identified in relation to their academic activity and social relationships with peers. A model of coercive reciprocity accurately describes the asymmetry found among bullies, victims, and controls. A reduction in victimization was found to be related to: (1) responsiveness to the initiation of social interactions by peers and teachers; and (2) the time allocated to academic behavior during the study.
Behavioral Patterns of Children Involved in Bullying Episodes
Santoyo, Carlos V.; Mendoza, Brenda G.
2018-01-01
This study applied a systematic observation strategy to identify coercive behavioral patterns in school environments. The aim was to describe stability and change in the behavioral patterns of children identified as victims of bullying. To this end, the following specific objectives were defined: (1) to identify episodes of bullying based on the frequency of negative behaviors received and power imbalances between bully and victim; (2) to describe stability and behavioral changes in student victims based on their social and academic conduct and the aggression they receive from peers and teachers; and (3) to describe the functional mechanisms responsible for the process of social organization (i.e., the Social Effectiveness, Social Responsiveness, and Social Reciprocity Indexes). The sample consisted of nine children identified as victims, nine classified as bullies, and nine matched controls, all elementary school students from the study developed at the National Autonomous University of Mexico files. A multidimensional/idiographic/follow-up observational design was used. Observational data describes asymmetry between victims and bullies based on microanalyses of the reciprocity of their behavioral exchanges. In addition, the behavioral patterns of victimized children were identified in relation to their academic activity and social relationships with peers. A model of coercive reciprocity accurately describes the asymmetry found among bullies, victims, and controls. A reduction in victimization was found to be related to: (1) responsiveness to the initiation of social interactions by peers and teachers; and (2) the time allocated to academic behavior during the study. PMID:29755379
Solitary plasma rings and magnetic field generation involving gravity and differential rotation
NASA Astrophysics Data System (ADS)
Coppi, B.
2012-12-01
A new theoretical framework for describing how magnetic fields are generated and amplified is provided by finding magneto-gravitational modes that involve gravity, density gradients, and differential rotation in an essential way. Other factors, such as the presence of a high temperature particle population or of a temperature gradient, can contribute to their excitation. These modes identified by a linearized analysis are shown to be important for the evolution of plasma disks surrounding black holes toward different configurations. Since the nonlinear development of these modes can lead to radially localized regions with a relatively small differential rotation, new stationary structures have been identified, in the (fully) nonlinear limit, which are localized radially over regions with negligible gradients of the rotation frequency. These structures, characterized by solitary plasma rings, do not involve a pre-existing "seed" magnetic field, unlike other configurations found previously. The relevant magnetic energy density is comparable to the gravitationally confined plasma pressure. The "source" of these configurations is the combination of the gravitational force and of the plasma density gradient orthogonal to it that is an important factor in the theory of magneto-gravitational modes, another important factor being an anisotropy of the plasma pressure.
Neuromodulation intrinsic to the central pattern generator for escape swimming in Tritonia.
Katz, P S
1998-11-16
Extrinsic neuromodulatory inputs to central pattern generators (CPGs) can alter the properties and synaptic interactions of neurons in those circuits and thereby modify the output of the CPG. Recent work in a number of systems has now demonstrated that neurons intrinsic to CPG can also evoke neuromodulatory actions on other members of the CPG. Such "intrinsic neuromodulation" plays a role in controlling the CPG underlying the escape swim response of the nudibrach mollusc, Tritonia diomedea. The dorsal swim interneurons (DSIs) are a bilaterally represented set of three serotonergic neurons that participate in the generation of the rhythmic swim motor program. Serotonin released from these CPG neurons functions both as a fast neurotransmitter and as a slower neuromodulator. In its modulatory role, serotonin enhances the release of neurotransmitter from another CPG neuron, C2, and also increases C2 excitability by decreasing spike frequency adaptation. These neuromodulatory actions intrinsic to the CPG may be important for the initial self-configuration of the system into a function CPG and for experience-dependent changes in the output such as behavioral sensitization and habituation.
Aydogdu, Ibrahim; Tanriverdi, Zeynep; Ertekin, Cumhur
2011-06-01
The aim of this study is to investigate a probable dysfunction of the central pattern generator (CPG) in dysphagic patients with ALS. We investigated 58 patients with ALS, 23 patients with PD, and 33 normal subjects. The laryngeal movements and EMG of the submental muscles were recorded during sequential water swallowing (SWS) of 100ml of water. The coordination of SWS and respiration was also studied in some normal cases and ALS patients. Normal subjects could complete the SWS optimally within 10s using 7 swallows, while in dysphagic ALS patients, the total duration and the number of swallows were significantly increased. The novel finding was that the regularity and rhythmicity of the swallowing pattern during SWS was disorganized to irregular and arhythmic pattern in 43% of the ALS patients. The duration and speed of swallowing were the most sensitive parameters for the disturbed oropharyngeal motility during SWS. The corticobulbar control of swallowing is insufficient in ALS, and the swallowing CPG cannot work very well to produce segmental muscle activation and sequential swallowing. CPG dysfunction can result in irregular and arhythmical sequential swallowing in ALS patients with bulbar plus pseudobulbar types. The arhythmical SWS pattern can be considered as a kind of dysfunction of CPG in human ALS cases with dysphagia. Copyright © 2010 International Federation of Clinical Neurophysiology. Published by Elsevier Ireland Ltd. All rights reserved.
Patterning roadmap: 2017 prospects
NASA Astrophysics Data System (ADS)
Neisser, Mark
2017-06-01
Road mapping of semiconductor chips has been underway for over 20 years, first with the International Technology Roadmap for Semiconductors (ITRS) roadmap and now with the International Roadmap for Devices and Systems (IRDS) roadmap. The original roadmap was mostly driven bottom up and was developed to ensure that the large numbers of semiconductor producers and suppliers had good information to base their research and development on. The current roadmap is generated more top-down, where the customers of semiconductor chips anticipate what will be needed in the future and the roadmap projects what will be needed to fulfill that demand. The More Moore section of the roadmap projects that advanced logic will drive higher-resolution patterning, rather than memory chips. Potential solutions for patterning future logic nodes can be derived as extensions of `next-generation' patterning technologies currently under development. Advanced patterning has made great progress, and two `next-generation' patterning technologies, EUV and nanoimprint lithography, have potential to be in production as early as 2018. The potential adoption of two different next-generation patterning technologies suggests that patterning technology is becoming more specialized. This is good for the industry in that it lowers overall costs, but may lead to slower progress in extending any one patterning technology in the future.
Involvement of Adult Hippocampal Neurogenesis in Learning and Forgetting
Yau, Suk-yu; Li, Ang; So, Kwok-Fai
2015-01-01
Adult hippocampal neurogenesis is a process involving the continuous generation of newborn neurons in the hippocampus of adult animals. Mounting evidence has suggested that hippocampal neurogenesis contributes to some forms of hippocampus-dependent learning and memory; however, the detailed mechanism concerning how this small number of newborn neurons could affect learning and memory remains unclear. In this review, we discuss the relationship between adult-born neurons and learning and memory, with a highlight on recently discovered potential roles of neurogenesis in pattern separation and forgetting. PMID:26380120
Do subitizing deficits in developmental dyscalculia involve pattern recognition weakness?
Ashkenazi, Sarit; Mark-Zigdon, Nitza; Henik, Avishai
2013-01-01
The abilities of children diagnosed with developmental dyscalculia (DD) were examined in two types of object enumeration: subitizing, and small estimation (5-9 dots). Subitizing is usually defined as a fast and accurate assessment of a number of small dots (range 1 to 4 dots), and estimation is an imprecise process to assess a large number of items (range 5 dots or more). Based on reaction time (RT) and accuracy analysis, our results indicated a deficit in the subitizing and small estimation range among DD participants in relation to controls. There are indications that subitizing is based on pattern recognition, thus presenting dots in a canonical shape in the estimation range should result in a subitizing-like pattern. In line with this theory, our control group presented a subitizing-like pattern in the small estimation range for canonically arranged dots, whereas the DD participants presented a deficit in the estimation of canonically arranged dots. The present finding indicates that pattern recognition difficulties may play a significant role in both subitizing and subitizing deficits among those with DD. © 2012 Blackwell Publishing Ltd.
Klein, Julie; Eales, James; Zürbig, Petra; Vlahou, Antonia; Mischak, Harald; Stevens, Robert
2013-04-01
In this study, we have developed Proteasix, an open-source peptide-centric tool that can be used to predict in silico the proteases involved in naturally occurring peptide generation. We developed a curated cleavage site (CS) database, containing 3500 entries about human protease/CS combinations. On top of this database, we built a tool, Proteasix, which allows CS retrieval and protease associations from a list of peptides. To establish the proof of concept of the approach, we used a list of 1388 peptides identified from human urine samples, and compared the prediction to the analysis of 1003 randomly generated amino acid sequences. Metalloprotease activity was predominantly involved in urinary peptide generation, and more particularly to peptides associated with extracellular matrix remodelling, compared to proteins from other origins. In comparison, random sequences returned almost no results, highlighting the specificity of the prediction. This study provides a tool that can facilitate linking of identified protein fragments to predicted protease activity, and therefore into presumed mechanisms of disease. Experiments are needed to confirm the in silico hypotheses; nevertheless, this approach may be of great help to better understand molecular mechanisms of disease, and define new biomarkers, and therapeutic targets. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Crisp, Kevin M; Mesce, Karen A
2006-05-01
The biological mechanisms of behavioral selection, as it relates to locomotion, are far from understood, even in relatively simple invertebrate animals. In the medicinal leech, Hirudo medicinalis, the decision to swim is distributed across populations of swim-activating and swim-inactivating neurons descending from the subesophageal ganglion of the compound cephalic ganglion, i.e. the brain. In the present study, we demonstrate that the serotonergic LL and Retzius cells in the brain are excited by swim-initiating stimuli and during spontaneous swim episodes. This activity likely influences or resets the neuromodulatory state of neural circuits involved in the activation or subsequent termination of locomotion. When serotonin (5-HT) was perfused over the brain, multi-unit recordings from descending brain neurons revealed rapid and substantial alterations. Subsequent intracellular recordings from identified command-like brain interneurons demonstrated that 5-HT, especially in combination with octopamine, inhibited swim-triggering neuron Tr1, as well as swim-inactivating neurons Tr2 and SIN1. Although 5-HT inhibited elements of the swim-inactivation pathway, rather than promoting them, the indirect and net effect of the amine was a reliable and sustained reduction in the firing of the segmental swim-gating neuron 204. This modulation caused cell 204 to relinquish its excitatory drive to the swim central pattern generator. The activation pattern of serotonergic brain neurons that we observed during swimming and the 5-HT-immunoreactive staining pattern obtained, suggest that within the head brain 5-HT secretion is massive. Over time, 5-HT secretion may provide a homeostatic feedback mechanism to limit swimming activity at the level of the head brain.
Konstantinova, Anastasia M; Shelekhova, Ksenya V; Stewart, Colin J; Spagnolo, Dominic V; Kutzner, Heinz; Kacerovska, Denisa; Plaza, Jose A; Suster, Saul; Bouda, Jiri; Pavlovsky, Michal; Kyrpychova, Liubov; Michal, Michal; Guenova, Emmanuella; Kazakov, Dmitry V
2016-11-01
Extramammary Paget disease (EMPD) is a rare neoplasm usually presenting in the anogenital area, most commonly in the vulva. Adnexal involvement in primary EMPD is a very common feature and serves as a pathway for carcinoma to spread into deeper tissue. The depth of carcinomatous spread along the appendages and the patterns of adnexal involvement were studied in 178 lesions from 146 patients with primary EMPD. Hair follicles and eccrine ducts were the adnexa most commonly affected by carcinoma cells. The maximal depth of involvement was 3.6 mm in this series. When planning topical therapy or developing novel local treatment modalities for EMPD, this potential for significant deep spread along adnexa should be taken into account.
Sugahara, Fumiaki; Aota, Shin-ichi; Kuraku, Shigehiro; Murakami, Yasunori; Takio-Ogawa, Yoko; Hirano, Shigeki; Kuratani, Shigeru
2011-03-01
Dorsoventral (DV) specification is a crucial step for the development of the vertebrate telencephalon. Clarifying the origin of this mechanism will lead to a better understanding of vertebrate central nervous system (CNS) evolution. Based on the lamprey, a sister group of the gnathostomes (jawed vertebrates), we identified three lamprey Hedgehog (Hh) homologues, which are thought to play central signalling roles in telencephalon patterning. However, unlike in gnathostomes, none of these genes, nor Lhx6/7/8, a marker for the migrating interneuron subtype, was expressed in the ventral telencephalon, consistent with the reported absence of the medial ganglionic eminence (MGE) in this animal. Homologues of Gsh2, Isl1/2 and Sp8, which are involved in the patterning of the lateral ganglionic eminence (LGE) of gnathostomes, were expressed in the lamprey subpallium, as in gnathostomes. Hh signalling is necessary for induction of the subpallium identity in the gnathostome telencephalon. When Hh signalling was inhibited, the ventral identity was disrupted in the lamprey, suggesting that prechordal mesoderm-derived Hh signalling might be involved in the DV patterning of the telencephalon. By blocking fibroblast growth factor (FGF) signalling, the ventral telencephalon was suppressed in the lamprey, as in gnathostomes. We conclude that Hh- and FGF-dependent DV patterning, together with the resultant LGE identity, are likely to have been established in a common ancestor before the divergence of cyclostomes and gnathostomes. Later, gnathostomes would have acquired a novel Hh expression domain corresponding to the MGE, leading to the obtainment of cortical interneurons.
Neural mechanisms of sequence generation in songbirds
NASA Astrophysics Data System (ADS)
Langford, Bruce
Animal models in research are useful for studying more complex behavior. For example, motor sequence generation of actions requiring good muscle coordination such as writing with a pen, playing an instrument, or speaking, may involve the interaction of many areas in the brain, each a complex system in itself; thus it can be difficult to determine causal relationships between neural behavior and the behavior being studied. Birdsong, however, provides an excellent model behavior for motor sequence learning, memory, and generation. The song consists of learned sequences of notes that are spectrographically stereotyped over multiple renditions of the song, similar to syllables in human speech. The main areas of the songbird brain involve in singing are known, however, the mechanisms by which these systems store and produce song are not well understood. We used a custom built, head-mounted, miniature motorized microdrive to chronically record the neural firing patterns of identified neurons in HVC, a pre-motor cortical nucleus which has been shown to be important in song timing. These were done in Bengalese finch which generate a song made up of stereotyped notes but variable note sequences. We observed song related bursting in neurons projecting to Area X, a homologue to basal ganglia, and tonic firing in HVC interneurons. Interneuron had firing rate patterns that were consistent over multiple renditions of the same note sequence. We also designed and built a light-weight, low-powered wireless programmable neural stimulator using Bluetooth Low Energy Protocol. It was able to generate perturbations in the song when current pulses were administered to RA, which projects to the brainstem nucleus responsible for syringeal muscle control.
The Network Spinal Wave as a Central Pattern Generator.
Senzon, Simon A; Epstein, Donald M; Lemberger, Daniel
2016-07-01
This article explains the research on a unique spinal wave visibly observed in association with network spinal analysis care. Since 1997, the network wave has been studied using surface electromyography (sEMG), characterized mathematically, and determined to be a unique and repeatable phenomenon. The authors provide a narrative review of the research and a context for the network wave's development. The sEMG research demonstrates that the movement of the musculature of the spine during the wave phenomenon is electromagnetic and mechanical. The changes running along the spine were characterized mathematically at three distinct levels of care. Additionally, the wave has the mathematical properties of a central pattern generator (CPG). The network wave may be the first CPG discovered in the spine unrelated to locomotion. The mathematical characterization of the signal also demonstrates coherence at a distance between the sacral to cervical spine. According to mathematical engineers, based on studies conducted a decade apart, the wave itself is a robust phenomenon and the detection methods for this coherence may represent a new measure for central nervous system health. This phenomenon has implications for recovery from spinal cord injury and for reorganizational healing development.
Xiong, Lili; Li, Liping
2015-01-01
Introduction There is a gap that involves examining differences between patients in single-vehicle (SV) versus multi-vehicle (MV) accidents involving motorcycles in Shantou, China, regarding the injury patterns and mortality the patients sustained. This study aims to address this gap and provide a basis and reference for motorcycle injury prevention. Method Medical record data was collected between October 2002 and June 2012 on all motorcycle injury patients admitted to a hospital in the city of Shantou of the east Guangdong province in China. Comparative analysis was conducted between patients in SV accidents and patients in MV accidents regarding demographic and clinic characteristics, mortality, and injury patterns. Results Approximately 48% (n = 1977) of patients were involved in SV accidents and 52% (n = 2119) were involved in MV accidents. The average age was 34 years. Collision of a motorcycle with a heavy vehicle/bus (4%) was associated with a 34 times greater risk of death (RR: 34.32; 95% CI: 17.43–67.57). Compared to patients involved in MV accidents, those involved in SV accidents were more likely to sustain a skull fracture (RR: 1.47; 95% CI: 1.22–1.77), an open head wound (RR: 1.46; 95% CI: 1.23–1.74), an intracranial injury (RR: 1.39; 95% CI: 1.26–1.53), a superficial head injury (RR: 1.37; 95% CI: 1.01–1.86), an injury to an organ (RR: 2.01; 95% CI: 1.24–3.26), and a crushing injury (RR: 1.98; 95% CI: 1.06–3.70) to the thorax or abdomen. However, they were less likely to sustain a spinal fracture (RR: 0.58; 95% CI: 0.39–0.85), a pelvic fracture (RR: 0.22; 95% CI: 0.11–0.46), an upper extremity fracture (RR: 0.75; 95% CI: 0.59–0.96), or injuries to their lower extremities, except for a dislocation, sprain, or injury to a joint or ligament (RR: 0.82; 95% CI: 0.49–1.36). Conclusion The relative risk of death is higher for patients involved in multi-vehicle accidents than patients in single-vehicle accidents, especially when a
NASA Technical Reports Server (NTRS)
Graff, Paige; Stefanov, William; Willis, Kim; Runco, Susan
2012-01-01
Scientists, science experts, graduate and even undergraduate student researchers have a unique ability to inspire the next generation of explorers. These science, technology, engineering, and mathematics (STEM) experts can serve as role models for students and can help inspire them to consider future STEM-related careers. They have an exceptional ability to instill a sense of curiosity and fascination in the minds of students as they bring science to life in the classroom. Students and teachers are hungry for opportunities to interact with scientists. They feel honored when these experts take time out of their busy day to share their science, their expertise, and their stories. The key for teachers is to be cognizant of opportunities to connect their students with scientists. For scientists, the key is to know how to get involved, to have options for participation that involve different levels of commitment, and to work with educational specialists who can help facilitate their involvement. The Expedition Earth and Beyond (EEAB) Program, facilitated by the Astromaterials Research and Exploration Science (ARES) Directorate at the NASA Johnson Space Center, is an Earth and planetary science education program designed to inspire, engage, and educate teachers and students by getting them actively involved with NASA exploration, discovery, and the process of science. One of the main goals of the program is to facilitate student research in the classroom. The program uses astronaut photographs, provided through the ARES Crew Earth Observations (CEO) payload on the International Space Station (ISS) as the hook to help students gain an interest in a research topic. Student investigations can focus on Earth or involve comparative planetology. Student teams are encouraged to use additional imagery and data from Earth or planetary orbital spacecraft, or ground-based data collection tools, to augment the astronaut photography dataset. A second goal of the program is to provide
NASA Astrophysics Data System (ADS)
Graff, P. V.; Stefanov, W. L.; Willis, K.; Runco, S.
2012-12-01
Scientists, science experts, graduate and even undergraduate student researchers have a unique ability to inspire the next generation of explorers. These science, technology, engineering, and mathematics (STEM) experts can serve as role models for students and can help inspire them to consider future STEM-related careers. They have an exceptional ability to instill a sense of curiosity and fascination in the minds of students as they bring science to life in the classroom. Students and teachers are hungry for opportunities to interact with scientists. They feel honored when these experts take time out of their busy day to share their science, their expertise, and their stories. The key for teachers is to be cognizant of opportunities to connect their students with scientists. For scientists, the key is to know how to get involved, to have options for participation that involve different levels of commitment, and to work with educational specialists who can help facilitate their involvement. The Expedition Earth and Beyond (EEAB) Program, facilitated by the Astromaterials Research and Exploration Science (ARES) Directorate at the NASA Johnson Space Center, is an Earth and planetary science education program designed to inspire, engage, and educate teachers and students by getting them actively involved with NASA exploration, discovery, and the process of science. One of the main goals of the program is to facilitate student research in the classroom. The program uses astronaut photographs, provided through the ARES Crew Earth Observations (CEO) payload on the International Space Station (ISS) as the hook to help students gain an interest in a research topic. Student investigations can focus on Earth or involve comparative planetology. Student teams are encouraged to use additional imagery and data from Earth or planetary orbital spacecraft, or ground-based data collection tools, to augment the astronaut photography dataset. A second goal of the program is to provide
Spatial effects in discrete generation population models.
Carrillo, C; Fife, P
2005-02-01
A framework is developed for constructing a large class of discrete generation, continuous space models of evolving single species populations and finding their bifurcating patterned spatial distributions. Our models involve, in separate stages, the spatial redistribution (through movement laws) and local regulation of the population; and the fundamental properties of these events in a homogeneous environment are found. Emphasis is placed on the interaction of migrating individuals with the existing population through conspecific attraction (or repulsion), as well as on random dispersion. The nature of the competition of these two effects in a linearized scenario is clarified. The bifurcation of stationary spatially patterned population distributions is studied, with special attention given to the role played by that competition.
2013-01-01
Background Color traits in animals play crucial roles in thermoregulation, photoprotection, camouflage, and visual communication, and are amenable to objective quantification and modeling. However, the extensive variation in non-melanic pigments and structural colors in squamate reptiles has been largely disregarded. Here, we used an integrated approach to investigate the morphological basis and physical mechanisms generating variation in color traits in tropical day geckos of the genus Phelsuma. Results Combining histology, optics, mass spectrometry, and UV and Raman spectroscopy, we found that the extensive variation in color patterns within and among Phelsuma species is generated by complex interactions between, on the one hand, chromatophores containing yellow/red pteridine pigments and, on the other hand, iridophores producing structural color by constructive interference of light with guanine nanocrystals. More specifically, we show that 1) the hue of the vivid dorsolateral skin is modulated both by variation in geometry of structural, highly ordered narrowband reflectors, and by the presence of yellow pigments, and 2) that the reflectivity of the white belly and of dorsolateral pigmentary red marks, is increased by underlying structural disorganized broadband reflectors. Most importantly, these interactions require precise colocalization of yellow and red chromatophores with different types of iridophores, characterized by ordered and disordered nanocrystals, respectively. We validated these results through numerical simulations combining pigmentary components with a multilayer interferential optical model. Finally, we show that melanophores form dark lateral patterns but do not significantly contribute to variation in blue/green or red coloration, and that changes in the pH or redox state of pigments provide yet another source of color variation in squamates. Conclusions Precisely colocalized interacting pigmentary and structural elements generate extensive
Li, Duo-Jie; Li, Hong-Wei; He, Bin; Wang, Geng-Ming; Cai, Han-Fei; Duan, Shi-Miao; Liu, Jing-Jing; Zhang, Ya-Jun; Cui, Zhen; Jiang, Hao
2016-01-01
To retrospectively analyze the patterns of failure and the treatment effects of involved-field irradiation (IFI) on patients treated with locally advanced esophageal squamous cell carcinoma (ESCC) and to determine whether IFI is practicable in these patients. A total of 79 patients with locally advanced ESCC underwent three dimensional conformal (3D)CRT) or intensity modulated radiotherapy (IMRT) using IFI or elective nodal irradiation (ENI) according to the target volume. The patterns of failure were defined as local/regional, in-field, out)of)field regional lymph node (LN) and distant failure. With a median follow)up of 32.0 months, failures were observed in 66 (83.6%) patients. The cumulative incidence of local/regional failure (55.8 vs 52.8%) and in)field regional lymph node failure (25.6 vs 19.4%) showed no statistically significant difference between the IFI and the ENI group (p=0.526 and 0.215, respectively). Out)of)field nodal relapse rate of only 7.0% was seen in the IFI group. Three)year survival rates for the ENI and IFI group were 22.2 and 18.6%, respectively (p=0.240), and 3)year distant metastasis rates were 27.8 and 32.6%, respectively (p=0.180). The lung V10, V20, V30 and mean lung dose of the ENI group were greater than those of the IFI group, while the mean lung dose and V10 had statistically significant difference. The patterns of failure and survival rates in the IFI group were similar as in the ENI group; the regional recurrence and distant metastasis are the main cause of treatment failure. IFI is feasible for locally advanced ESCC. Further investigation is needed to increase local control and decrease distant metastasis in these patients.
Greco, Gustavo Diniz; Jansen, Wellington Corrêa; Landre Junior, Janis; Seraidarian, Paulo Isaías
2009-01-01
This study evaluated by three-dimensional finite element analysis the tensions generated by different disocclusion patterns (canine guide and bilateral balanced occlusion) in an implant-supported mandibular complete denture. A three-dimensional model of implant-supported mandibular complete denture was fabricated according to the Brånemark protocol. A 5-element 3.75 x 13-mm screw-shape dental implant system was modeled for this study. The implants were located in the inter-mental foramen region with 3-mm-high prosthetic components joined by a nickel-chromium framework with 12-mm bilateral cantilever covered by acrylic resin and 12 acrylic denture teeth. SolidWorks software was used before and after processing the simulations. The mechanical properties of the components were inserted in the model and a 15 N load was established in fixed points, in each one of the simulations. Data were collected in the entire nickel-chromium framework. The results were displayed three-dimensionally as color graphic scales. The canine guide generated greater tensions in the region of the first implant, while the bilateral balanced occlusion generated great tensions in the entire metallic framework. The maximum tension found in the simulation of the bilateral balanced occlusion was 3.22 fold higher than the one found in the simulation of the disocclusion in canine guide. The pattern of disocclusion in canine guide is the ideal for implant-supported mandibular complete denture.
Snyder, Susan M; Smith, Rachel E
2015-01-01
To date studies have not explored patterns of substance use exclusively among youth in the child welfare system. Consequently, little is known about polysubstance use among child welfare-involved youth. This study aimed to explore whether physical abuse, parental substance use, depression, and demographic characteristics predict distinct patterns of substance use among child welfare-involved youth using latent class analysis (LCA). The sample included 822 11-17 year olds who participated in the National Survey of Child and Adolescent Well-being (NSCAW II) study between March 2008 and September 2009. We found the following three classes: (1) polysubstance use, (2) alcohol and marijuana use, and (3) low use. Older youth and youth who experienced physical abuse were at greater risk of being in the polysubstance use class, while living with a biological parent reduced the likelihood of polysubstance use class membership. Youth in the alcohol and marijuana use class were more likely to be older and depressed. Results from this study illuminate important targets for interventions.
Wang, Yan; Xu, Liang; Chen, Yinglong; Shen, Hong; Gong, Yiqin; Limera, Cecilia; Liu, Liwang
2013-01-01
Lead (Pb), one of the most toxic heavy metals, can be absorbed and accumulated by plant roots and then enter the food chain resulting in potential health risks for human beings. The radish (Raphanus sativus L.) is an important root vegetable crop with fleshy taproots as the edible parts. Little is known about the mechanism by which radishes respond to Pb stress at the molecular level. In this study, Next Generation Sequencing (NGS)–based RNA-seq technology was employed to characterize the de novo transcriptome of radish roots and identify differentially expressed genes (DEGs) during Pb stress. A total of 68,940 assembled unique transcripts including 33,337 unigenes were obtained from radish root cDNA samples. Based on the assembled de novo transcriptome, 4,614 DEGs were detected between the two libraries of untreated (CK) and Pb-treated (Pb1000) roots. Gene Ontology (GO) and pathway enrichment analysis revealed that upregulated DEGs under Pb stress are predominately involved in defense responses in cell walls and glutathione metabolism-related processes, while downregulated DEGs were mainly involved in carbohydrate metabolism-related pathways. The expression patterns of 22 selected genes were validated by quantitative real-time PCR, and the results were highly accordant with the Solexa analysis. Furthermore, many candidate genes, which were involved in defense and detoxification mechanisms including signaling protein kinases, transcription factors, metal transporters and chelate compound biosynthesis related enzymes, were successfully identified in response to heavy metal Pb. Identification of potential DEGs involved in responses to Pb stress significantly reflected alterations in major biological processes and metabolic pathways. The molecular basis of the response to Pb stress in radishes was comprehensively characterized. Useful information and new insights were provided for investigating the molecular regulation mechanism of heavy metal Pb accumulation and
Connahs, Heidi; Rhen, Turk; Simmons, Rebecca B
2016-03-31
Butterfly wing color patterns are an important model system for understanding the evolution and development of morphological diversity and animal pigmentation. Wing color patterns develop from a complex network composed of highly conserved patterning genes and pigmentation pathways. Patterning genes are involved in regulating pigment synthesis however the temporal expression dynamics of these interacting networks is poorly understood. Here, we employ next generation sequencing to examine expression patterns of the gene network underlying wing development in the nymphalid butterfly, Vanessa cardui. We identified 9, 376 differentially expressed transcripts during wing color pattern development, including genes involved in patterning, pigmentation and gene regulation. Differential expression of these genes was highest at the pre-ommochrome stage compared to early pupal and late melanin stages. Overall, an increasing number of genes were down-regulated during the progression of wing development. We observed dynamic expression patterns of a large number of pigment genes from the ommochrome, melanin and also pteridine pathways, including contrasting patterns of expression for paralogs of the yellow gene family. Surprisingly, many patterning genes previously associated with butterfly pattern elements were not significantly up-regulated at any time during pupation, although many other transcription factors were differentially expressed. Several genes involved in Notch signaling were significantly up-regulated during the pre-ommochrome stage including slow border cells, bunched and pebbles; the function of these genes in the development of butterfly wings is currently unknown. Many genes involved in ecdysone signaling were also significantly up-regulated during early pupal and late melanin stages and exhibited opposing patterns of expression relative to the ecdysone receptor. Finally, a comparison across four butterfly transcriptomes revealed 28 transcripts common to all
NASA Astrophysics Data System (ADS)
Luo, Feixiang
The semiconductor industry has witnessed a continuous decrease in the size of logic, memory and other computer chip components since its birth over half a century ago. The shrinking (scaling) of components has to a large extent been enabled by the development of micro- and now nano-lithographic techniques. This thesis focuses on one central component of lithography, the resist, which is essentially a thin film that when appropriately exposed enables a pattern to be printed onto a surface. Smaller features require an ever more precisely focused photon, electron or ion beam with which to expose the resist. The likely next generation source of radiation that will enable sub-20nm features to be written will employ extreme ultraviolet radiation (EUV), 92eV (13.5nm). The work discussed here involves a novel class of inorganic resists (including a solution processed Hf-based resist called HafSOx), as the organic resists that have dominated the microlithography industry for the past few decades have approached fundamental scaling limits. In order to maintain the high throughput required by high volume semiconductor manufacturing, metal oxide resists have been proposed and developed to meet the resolution and sensitivity in EUV lithography. One can think of our resists as the nano-lithographic analog to the silver halide film that dominated the photographic print industry for a century. In this thesis, we mainly describe our work on HafSOx, a "first generation" metal oxide EUV resist system. HafSOx thin films can be deposited by spin-coating a mixed solution of HfOCl2, H2O 2, and H2SO4. Various materials characterization techniques have been employed to achieve a comprehensive understanding of film composition and structure at both surface and bulk level, as well as a mechanistic understanding of the film radiation chemistry. Taking advantage of the high energy x-rays used in the XPS experiment, we developed an experiment to dynamically monitor the photochemistry within the
Genes involved in convergent evolution of eusociality in bees
Woodard, S. Hollis; Fischman, Brielle J.; Venkat, Aarti; Hudson, Matt E.; Varala, Kranthi; Cameron, Sydney A.; Clark, Andrew G.; Robinson, Gene E.
2011-01-01
Eusociality has arisen independently at least 11 times in insects. Despite this convergence, there are striking differences among eusocial lifestyles, ranging from species living in small colonies with overt conflict over reproduction to species in which colonies contain hundreds of thousands of highly specialized sterile workers produced by one or a few queens. Although the evolution of eusociality has been intensively studied, the genetic changes involved in the evolution of eusociality are relatively unknown. We examined patterns of molecular evolution across three independent origins of eusociality by sequencing transcriptomes of nine socially diverse bee species and combining these data with genome sequence from the honey bee Apis mellifera to generate orthologous sequence alignments for 3,647 genes. We found a shared set of 212 genes with a molecular signature of accelerated evolution across all eusocial lineages studied, as well as unique sets of 173 and 218 genes with a signature of accelerated evolution specific to either highly or primitively eusocial lineages, respectively. These results demonstrate that convergent evolution can involve a mosaic pattern of molecular changes in both shared and lineage-specific sets of genes. Genes involved in signal transduction, gland development, and carbohydrate metabolism are among the most prominent rapidly evolving genes in eusocial lineages. These findings provide a starting point for linking specific genetic changes to the evolution of eusociality. PMID:21482769
The neuronal differentiation process involves a series of antioxidant proteins.
Oh, J-E; Karlmark Raja, K; Shin, J-H; Hengstschläger, M; Pollak, A; Lubec, G
2005-11-01
Involvement of individual antioxidant proteins (AOXP) and antioxidants in the differentiation process has been already reported. A systematic search strategy for detecting differentially regulated AOXP in neuronal differentiation, however, has not been published so far. The aim of this study was to provide an analytical tool identifying AOXP and to generate a differentiation-related AOXP expressional pattern. The undifferentiated N1E-115 neuroblastoma cell line was switched into a neuronal phenotype by DMSO treatment and used for proteomic experiments: We used two-dimensional gel electrophoresis followed by unambiguous mass spectrometrical (MALDI-TOF-TOF) identification of proteins to generate a map of AOXP. 16 AOXP were unambiguously determined in both cell lines; catalase, thioredoxin domain-containing protein 4 and hypothetical glutaredoxin/glutathione S-transferase C terminus-containing protein were detectable in the undifferentiated cells only. Five AOXP were observed in both, undifferentiated and differentiated cells and thioredoxin, thioredoxin-like protein p19, thioredoxin reductase 1, superoxide dismutases (Mn and Cu-Zn), glutathione synthetase, glutathione S-transferase P1 and Mu1 were detected in differentiated cells exclusively. Herein a differential expressional pattern is presented that reveals so far unpublished antioxidant principles involved in neuronal differentiation by a protein chemical approach, unambiguously identifying AOXP. This finding not only shows concomitant determination of AOXP but also serves as an analytical tool and forms the basis for design of future studies addressing AOXP and differentiation per se.
Generation of 3D templates of active sites of proteins with rigid prosthetic groups.
Nebel, Jean-Christophe
2006-05-15
With the increasing availability of protein structures, the generation of biologically meaningful 3D patterns from the simultaneous alignment of several protein structures is an exciting prospect: active sites could be better understood, protein functions and protein 3D structures could be predicted more accurately. Although patterns can already be generated at the fold and topological levels, no system produces high-resolution 3D patterns including atom and cavity positions. To address this challenge, our research focuses on generating patterns from proteins with rigid prosthetic groups. Since these groups are key elements of protein active sites, the generated 3D patterns are expected to be biologically meaningful. In this paper, we present a new approach which allows the generation of 3D patterns from proteins with rigid prosthetic groups. Using 237 protein chains representing proteins containing porphyrin rings, our method was validated by comparing 3D templates generated from homologues with the 3D structure of the proteins they model. Atom positions were predicted reliably: 93% of them had an accuracy of 1.00 A or less. Moreover, similar results were obtained regarding chemical group and cavity positions. Results also suggested our system could contribute to the validation of 3D protein models. Finally, a 3D template was generated for the active site of human cytochrome P450 CYP17, the 3D structure of which is unknown. Its analysis showed that it is biologically meaningful: our method detected the main patterns of the cytochrome P450 superfamily and the motifs linked to catalytic reactions. The 3D template also suggested the position of a residue, which could be involved in a hydrogen bond with CYP17 substrates and the shape and location of a cavity. Comparisons with independently generated 3D models comforted these hypotheses. Alignment software (Nestor3D) is available at http://www.kingston.ac.uk/~ku33185/Nestor3D.html
Güder, Firat; Yang, Yang; Krüger, Michael; Stevens, Gregory B; Zacharias, Margit
2010-12-01
A versatile, low-cost, and flexible approach is presented for the fabrication of millimeter-long, sub-100 nm wide 1D nanochannels with tunable wall properties (wall thickness and material) over wafer-scale areas on glass, alumina, and silicon surfaces. This approach includes three fabrication steps. First, sub-100 nm photoresist line patterns were generated by near-field contact phase-shift lithography (NFC-PSL) using an inexpensive homemade borosilicate mask (NFC-PSM). Second, various metal oxides were directly coated on the resist patterns with low-temperature atomic layer deposition (ALD). Finally, the remaining photoresist was removed via an acetone dip, and then planar nanochannel arrays were formed on the substrate. In contrast to all the previous fabrication routes, the sub-100 nm photoresist line patterns produced by NFC-PSL are directly employed as a sacrificial layer for the creation of nanochannels. Because both the NFC-PSL and the ALD deposition are highly reproducible processes, the strategy proposed here can be regarded as a general route for nanochannel fabrication in a simplified and reliable manner. In addition, the fabricated nanochannels were used as templates to synthesize various organic and inorganic 1D nanostructures on the substrate surface.
Wang, F J; Jin, L; Guo, Y Q; Liu, R; He, M N; Li, M Z; Li, X W
2014-11-27
Muscle growth and development is associated with remarkable changes in protein-coding and microRNA (miRNA) gene expression. To determine the expression patterns of genes and miRNAs related to muscle growth and development, we measured the expression levels of 25 protein-coding and 16 miRNA genes in skeletal and cardiac muscles throughout 5 developmental stages by quantitative reverse transcription-polymerase chain reaction. The Short Time-Series Expression Miner (STEM) software clustering results showed that growth-related genes were downregulated at all developmental stages in both the psoas major and longissimus dorsi muscles, indicating their involvement in early developmental stages. Furthermore, genes related to muscle atrophy, such as forkhead box 1 and muscle ring finger, showed unregulated expression with increasing age, suggesting a decrease in protein synthesis during the later stages of skeletal muscle development. We found that development of the cardiac muscle was a complex process in which growth-related genes were highly expressed during embryonic development, but they did not show uniform postnatal expression patterns. Moreover, the expression level of miR-499, which enhances the expression of the β-myosin heavy chain, was significantly different in the psoas major and longissimus dorsi muscles, suggesting the involvement of miR-499 in the determination of skeletal muscle fiber types. We also performed correlation analyses of messenger RNA and miRNA expression. We found negative relationships between miR-486 and forkhead box 1, and miR-133a and serum response factor at all developmental stages, suggesting that forkhead box 1 and serum response factor are potential targets of miR-486 and miR-133a, respectively.
The Network Spinal Wave as a Central Pattern Generator
Epstein, Donald M.; Lemberger, Daniel
2016-01-01
Abstract Objectives: This article explains the research on a unique spinal wave visibly observed in association with network spinal analysis care. Since 1997, the network wave has been studied using surface electromyography (sEMG), characterized mathematically, and determined to be a unique and repeatable phenomenon. Methods: The authors provide a narrative review of the research and a context for the network wave's development. Results: The sEMG research demonstrates that the movement of the musculature of the spine during the wave phenomenon is electromagnetic and mechanical. The changes running along the spine were characterized mathematically at three distinct levels of care. Additionally, the wave has the mathematical properties of a central pattern generator (CPG). Conclusions: The network wave may be the first CPG discovered in the spine unrelated to locomotion. The mathematical characterization of the signal also demonstrates coherence at a distance between the sacral to cervical spine. According to mathematical engineers, based on studies conducted a decade apart, the wave itself is a robust phenomenon and the detection methods for this coherence may represent a new measure for central nervous system health. This phenomenon has implications for recovery from spinal cord injury and for reorganizational healing development. PMID:27243963
Inhibitory and modulatory inputs to the vocal central pattern generator of a teleost fish
Rosner, Elisabeth; Rohmann, Kevin N.; Bass, Andrew H.
2018-01-01
Abstract Vocalization is a behavioral feature that is shared among multiple vertebrate lineages, including fish. The temporal patterning of vocal communication signals is set, in part, by central pattern generators (CPGs). Toadfishes are well‐established models for CPG coding of vocalization at the hindbrain level. The vocal CPG comprises three topographically separate nuclei: pre‐pacemaker, pacemaker, motor. While the connectivity between these nuclei is well understood, their neurochemical profile remains largely unexplored. The highly vocal Gulf toadfish, Opsanus beta, has been the subject of previous behavioral, neuroanatomical and neurophysiological studies. Combining transneuronal neurobiotin‐labeling with immunohistochemistry, we map the distribution of inhibitory neurotransmitters and neuromodulators along with gap junctions in the vocal CPG of this species. Dense GABAergic and glycinergic label is found throughout the CPG, with labeled somata immediately adjacent to or within CPG nuclei, including a distinct subset of pacemaker neurons co‐labeled with neurobiotin and glycine. Neurobiotin‐labeled motor and pacemaker neurons are densely co‐labeled with the gap junction protein connexin 35/36, supporting the hypothesis that transneuronal neurobiotin‐labeling occurs, at least in part, via gap junction coupling. Serotonergic and catecholaminergic label is also robust within the entire vocal CPG, with additional cholinergic label in pacemaker and prepacemaker nuclei. Likely sources of these putative modulatory inputs are neurons within or immediately adjacent to vocal CPG neurons. Together with prior neurophysiological investigations, the results reveal potential mechanisms for generating multiple classes of social context‐dependent vocalizations with widely divergent temporal and spectral properties. PMID:29424431
Baev, K V; Esipenko, V B; Shimansky, Y P
1991-01-01
depolarization is a result of affecting the depolarization generating system by this inner "sensory" activity. It is the model, with the aid of which the generator can work after deafferentation. The functional organization of a central pattern generator is considered.
Imai, T; Sukegawa, S; Kanno, T; Fujita, G; Yamamoto, N; Furuki, Y; Michizawa, M
2014-01-01
The aim of this study was to determine the incidence of posterior maxillary fractures involving the posterior maxillary sinus wall, pterygoid plate or both, unrelated to major midface fractures in patients with mandibular fractures, and to characterize associated fractures. A CT study was performed in patients with mandibular fractures to identify posterior maxillary fractures. Patients aged under 16 years, those with mandibular fractures involving only dentoalveolar components and those with concurrent major midfacial fractures were excluded. 13 (6.7%) of 194 patients with mandibular fractures also had posterior maxillary fractures (case group). The injury pattern correlated with the external force directed to the lateral side of the mandible (p < 0.001), alcohol consumption (p = 0.049), the presence of multifocal fractures (p = 0.002) and the fracture regions in the symphysis/parasymphysis (p = 0.001) and the angle/ramus (p = 0.001). No significant difference between the case and non-case groups was seen for age, sex or cause of trauma. Non-displaced fractures in the ipsilateral posterior mandible occurred with significant frequency (p = 0.001) when the posterior maxillary fractures involved only the sinus. Mandibular fractures accompanied by posterior maxillary fractures are not rare. The finding of a unilateral posterior maxillary fracture on CT may aid the efficient radiological examination of the mandible based on possible patterns of associated fractures, as follows: in the ipsilateral posterior region as a direct fracture when the impact is a medially directed force, and in the symphysis/parasymphysis or contralateral condylar neck as an indirect fracture.
Koizumi, Hidehiko; Mosher, Bryan; Tariq, Mohammad F.; Zhang, Ruli; Molkov, Yaroslav I.
2016-01-01
The pre-Bötzinger (pre-BötC) and Bötzinger (BötC) complexes are the brainstem compartments containing interneurons considered to be critically involved in generating respiratory rhythm and motor pattern in mammals. Current models postulate that both generation of the rhythm and coordination of the inspiratory-expiratory pattern involve inhibitory synaptic interactions within and between these regions. Both regions contain glycinergic and GABAergic neurons, and rhythmically active neurons in these regions receive appropriately coordinated phasic inhibition necessary for generation of the normal three-phase respiratory pattern. However, recent experiments attempting to disrupt glycinergic and GABAergic postsynaptic inhibition in the pre-BötC and BötC in adult rats in vivo have questioned the critical role of synaptic inhibition in these regions, as well as the importance of the BötC, which contradicts previous physiological and pharmacological studies. To further evaluate the roles of synaptic inhibition and the BötC, we bilaterally microinjected the GABAA receptor antagonist gabazine and glycinergic receptor antagonist strychnine into the pre-BötC or BötC in anesthetized adult rats in vivo and in perfused in situ brainstem–spinal cord preparations from juvenile rats. Muscimol was microinjected to suppress neuronal activity in the pre-BötC or BötC. In both preparations, disrupting inhibition within pre-BötC or BötC caused major site-specific perturbations of the rhythm and disrupted the three-phase motor pattern, in some experiments terminating rhythmic motor output. Suppressing BötC activity also potently disturbed the rhythm and motor pattern. We conclude that inhibitory circuit interactions within and between the pre-BötC and BötC critically regulate rhythmogenesis and are required for normal respiratory motor pattern generation. PMID:27200412
Cardano, Filippo; Karimi, Ebrahim; Slussarenko, Sergei; Marrucci, Lorenzo; de Lisio, Corrado; Santamato, Enrico
2012-04-01
We describe the polarization topology of the vector beams emerging from a patterned birefringent liquid crystal plate with a topological charge q at its center (q-plate). The polarization topological structures for different q-plates and different input polarization states have been studied experimentally by measuring the Stokes parameters point-by-point in the beam transverse plane. Furthermore, we used a tuned q=1/2-plate to generate cylindrical vector beams with radial or azimuthal polarizations, with the possibility of switching dynamically between these two cases by simply changing the linear polarization of the input beam.
Shults, Ruth A; Williams, Allan F
2017-09-01
The Monitoring the Future (MTF) survey provides nationally-representative annual estimates of licensure and driving patterns among U.S. teens. A previous study using MTF data reported substantial declines in the proportion of high school seniors that were licensed to drive and increases in the proportion of nondrivers following the recent U.S. economic recession. To explore whether licensure and driving patterns among U.S. high school seniors have rebounded in the post-recession years, we analyzed MTF licensure and driving data for the decade of 2006-2015. We also examined trends in teen driver involvement in fatal and nonfatal injury crashes for that decade using data from the Fatality Analysis Reporting System and National Automotive Sampling System General Estimates System, respectively. During 2006-2015, the proportion of high school seniors that reported having a driver's license declined by 9 percentage points (11%) from 81% to 72% and the proportion that did not drive during an average week increased by 8 percentage points (44%) from 18% to 26%. The annual proportion of black seniors that did not drive was consistently greater than twice the proportion of nondriving white seniors. Overall during the decade, 17- and 18-year-old drivers experienced large declines in fatal and nonfatal injury crashes, although crashes increased in both 2014 and 2015. The MTF data indicate that licensure and driving patterns among U.S. high school seniors have not rebounded since the economic recession. The recession had marked negative effects on teen employment opportunities, which likely influenced teen driving patterns. Possible explanations for the apparent discrepancies between the MTF data and the 2014 and 2015 increases in crashes are explored. MTF will continue to be an important resource for clarifying teen driving trends in relation to crash trends and informing strategies to improve teen driver safety. Published by Elsevier Ltd.
Positive Selection Linked with Generation of Novel Mammalian Dentition Patterns.
Machado, João Paulo; Philip, Siby; Maldonado, Emanuel; O'Brien, Stephen J; Johnson, Warren E; Antunes, Agostinho
2016-09-11
A diverse group of genes are involved in the tooth development of mammals. Several studies, focused mainly on mice and rats, have provided a detailed depiction of the processes coordinating tooth formation and shape. Here we surveyed 236 tooth-associated genes in 39 mammalian genomes and tested for signatures of selection to assess patterns of molecular adaptation in genes regulating mammalian dentition. Of the 236 genes, 31 (∼13.1%) showed strong signatures of positive selection that may be responsible for the phenotypic diversity observed in mammalian dentition. Mammalian-specific tooth-associated genes had accelerated mutation rates compared with older genes found across all vertebrates. More recently evolved genes had fewer interactions (either genetic or physical), were associated with fewer Gene Ontology terms and had faster evolutionary rates compared with older genes. The introns of these positively selected genes also exhibited accelerated evolutionary rates, which may reflect additional adaptive pressure in the intronic regions that are associated with regulatory processes that influence tooth-gene networks. The positively selected genes were mainly involved in processes like mineralization and structural organization of tooth specific tissues such as enamel and dentin. Of the 236 analyzed genes, 12 mammalian-specific genes (younger genes) provided insights on diversification of mammalian teeth as they have higher evolutionary rates and exhibit different expression profiles compared with older genes. Our results suggest that the evolution and development of mammalian dentition occurred in part through positive selection acting on genes that previously had other functions. © The Author(s) 2016. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution.
Ai, Amy L; Appel, Hoa B; Nicdao, Ethel G
2016-12-01
In the USA, Asian-Americans (AA) constitute the fastest growing ethnic minority group, in which heterogeneous religious patterns and acculturation experiences can impose significant impacts on their mental health. Using national data, the present study examined the contributions of religious involvement and social support to self-rated mental health (SRMH) of Chinese, Vietnamese, and Filipinos. Findings demonstrated cross-group variations, with Filipinos reporting the highest levels of SRMH, acculturation, and discrimination. However, religious involvement was associated with better SRMH in the least religious Chinese subgroup but not in the most religious Filipino subgroup. Social support predicted SRMH for both Chinese and Vietnamese subgroups. The differential religious patterns in the more acculturated generations between the two AA subgroups suggest religious assimilation as part of their acculturation in the context of divergent immigration experiences.
Hadi, S M; Ullah, M F; Shamim, U; Bhatt, S H; Azmi, A S
2010-01-01
Catalytic therapy is a cancer treatment modality based on the generation of reactive oxygen species (ROS) through administration of ascorbate/medicinal herbal extracts and copper. It is known that antioxidants such as ascorbate also exhibit prooxidant activity in the presence of transition metals such as copper. Based on our work and that in the literature, in this review we propose a mechanism for the cytotoxic action of ascorbate against cancer cells. It involves redox cycling of exogenous/endogenous copper ions and the consequent generation of ROS leading to oxidative DNA breakage. Using human peripheral lymphocytes and the Comet assay, we have shown that ascorbic acid is able to cause oxidative breakage in cellular DNA. Such DNA degradation is inhibited by neocuproine (a Cu(I) sequestering agent) and scavengers of ROS indicating that the cellular DNA breakage involves the generation of Cu(I) and formation of ROS. Similar results are also obtained with plant polyphenol antioxidants that are important constituents of medicinal herbal extracts. Copper is an essential component of chromatin and can take part in redox reactions. It is well established that tissue, cellular and serum copper levels are considerably elevated in various malignancies. Therefore, cancer cells may be more subject to electron transfer between copper ions and ascorbate/plant polyphenols to generate ROS. In this review we cite evidence to indicate that in catalytic therapy cytotoxic action against cancer cells involves redox cycling of exogenous/endogenous copper ions. Copyright © 2010 S. Karger AG, Basel.
de Rugy, Aymar; Riek, Stephan; Carson, Richard G
2006-01-01
The authors tested for predominant patterns of coordination in the combination of rhythmic flexion-extension (FE) and supination- (SP) at the elbow-joint complex. Participants (N=10) spontaneously established in-phase (supination synchronized with flexion) and antiphase (pronation synchronized with flexion) patterns. In addition, the authors used a motorized robot arm to generate involuntary SP movements with different phase relations with respect to voluntary FE. The involuntarily induced in-phase pattern was accentuated and was more consistent than other patterns. The result provides evidence that the predominance of the in-phase pattern originates in the influence of neuromuscular-skeletal constraints rather than in a preference dictated by perceptual-cognitive factors implicated in voluntary control. Neuromuscular-skeletal constraints involved in the predominance of the in-phase and the antiphase patterns are discussed.
Davies, B L; Brundage, C M; Harris, M B; Taylor, B E
2009-07-01
Location of the lung respiratory rhythm generator (RRG) in the bullfrog brainstem was investigated by examining neurokinin-1 and mu-opioid receptor (NK1R, muOR) colocalization by immunohistochemistry and characterizing the role of these receptors in lung rhythm and episodic pattern generation. NK1R and muOR occurred in brainstems from all developmental stages. In juvenile bullfrogs a distinct area of colocalization was coincident with high-intensity fluorescent labeling of muOR; high-intensity labeling of muOR was not distinctly and consistently localized in tadpole brainstems. NK1R labeling intensity did not change with development. Similarity in colocalization is consistent with similarity in responses to substance P (SP, NK1R agonist) and DAMGO (muOR agonist) when bath applied to bullfrog brainstems of different developmental stages. In early stage tadpoles and juvenile bullfrogs, SP increased and DAMGO decreased lung burst frequency. In juvenile bullfrogs, SP increased lung burst frequency, episode frequency, but decreased number of lung bursts per episode and lung burst duration. In contrast, DAMGO decreased lung burst frequency and burst cycle frequency, episode frequency, and number of lung bursts per episode but increased all other lung burst parameters. Based on these results, we hypothesize that NK1R and muOR colocalization together with a metamorphosis-related increase in muOR intensity marks the location of the lung RRG but not necessarily the lung episodic pattern generator.
Greco, Gustavo Diniz; Jansen, Wellington Corrêa; Landre, Janis; Seraidarian, Paulo Isaías
2009-01-01
Objectives: This study evaluated by three-dimensional finite element analysis the tensions generated by different disocclusion patterns (canine guide and bilateral balanced occlusion) in an implant-supported mandibular complete denture. Material and Methods: A three-dimensional model of implant-supported mandibular complete denture was fabricated according to the Brånemark protocol. A 5-element 3.75 x 13-mm screw-shape dental implant system was modeled for this study. The implants were located in the intermental foramen region with 3-mm-high prosthetic components joined by a nickel-chromium framework with 12-mm bilateral cantilever covered by acrylic resin and 12 acrylic denture teeth. SolidWorks® software was used before and after processing the simulations. The mechanical properties of the components were inserted in the model and a 15 N load was established in fixed points, in each one of the simulations. Data were collected in the entire nickel-chromium framework. The results were displayed three-dimensionally as color graphic scales. Results: The canine guide generated greater tensions in the region of the first implant, while the bilateral balanced occlusion generated great tensions in the entire metallic framework. The maximum tension found in the simulation of the bilateral balanced occlusion was 3.22 fold higher than the one found in the simulation of the disocclusion in canine guide. Conclusion: The pattern of disocclusion in canine guide is the ideal for implant-supported mandibular complete denture. PMID:19936535
NASA Technical Reports Server (NTRS)
Feng, Wei; Gurnett, Donald A.; Cairns, Iver H.
1992-01-01
During the Spacelab 2 mission the University of Iowa's Plasma Diagnostics Package (PDP) explored the plasma environment around the shuttle. Wideband spectrograms of plasma waves were obtained from the PDP at frequencies of 0-30 kHz and at distances up to 400 m from the shuttle. Strong low-frequency (below 10 kHz) electric field noise was observed in the wideband data during two periods in which an electron beam was ejected from the shuttle. This noise shows clear evidence of interference patterns caused by the finite (3.89 m) antenna length. The low-frequency noise was the most dominant type of noise produced by the ejected electron beam. Analysis of antenna interference patterns generated by these waves permits a determination of the wavelength, the direction of propagation, and the location of the source region. The observed waves have a linear dispersion relation very similar to that of ion acoustic waves. The waves are believed to be oblique ion acoustic or high-order ion cyclotron waves generated by a current of ambient electrons returning to the shuttle in response to the ejected electron beam.
Multiclassifier information fusion methods for microarray pattern recognition
NASA Astrophysics Data System (ADS)
Braun, Jerome J.; Glina, Yan; Judson, Nicholas; Herzig-Marx, Rachel
2004-04-01
This paper addresses automatic recognition of microarray patterns, a capability that could have a major significance for medical diagnostics, enabling development of diagnostic tools for automatic discrimination of specific diseases. The paper presents multiclassifier information fusion methods for microarray pattern recognition. The input space partitioning approach based on fitness measures that constitute an a-priori gauging of classification efficacy for each subspace is investigated. Methods for generation of fitness measures, generation of input subspaces and their use in the multiclassifier fusion architecture are presented. In particular, two-level quantification of fitness that accounts for the quality of each subspace as well as the quality of individual neighborhoods within the subspace is described. Individual-subspace classifiers are Support Vector Machine based. The decision fusion stage fuses the information from mulitple SVMs along with the multi-level fitness information. Final decision fusion stage techniques, including weighted fusion as well as Dempster-Shafer theory based fusion are investigated. It should be noted that while the above methods are discussed in the context of microarray pattern recognition, they are applicable to a broader range of discrimination problems, in particular to problems involving a large number of information sources irreducible to a low-dimensional feature space.
Processing and analysis techniques involving in-vessel material generation
Schabron, John F [Laramie, WY; Rovani, Jr., Joseph F.
2011-01-25
In at least one embodiment, the inventive technology relates to in-vessel generation of a material from a solution of interest as part of a processing and/or analysis operation. Preferred embodiments of the in-vessel material generation (e.g., in-vessel solid material generation) include precipitation; in certain embodiments, analysis and/or processing of the solution of interest may include dissolution of the material, perhaps as part of a successive dissolution protocol using solvents of increasing ability to dissolve. Applications include, but are by no means limited to estimation of a coking onset and solution (e.g., oil) fractionating.
Processing and analysis techniques involving in-vessel material generation
Schabron, John F [Laramie, WY; Rovani, Jr., Joseph F.
2012-09-25
In at least one embodiment, the inventive technology relates to in-vessel generation of a material from a solution of interest as part of a processing and/or analysis operation. Preferred embodiments of the in-vessel material generation (e.g., in-vessel solid material generation) include precipitation; in certain embodiments, analysis and/or processing of the solution of interest may include dissolution of the material, perhaps as part of a successive dissolution protocol using solvents of increasing ability to dissolve. Applications include, but are by no means limited to estimation of a coking onset and solution (e.g., oil) fractionating.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Fernández-Trincado, J. G.; Geisler, D.; Tang, B.
We report the peculiar chemical abundance patterns of 11 atypical Milky Way (MW) field red giant stars observed by the Apache Point Observatory Galactic Evolution Experiment (APOGEE). These atypical giants exhibit strong Al and N enhancements accompanied by C and Mg depletions, strikingly similar to those observed in the so-called second-generation (SG) stars of globular clusters (GCs). Remarkably, we find low Mg abundances ([Mg/Fe] < 0.0) together with strong Al and N overabundances in the majority (5/7) of the metal-rich ([Fe/H] ≳ −1.0) sample stars, which is at odds with actual observations of SG stars in Galactic GCs of similarmore » metallicities. This chemical pattern is unique and unprecedented among MW stars, posing urgent questions about its origin. These atypical stars could be former SG stars of dissolved GCs formed with intrinsically lower abundances of Mg and enriched Al (subsequently self-polluted by massive AGB stars) or the result of exotic binary systems. We speculate that the stars Mg-deficiency as well as the orbital properties suggest that they could have an extragalactic origin. This discovery should guide future dedicated spectroscopic searches of atypical stellar chemical patterns in our Galaxy, a fundamental step forward to understanding the Galactic formation and evolution.« less
NASA Astrophysics Data System (ADS)
Fernández-Trincado, J. G.; Zamora, O.; García-Hernández, D. A.; Souto, Diogo; Dell'Agli, F.; Schiavon, R. P.; Geisler, D.; Tang, B.; Villanova, S.; Hasselquist, Sten; Mennickent, R. E.; Cunha, Katia; Shetrone, M.; Allende Prieto, Carlos; Vieira, K.; Zasowski, G.; Sobeck, J.; Hayes, C. R.; Majewski, S. R.; Placco, V. M.; Beers, T. C.; Schleicher, D. R. G.; Robin, A. C.; Mészáros, Sz.; Masseron, T.; García Pérez, Ana E.; Anders, F.; Meza, A.; Alves-Brito, A.; Carrera, R.; Minniti, D.; Lane, R. R.; Fernández-Alvar, E.; Moreno, E.; Pichardo, B.; Pérez-Villegas, A.; Schultheis, M.; Roman-Lopes, A.; Fuentes, C. E.; Nitschelm, C.; Harding, P.; Bizyaev, D.; Pan, K.; Oravetz, D.; Simmons, A.; Ivans, Inese I.; Blanco-Cuaresma, S.; Hernández, J.; Alonso-García, J.; Valenzuela, O.; Chanamé, J.
2017-09-01
We report the peculiar chemical abundance patterns of 11 atypical Milky Way (MW) field red giant stars observed by the Apache Point Observatory Galactic Evolution Experiment (APOGEE). These atypical giants exhibit strong Al and N enhancements accompanied by C and Mg depletions, strikingly similar to those observed in the so-called second-generation (SG) stars of globular clusters (GCs). Remarkably, we find low Mg abundances ([Mg/Fe] < 0.0) together with strong Al and N overabundances in the majority (5/7) of the metal-rich ([Fe/H] ≳ -1.0) sample stars, which is at odds with actual observations of SG stars in Galactic GCs of similar metallicities. This chemical pattern is unique and unprecedented among MW stars, posing urgent questions about its origin. These atypical stars could be former SG stars of dissolved GCs formed with intrinsically lower abundances of Mg and enriched Al (subsequently self-polluted by massive AGB stars) or the result of exotic binary systems. We speculate that the stars Mg-deficiency as well as the orbital properties suggest that they could have an extragalactic origin. This discovery should guide future dedicated spectroscopic searches of atypical stellar chemical patterns in our Galaxy, a fundamental step forward to understanding the Galactic formation and evolution.
Automated Camouflage Pattern Generation Technology Survey.
1985-08-07
supported by high speed data communications? Costs: 9 What are your rates? $/CPU hour: $/MB disk storage/day: S/connect hour: other charges: What are your... data to the workstation, tape drives are needed for backing up and archiving completed patterns, 256 megabytes of on-line hard disk space as a minimum...is needed to support multiple processes and data files, and 4 megabytes of actual or virtual memory is needed to process the largest expected single
Mattii, Letizia; Ippolito, Chiara; Segnani, Cristina; Battolla, Barbara; Colucci, Rocchina; Dolfi, Amelio; Bassotti, Gabrio; Blandizzi, Corrado; Bernardini, Nunzia
2013-01-01
The pathogenesis of diverticular disease (DD) is thought to result from complex interactions among dietary habits, genetic factors and coexistence of other bowel abnormalities. These conditions lead to alterations in colonic pressure and motility, facilitating the formation of diverticula. Although electrophysiological studies on smooth muscle cells (SMCs) have investigated colonic motor dysfunctions, scarce attention has been paid to their molecular abnormalities, and data on SMCs in DD are lacking. Accordingly, the main purpose of this study was to evaluate the expression patterns of molecular factors involved in the contractile functions of SMCs in the tunica muscularis of colonic specimens from patients with DD. By means of immunohistochemistry and image analysis, we examined the expression of Cx26 and Cx43, which are prominent components of gap junctions in human colonic SMCs, as well as pS368-Cx43, PKCps, RhoA and αSMA, all known to regulate the functions of gap junctions and the contractile activity of SMCs. The immunohistochemical analysis revealed significant abnormalities in DD samples, concerning both the expression and distribution patterns of most of the investigated molecular factors. This study demonstrates, for the first time, that an altered pattern of factors involved in SMC contractility is present at level of the tunica muscularis of DD patients. Moreover, considering that our analysis was conducted on colonic tissues not directly affected by diverticular lesions or inflammatory reactions, it is conceivable that these molecular alterations may precede and predispose to the formation of diverticula, rather than being mere consequences of the disease.
A modeling approach on why simple central pattern generators are built of irregular neurons.
Reyes, Marcelo Bussotti; Carelli, Pedro Valadão; Sartorelli, José Carlos; Pinto, Reynaldo Daniel
2015-01-01
The crustacean pyloric Central Pattern Generator (CPG) is a nervous circuit that endogenously provides periodic motor patterns. Even after about 40 years of intensive studies, the rhythm genesis is still not rigorously understood in this CPG, mainly because it is made of neurons with irregular intrinsic activity. Using mathematical models we addressed the question of using a network of irregularly behaving elements to generate periodic oscillations, and we show some advantages of using non-periodic neurons with intrinsic behavior in the transition from bursting to tonic spiking (as found in biological pyloric CPGs) as building components. We studied two- and three-neuron model CPGs built either with Hindmarsh-Rose or with conductance-based Hodgkin-Huxley-like model neurons. By changing a model's parameter we could span the neuron's intrinsic dynamical behavior from slow periodic bursting to fast tonic spiking, passing through a transition where irregular bursting was observed. Two-neuron CPG, half center oscillator (HCO), was obtained for each intrinsic behavior of the neurons by coupling them with mutual symmetric synaptic inhibition. Most of these HCOs presented regular antiphasic bursting activity and the changes of the bursting frequencies was studied as a function of the inhibitory synaptic strength. Among all HCOs, those made of intrinsic irregular neurons presented a wider burst frequency range while keeping a reliable regular oscillatory (bursting) behavior. HCOs of periodic neurons tended to be either hard to change their behavior with synaptic strength variations (slow periodic burster neurons) or unable to perform a physiologically meaningful rhythm (fast tonic spiking neurons). Moreover, 3-neuron CPGs with connectivity and output similar to those of the pyloric CPG presented the same results.
Sukegawa, S; Kanno, T; Fujita, G; Yamamoto, N; Furuki, Y; Michizawa, M
2014-01-01
Objectives: The aim of this study was to determine the incidence of posterior maxillary fractures involving the posterior maxillary sinus wall, pterygoid plate or both, unrelated to major midface fractures in patients with mandibular fractures, and to characterize associated fractures. Methods: A CT study was performed in patients with mandibular fractures to identify posterior maxillary fractures. Patients aged under 16 years, those with mandibular fractures involving only dentoalveolar components and those with concurrent major midfacial fractures were excluded. Results: 13 (6.7%) of 194 patients with mandibular fractures also had posterior maxillary fractures (case group). The injury pattern correlated with the external force directed to the lateral side of the mandible (p < 0.001), alcohol consumption (p = 0.049), the presence of multifocal fractures (p = 0.002) and the fracture regions in the symphysis/parasymphysis (p = 0.001) and the angle/ramus (p = 0.001). No significant difference between the case and non-case groups was seen for age, sex or cause of trauma. Non-displaced fractures in the ipsilateral posterior mandible occurred with significant frequency (p = 0.001) when the posterior maxillary fractures involved only the sinus. Conclusions: Mandibular fractures accompanied by posterior maxillary fractures are not rare. The finding of a unilateral posterior maxillary fracture on CT may aid the efficient radiological examination of the mandible based on possible patterns of associated fractures, as follows: in the ipsilateral posterior region as a direct fracture when the impact is a medially directed force, and in the symphysis/parasymphysis or contralateral condylar neck as an indirect fracture. PMID:24336313
Craig, Jeffrey M; Earle, Elizabeth; Canham, Paul; Wong, Lee H; Anderson, Melissa; Choo, K H Andy
2003-12-01
We have examined the metaphase chromosomal localization of 15 proteins that have previously been described as involved in mammalian chromatin modification and/or transcriptional modulation. Immunofluorescence data indicate that all the proteins localize to human and mouse centromeres, a neocentromere, and the active centromere of a dicentric chromosome, with six of these proteins (Sin3A, PCAF, MYST, MBD2, ORC2, P300/CBP) being demonstrated at mammalian centromeres for the first time. Most of these proteins fall into two distinct chromosomal distribution patterns: (a) kinetochore-associated proteins (Sin3A, PCAF, MYST and BAF180), which colocalize with metaphase kinetochores, but not any of the pericentric and other major heterochromatic regions; and (b) heterochromatin-associated proteins (MeCP2, MBD1, MBD2, ATRX, HP1alpha, HDAC1, HDAC2, DNMT1 and DNMT3b), which colocalize with centromeric/pericentric heterochromatin and all other major heterochromatic sites. A heterogeneous third group (c) consists of the origin recognition complex subunit ORC2 and the histone acetyltransferase P300/CBP, which associate generally with kinetochores in humans and centromeric/pericentric heterochromatin in mouse, with some minor differences in localization. These observations indicate an extensive sharing of protein components involved in chromatin modification at gene loci, centromeres and various chromosomal heterochromatic landmarks. The definition of distinct patterns of chromosomal distribution for these proteins provides a useful basis for the further investigation of the broad-ranging roles of these proteins.
NASA Astrophysics Data System (ADS)
Xing, Kezhao; Björnborg, Charles; Karlsson, Henrik; Paulsson, Adisa; Rosendahl, Anna; Beiming, Peter; Vedenpää, Jukka; Walford, Jonathan; Newman, Tom
2007-10-01
Tighter requirements on mask resolution, CD and image positioning accuracy at and beyond the 45 nm technology node push the development of improved photomask blanks. One such blank for attenuated phase-shift masks (att-PSM) provides a thinner chrome film, named TF11, with higher chrome etch rate compared to the previous generation Att- PSM blank (NTAR5 chrome film) from the same supplier. Reduced stress in the chrome film also results in less image placement error induced by the material. FEP-171 is the positive chemically amplified resist (PCAR) that is most commonly used in advanced mask manufacturing with both 50 keV variable shaped e-beam (VSB) and DUV laser pattern generators. TF11 allows an FEP-171 resist film down to about 2000 Å thickness with sufficient etch resistance, while the standard resist thickness for NTAR5 is around 3000 Å. This work has experimentally evaluated the use of TF11 chrome and FEP-171 resist together with a 248 nm DUV laser pattern generator, the Sigma7500. First, patterning performance in resist with thicknesses from 2000 Å to 2600 Å, in steps of 100 Å, was tested with respect to swing curve and basic lithographic parameters including resolution, CD linearity, CD iso-dense bias and dose sensitivity. Patterning results on mask showed a swing minimum at around 2200 Å and a swing maximum at around 2500 Å, which correspond to reflectivity measurements for 248 nm wavelength performed by the blank supplier. It was concluded that the overall patterning performance was best close to the swing maximum. Thereafter the patterning performance using TF11 at two resist thicknesses, 2000 Å and 2550 Å, was studied in more detail and compared to performance using NTAR5 with 3200 Å resist. The evaluation showed that the Sigma7500-II offers good compatibility with TF11, especially using the optimized FEP-171 resist thickness of 2550 Å. It also showed that the patterning capability of the Sigma7500-II using TF11 and 2550 Å resist is improved
Pattern formation in mass conserving reaction-diffusion systems
NASA Astrophysics Data System (ADS)
Brauns, Fridtjof; Halatek, Jacob; Frey, Erwin
We present a rigorous theoretical framework able to generalize and unify pattern formation for quantitative mass conserving reaction-diffusion models. Mass redistribution controls chemical equilibria locally. Separation of diffusive mass redistribution on the level of conserved species provides a general mathematical procedure to decompose complex reaction-diffusion systems into effectively independent functional units, and to reveal the general underlying bifurcation scenarios. We apply this framework to Min protein pattern formation and identify the mechanistic roles of both involved protein species. MinD generates polarity through phase separation, whereas MinE takes the role of a control variable regulating the existence of MinD phases. Hence, polarization and not oscillations is the generic core dynamics of Min proteins in vivo. This establishes an intrinsic mechanistic link between the Min system and a broad class of intracellular pattern forming systems based on bistability and phase separation (wave-pinning). Oscillations are facilitated by MinE redistribution and can be understood mechanistically as relaxation oscillations of the polarization direction.
Comparing patterns and predictors of immigrant offending among a sample of adjudicated youth.
Bersani, Bianca E; Loughran, Thomas A; Piquero, Alex R
2014-11-01
Research on immigration and crime has only recently started to consider potential heterogeneity in longitudinal patterns of immigrant offending. Guided by segmented assimilation and life course criminology frameworks, this article advances prior research on the immigration-crime nexus in three ways: using a large sample of high-risk adjudicated youth containing first and second generation immigrants; examining longitudinal trajectories of official and self-reported offending; and merging segmented assimilation and life course theories to distinguish between offending patterns. Data come from the Pathways to Desistance study containing detailed offending and socio-demographic background information on 1,354 adolescents (13.6 % female; n = 1,061 native-born; n = 210 second generation immigrants; n = 83 first generation immigrants) as they transition to young adulthood (aged 14-17 at baseline). Over 84 months we observe whether patterns of offending, and the correlates that may distinguish them, operate differently across immigrant generations. Collectively, this study offers the first investigation of whether immigrants, conditioned on being adjudicated, are characterized by persistent offending. Results show that first generation immigrants are less likely to be involved in serious offending and to evidence persistence in offending, and appear to be on a path toward desistance much more quickly than their peers. Further, assimilation and neighborhood disadvantage operate in unique ways across generational status and relate to different offending styles. The findings show that the risk for persistent offending is greatest among those with high levels of assimilation who reside in disadvantaged contexts, particularly among the second generation youth in the sample.
Pattern of Bone Generation after Irradiation in Vascularized Tissue Engineered Constructs.
Eweida, Ahmad; Fathi, Ibrahim; Eltawila, Ahmed M; Elsherif, Ahmad M; Elkerm, Yasser; Harhaus, Leila; Kneser, Ulrich; Sakr, Mahmoud F
2018-02-01
Regenerative medicine modalities provide promising alternatives to conventional reconstruction techniques but are still deficient after malignant tumor excision or irradiation due to defective vascularization. We investigated the pattern of bone formation in axially vascularized tissue engineering constructs (AVTECs) after irradiation in a study that mimics the clinical scenario after head and neck cancer. Heterotopic bone generation was induced in a subcutaneously implanted AVTEC in the thigh of six male New Zealand rabbits. The tissue construct was made up of Nanobone (Artoss GmbH; Rostock, Germany) granules mixed with autogenous bone marrow and 80 μL of bone morphogenic protein-2 at a concentration of 1.5 μg/μL. An arteriovenous loop was created microsurgically between the saphenous vessels and implanted in the core of the construct to induce axial vascularization. The constructs were subjected to external beam irradiation on postoperative day 20 with a single dose of 15 Gy. The constructs were removed 20 days after irradiation and subjected to histological and immunohistochemical analysis for vascularization, bone formation, apoptosis, and cellular proliferation. The vascularized constructs showed homogenous vascularization and bone formation both in their central and peripheral regions. Although vascularity, proliferation, and apoptosis were similar between central and peripheral regions of the constructs, significantly more bone was formed in the central regions of the constructs. The study shows for the first time the pattern of bone formation in AVTECs after irradiation using doses comparable to those applied after head and neck cancer. Axial vascularization probably enhances the osteoinductive properties in the central regions of AVTECs after irradiation. Thieme Medical Publishers 333 Seventh Avenue, New York, NY 10001, USA.
Lau, Kenneth; Massad, Malek; Pollak, Cynthia; Rubin, Charles; Yeh, Joannie; Wang, Jing; Edelman, Guy; Yeh, Jenny; Prasad, Sunil; Weinberg, Guy
2011-11-01
Epithelioid hemangioendothelioma (EHE) is a rare vascular neoplasm of endothelial origin with clinical behavior intermediate between hemangioma and angiosarcoma. The natural history of EHE is highly variable. This study uses an Internet registry to identify clinical patterns with prognostic significance in EHE. Cases from the International Hemangioendothioma, Epithelioid Hemangioendothelioma, and Related Vascular Disorders (HEARD) Support Group were evaluated based on demographics, organ involvement, disease progression, presence or absence of pleural effusion, and treatment. Survival among various cohorts was compared using log-rank analysis of Kaplan-Meier plots. Two hundred sixty-four patients were identified from April 2004 to November 2009. Fifty-eight cases were excluded because of inadequate information or wrong diagnosis. EHE was more common in female patients (61%). Male gender and age ≥ 55 years were associated with decreased survival. The most commonly affected organs were liver, lung, and bone. No specific organ or combination of organ involvement differentially affected survival, and survival was no different between patients with multiple vs single organ involvement. However, pattern B, defined as lesions without distinct borders (eg, pulmonary infiltrates, pleural effusion, ascites), hemoptysis, or involvement of more than two bones adversely affected survival in all cohorts. A novel staging system with prognostic value for EHE is proposed. Pleural effusion or other signs of uncontained tumor growth, hemoptysis, and osseous involvement of more than two bones implied worse survival than did localized and discrete tumors, regardless of number of organs involved. A lay registry can provide useful insights into the clinical behavior of a rare cancer.
Schunk, Cosima; Swartz, Sharon M; Breuer, Kenneth S
2017-02-06
Aspect ratio (AR) is one parameter used to predict the flight performance of a bat species based on wing shape. Bats with high AR wings are thought to have superior lift-to-drag ratios and are therefore predicted to be able to fly faster or to sustain longer flights. By contrast, bats with lower AR wings are usually thought to exhibit higher manoeuvrability. However, the half-span ARs of most bat wings fall into a narrow range of about 2.5-4.5. Furthermore, these predictions do not take into account the wide variation in flapping motion observed in bats. To examine the influence of different stroke patterns, we measured lift and drag of highly compliant membrane wings with different bat-relevant ARs. A two degrees of freedom shoulder joint allowed for independent control of flapping amplitude and wing sweep. We tested five models with the same variations of stroke patterns, flapping frequencies and wind speed velocities. Our results suggest that within the relatively small AR range of bat wings, AR has no clear effect on force generation. Instead, the generation of lift by our simple model mostly depends on wingbeat frequency, flapping amplitude and freestream velocity; drag is mostly affected by the flapping amplitude.
The influence of aspect ratio and stroke pattern on force generation of a bat-inspired membrane wing
Swartz, Sharon M.; Breuer, Kenneth S.
2017-01-01
Aspect ratio (AR) is one parameter used to predict the flight performance of a bat species based on wing shape. Bats with high AR wings are thought to have superior lift-to-drag ratios and are therefore predicted to be able to fly faster or to sustain longer flights. By contrast, bats with lower AR wings are usually thought to exhibit higher manoeuvrability. However, the half-span ARs of most bat wings fall into a narrow range of about 2.5–4.5. Furthermore, these predictions do not take into account the wide variation in flapping motion observed in bats. To examine the influence of different stroke patterns, we measured lift and drag of highly compliant membrane wings with different bat-relevant ARs. A two degrees of freedom shoulder joint allowed for independent control of flapping amplitude and wing sweep. We tested five models with the same variations of stroke patterns, flapping frequencies and wind speed velocities. Our results suggest that within the relatively small AR range of bat wings, AR has no clear effect on force generation. Instead, the generation of lift by our simple model mostly depends on wingbeat frequency, flapping amplitude and freestream velocity; drag is mostly affected by the flapping amplitude. PMID:28163875
Roudier, François; Gissot, Lionel; Beaudoin, Frédéric; Haslam, Richard; Michaelson, Louise; Marion, Jessica; Molino, Diana; Lima, Amparo; Bach, Liên; Morin, Halima; Tellier, Frédérique; Palauqui, Jean-Christophe; Bellec, Yannick; Renne, Charlotte; Miquel, Martine; DaCosta, Marco; Vignard, Julien; Rochat, Christine; Markham, Jonathan E.; Moreau, Patrick; Napier, Johnathan; Faure, Jean-Denis
2010-01-01
Very-long-chain fatty acids (VLCFAs) are essential for many aspects of plant development and necessary for the synthesis of seed storage triacylglycerols, epicuticular waxes, and sphingolipids. Identification of the acetyl-CoA carboxylase PASTICCINO3 and the 3-hydroxy acyl-CoA dehydratase PASTICCINO2 revealed that VLCFAs are important for cell proliferation and tissue patterning. Here, we show that the immunophilin PASTICCINO1 (PAS1) is also required for VLCFA synthesis. Impairment of PAS1 function results in reduction of VLCFA levels that particularly affects the composition of sphingolipids, known to be important for cell polarity in animals. Moreover, PAS1 associates with several enzymes of the VLCFA elongase complex in the endoplasmic reticulum. The pas1 mutants are deficient in lateral root formation and are characterized by an abnormal patterning of the embryo apex, which leads to defective cotyledon organogenesis. Our data indicate that in both tissues, defective organogenesis is associated with the mistargeting of the auxin efflux carrier PIN FORMED1 in specific cells, resulting in local alteration of polar auxin distribution. Furthermore, we show that exogenous VLCFAs rescue lateral root organogenesis and polar auxin distribution, indicating their direct involvement in these processes. Based on these data, we propose that PAS1 acts as a molecular scaffold for the fatty acid elongase complex in the endoplasmic reticulum and that the resulting VLCFAs are required for polar auxin transport and tissue patterning during plant development. PMID:20145257
Timmermans, Kim; Kox, Matthijs; Gerretsen, Jelle; Peters, Esther; Scheffer, Gert Jan; van der Hoeven, Johannes G; Pickkers, Peter; Hoedemaekers, Cornelia W
2015-11-01
After cardiac arrest, patients are highly vulnerable toward infections, possibly due to a suppressed state of the immune system called "immunoparalysis." We investigated if immunoparalysis develops following cardiac arrest and whether the release of danger-associated molecular patterns could be involved. Observational study. ICU of a university medical center. Fourteen post-cardiac arrest patients treated with mild therapeutic hypothermia for 24 hours and 11 control subjects. Plasma cytokines showed highest levels within 24 hours after cardiac arrest and decreased during the next 2 days. By contrast, ex vivo production of cytokines interleukin-6, tumor necrosis factor-α, and interleukin-10 by lipopolysaccharide-stimulated leukocytes was severely impaired compared with control subjects, with most profound effects observed at day 0, and only partially recovering afterward. Compared with incubation at 37°C, incubation at 32°C resulted in higher interleukin-6 and lower interleukin-10 production by lipopolysaccharide-stimulated leukocytes of control subjects, but not of patients. Plasma nuclear DNA, used as a marker for general danger-associated molecular pattern release, and the specific danger-associated molecular patterns (EN-RAGE and heat shock protein 70) were substantially higher in patients at days 0 and 1 compared with control subjects. Furthermore, plasma heat shock protein 70 levels were negatively correlated with ex vivo production of inflammatory mediators interleukin-6, tumor necrosis factor-α, and interleukin-10. Extracellular newly identified receptor for advanced glycation end products-binding protein levels only showed a significant negative correlation with ex vivo production of interleukin-6 and tumor necrosis factor-α and a borderline significant inverse correlation with interleukin-10. No significant correlations were observed between plasma nuclear DNA levels and ex vivo cytokine production. None. Release of danger-associated molecular
NASA Astrophysics Data System (ADS)
Field, R. W.; Park, G. B.; Changala, P. B.; Baraban, J. H.; Stanton, J. F.; Merer, A. J.
2013-06-01
Spectroscopy - it is all about patterns. Some patterns look so indescribably complicated that, unlike pornography, you do not know one when you see one. It is tempting to say that, at high vibrational excitation, interactions among normal mode basis states are so strong and widespread that all patterns are obliterated. But this is not true. When normal mode frequencies are in near integer multiple ratios, polyads emerge. A polyad is a robust pattern often comprising many vibrational eigenstates. Each such pattern might span many hundreds of cm^{-1}, and it is inevitable that several unrelated polyad patterns overlap. When polyads overlap, it might seem impossible to disentangle them. However, the key to disentanglement is that polyads come in families in which successive generations are related by harmonic oscillator matrix element selection and scaling rules. Families of polyads are described by families of scaling-based effective Hamiltonian matrices, {H}^{{eff}}. No matter how complex and overlapped, the polyad {H}^{{eff}} serves as a magic decoder for picking out the polyad pattern. Sometimes the polyad patterns are systematically broken (a meta-pattern), owing to proximity to an isomerization barrier, as occurs in highly excited bending levels of the S_{1} state of HCCH, which encode the trans-cis minimum energy isomerization path. Quantum Chemists often dismiss {H}^{{eff}} models, precisely because they are models that do not express the full dimensionality of the complete Hamiltonian. But an {H}^{{eff}} explains rather than describes. Shunning {H}^{{eff}}s is like throwing out the baby with the bath water. Don't do it!
Johnson, Nicholas E; Ianiuk, Olga; Cazap, Daniel; Liu, Linglan; Starobin, Daniel; Dobler, Gregory; Ghandehari, Masoud
2017-04-01
Historical municipal solid waste (MSW) collection data supplied by the New York City Department of Sanitation (DSNY) was used in conjunction with other datasets related to New York City to forecast municipal solid waste generation across the city. Spatiotemporal tonnage data from the DSNY was combined with external data sets, including the Longitudinal Employer Household Dynamics data, the American Community Survey, the New York City Department of Finance's Primary Land Use and Tax Lot Output data, and historical weather data to build a Gradient Boosting Regression Model. The model was trained on historical data from 2005 to 2011 and validation was performed both temporally and spatially. With this model, we are able to accurately (R2>0.88) forecast weekly MSW generation tonnages for each of the 232 geographic sections in NYC across three waste streams of refuse, paper and metal/glass/plastic. Importantly, the model identifies regularity of urban waste generation and is also able to capture very short timescale fluctuations associated to holidays, special events, seasonal variations, and weather related events. This research shows New York City's waste generation trends and the importance of comprehensive data collection (especially weather patterns) in order to accurately predict waste generation. Copyright © 2017. Published by Elsevier Ltd.
Mantziaris, Charalampos; Bockemühl, Till; Holmes, Philip; Borgmann, Anke; Daun, Silvia; Büschges, Ansgar
2017-10-01
To efficiently move around, animals need to coordinate their limbs. Proper, context-dependent coupling among the neural networks underlying leg movement is necessary for generating intersegmental coordination. In the slow-walking stick insect, local sensory information is very important for shaping coordination. However, central coupling mechanisms among segmental central pattern generators (CPGs) may also contribute to this. Here, we analyzed the interactions between contralateral networks that drive the depressor trochanteris muscle of the legs in both isolated and interconnected deafferented thoracic ganglia of the stick insect on application of pilocarpine, a muscarinic acetylcholine receptor agonist. Our results show that depressor CPG activity is only weakly coupled between all segments. Intrasegmental phase relationships differ between the three isolated ganglia, and they are modified and stabilized when ganglia are interconnected. However, the coordination patterns that emerge do not resemble those observed during walking. Our findings are in line with recent studies and highlight the influence of sensory input on coordination in slowly walking insects. Finally, as a direct interaction between depressor CPG networks and contralateral motoneurons could not be observed, we hypothesize that coupling is based on interactions at the level of CPG interneurons. NEW & NOTEWORTHY Maintaining functional interleg coordination is vitally important as animals locomote through changing environments. The relative importance of central mechanisms vs. sensory feedback in this process is not well understood. We analyzed coordination among the neural networks generating leg movements in stick insect preparations lacking phasic sensory feedback. Under these conditions, the networks governing different legs were only weakly coupled. In stick insect, central connections alone are thus insufficient to produce the leg coordination observed behaviorally. Copyright © 2017 the
larvalign: Aligning Gene Expression Patterns from the Larval Brain of Drosophila melanogaster.
Muenzing, Sascha E A; Strauch, Martin; Truman, James W; Bühler, Katja; Thum, Andreas S; Merhof, Dorit
2018-01-01
The larval brain of the fruit fly Drosophila melanogaster is a small, tractable model system for neuroscience. Genes for fluorescent marker proteins can be expressed in defined, spatially restricted neuron populations. Here, we introduce the methods for 1) generating a standard template of the larval central nervous system (CNS), 2) spatial mapping of expression patterns from different larvae into a reference space defined by the standard template. We provide a manually annotated gold standard that serves for evaluation of the registration framework involved in template generation and mapping. A method for registration quality assessment enables the automatic detection of registration errors, and a semi-automatic registration method allows one to correct registrations, which is a prerequisite for a high-quality, curated database of expression patterns. All computational methods are available within the larvalign software package: https://github.com/larvalign/larvalign/releases/tag/v1.0.
Regulation of ventral surface chemoreceptors by the central respiratory pattern generator.
Guyenet, Patrice G; Mulkey, Daniel K; Stornetta, Ruth L; Bayliss, Douglas A
2005-09-28
The rat retrotrapezoid nucleus (RTN) contains neurons described as central chemoreceptors in the adult and respiratory rhythm-generating pacemakers in neonates [parafacial respiratory group (pfRG)]. Here we test the hypothesis that both RTN and pfRG neurons are intrinsically chemosensitive and tonically firing neurons whose respiratory rhythmicity is caused by a synaptic feedback from the central respiratory pattern generator (CPG). In halothane-anesthetized adults, RTN neurons were silent below 4.5% end-expiratory (e-exp) CO2. Their activity increased linearly (3.2 Hz/1% CO2) up to 6.5% (CPG threshold) and then more slowly to peak approximately 10 Hz at 10% CO2. Respiratory modulation of RTN neurons was absent below CPG threshold, gradually stronger beyond, and, like pfRG neurons, typically (42%) characterized by twin periods of reduced activity near phrenic inspiration. After CPG inactivation with kynurenate (KYN), RTN neurons discharged linearly as a function of e-exp CO2 (slope, +1.7 Hz/1% CO2) and arterial pH (threshold, 7.48; slope, 39 Hz/pH unit). In coronal brain slices (postnatal days 7-12), RTN chemosensitive neurons were silent at pH 7.55. Their activity increased linearly with acidification up to pH 7.2 (17 Hz/pH unit at 35 degrees C) and was always tonic. In conclusion, consistent with their postulated central chemoreceptor role, RTN/pfRG neurons encode pH linearly and discharge tonically when disconnected from the rest of the respiratory centers in vivo (KYN treatment) and in vitro. In vivo, RTN neurons receive respiratory synchronous inhibitory inputs that may serve as feedback and impart these neurons with their characteristic respiratory modulation.
Vadnjal, Ana Laura; Etchepareborda, Pablo; Federico, Alejandro; Kaufmann, Guillermo H
2013-03-20
We present a method to determine micro and nano in-plane displacements based on the phase singularities generated by application of directional wavelet transforms to speckle pattern images. The spatial distribution of the obtained phase singularities by the wavelet transform configures a network, which is characterized by two quasi-orthogonal directions. The displacement value is determined by identifying the intersection points of the network before and after the displacement produced by the tested object. The performance of this method is evaluated using simulated speckle patterns and experimental data. The proposed approach is compared with the optical vortex metrology and digital image correlation methods in terms of performance and noise robustness, and the advantages and limitations associated to each method are also discussed.
Development of a morphing structure with the incorporation of central pattern generators
NASA Astrophysics Data System (ADS)
Bliss, Thomas K.; Bart-Smith, Hilary; Iwasaki, Tetsuya
2006-03-01
The Manta Ray, Manta birostris, is an amazing creature, propelling itself through the water with the elegant and complex flapping of its wings. Achieving outstanding efficiencies, engineers are looking for ways to mimic its flight through the water and harness its propulsive techniques. This study combines two biologically inspired aspects to achieve this goal: morphing structures actuated with a biomimetic neural network control system. It is believed that this combination will prove capable of producing the oscillatory motions necessary for locomotion. In this paper, a four-truss structure with three actuators is chosen and its performance capabilities are analyzed. A synthetic central pattern generator, which provides the fundamental control mechanisms for rhythmic motion in animals, is designed to realize an oscillatory control of the three actuators. The control system is simulated using Matlab, then combined with LabVIEW to control the four-truss structure. The system's performance is analyzed, with specific attention to both transient and steady-state behavior.
Directed functional connectivity matures with motor learning in a cortical pattern generator.
Day, Nancy F; Terleski, Kyle L; Nykamp, Duane Q; Nick, Teresa A
2013-02-01
Sequential motor skills may be encoded by feedforward networks that consist of groups of neurons that fire in sequence (Abeles 1991; Long et al. 2010). However, there has been no evidence of an anatomic map of activation sequence in motor control circuits, which would be potentially detectable as directed functional connectivity of coactive neuron groups. The proposed pattern generator for birdsong, the HVC (Long and Fee 2008; Vu et al. 1994), contains axons that are preferentially oriented in the rostrocaudal axis (Nottebohm et al. 1982; Stauffer et al. 2012). We used four-tetrode recordings to assess the activity of ensembles of single neurons along the rostrocaudal HVC axis in anesthetized zebra finches. We found an axial, polarized neural network in which sequential activity is directionally organized along the rostrocaudal axis in adult males, who produce a stereotyped song. Principal neurons fired in rostrocaudal order and with interneurons that were rostral to them, suggesting that groups of excitatory neurons fire at the leading edge of travelling waves of inhibition. Consistent with the synchronization of neurons by caudally travelling waves of inhibition, the activity of interneurons was more coherent in the orthogonal mediolateral axis than in the rostrocaudal axis. If directed functional connectivity within the HVC is important for stereotyped, learned song, then it may be lacking in juveniles, which sing a highly variable song. Indeed, we found little evidence for network directionality in juveniles. These data indicate that a functionally directed network within the HVC matures during sensorimotor learning and may underlie vocal patterning.
Directed functional connectivity matures with motor learning in a cortical pattern generator
Day, Nancy F.; Terleski, Kyle L.; Nykamp, Duane Q.
2013-01-01
Sequential motor skills may be encoded by feedforward networks that consist of groups of neurons that fire in sequence (Abeles 1991; Long et al. 2010). However, there has been no evidence of an anatomic map of activation sequence in motor control circuits, which would be potentially detectable as directed functional connectivity of coactive neuron groups. The proposed pattern generator for birdsong, the HVC (Long and Fee 2008; Vu et al. 1994), contains axons that are preferentially oriented in the rostrocaudal axis (Nottebohm et al. 1982; Stauffer et al. 2012). We used four-tetrode recordings to assess the activity of ensembles of single neurons along the rostrocaudal HVC axis in anesthetized zebra finches. We found an axial, polarized neural network in which sequential activity is directionally organized along the rostrocaudal axis in adult males, who produce a stereotyped song. Principal neurons fired in rostrocaudal order and with interneurons that were rostral to them, suggesting that groups of excitatory neurons fire at the leading edge of travelling waves of inhibition. Consistent with the synchronization of neurons by caudally travelling waves of inhibition, the activity of interneurons was more coherent in the orthogonal mediolateral axis than in the rostrocaudal axis. If directed functional connectivity within the HVC is important for stereotyped, learned song, then it may be lacking in juveniles, which sing a highly variable song. Indeed, we found little evidence for network directionality in juveniles. These data indicate that a functionally directed network within the HVC matures during sensorimotor learning and may underlie vocal patterning. PMID:23175804
2010-01-01
Background In bioinformatics it is common to search for a pattern of interest in a potentially large set of rather short sequences (upstream gene regions, proteins, exons, etc.). Although many methodological approaches allow practitioners to compute the distribution of a pattern count in a random sequence generated by a Markov source, no specific developments have taken into account the counting of occurrences in a set of independent sequences. We aim to address this problem by deriving efficient approaches and algorithms to perform these computations both for low and high complexity patterns in the framework of homogeneous or heterogeneous Markov models. Results The latest advances in the field allowed us to use a technique of optimal Markov chain embedding based on deterministic finite automata to introduce three innovative algorithms. Algorithm 1 is the only one able to deal with heterogeneous models. It also permits to avoid any product of convolution of the pattern distribution in individual sequences. When working with homogeneous models, Algorithm 2 yields a dramatic reduction in the complexity by taking advantage of previous computations to obtain moment generating functions efficiently. In the particular case of low or moderate complexity patterns, Algorithm 3 exploits power computation and binary decomposition to further reduce the time complexity to a logarithmic scale. All these algorithms and their relative interest in comparison with existing ones were then tested and discussed on a toy-example and three biological data sets: structural patterns in protein loop structures, PROSITE signatures in a bacterial proteome, and transcription factors in upstream gene regions. On these data sets, we also compared our exact approaches to the tempting approximation that consists in concatenating the sequences in the data set into a single sequence. Conclusions Our algorithms prove to be effective and able to handle real data sets with multiple sequences, as well
Nuel, Gregory; Regad, Leslie; Martin, Juliette; Camproux, Anne-Claude
2010-01-26
In bioinformatics it is common to search for a pattern of interest in a potentially large set of rather short sequences (upstream gene regions, proteins, exons, etc.). Although many methodological approaches allow practitioners to compute the distribution of a pattern count in a random sequence generated by a Markov source, no specific developments have taken into account the counting of occurrences in a set of independent sequences. We aim to address this problem by deriving efficient approaches and algorithms to perform these computations both for low and high complexity patterns in the framework of homogeneous or heterogeneous Markov models. The latest advances in the field allowed us to use a technique of optimal Markov chain embedding based on deterministic finite automata to introduce three innovative algorithms. Algorithm 1 is the only one able to deal with heterogeneous models. It also permits to avoid any product of convolution of the pattern distribution in individual sequences. When working with homogeneous models, Algorithm 2 yields a dramatic reduction in the complexity by taking advantage of previous computations to obtain moment generating functions efficiently. In the particular case of low or moderate complexity patterns, Algorithm 3 exploits power computation and binary decomposition to further reduce the time complexity to a logarithmic scale. All these algorithms and their relative interest in comparison with existing ones were then tested and discussed on a toy-example and three biological data sets: structural patterns in protein loop structures, PROSITE signatures in a bacterial proteome, and transcription factors in upstream gene regions. On these data sets, we also compared our exact approaches to the tempting approximation that consists in concatenating the sequences in the data set into a single sequence. Our algorithms prove to be effective and able to handle real data sets with multiple sequences, as well as biological patterns of
NASA Astrophysics Data System (ADS)
Coppi, Bruno
2012-10-01
A clear theoretical framework to describe how magnetic fields are generated and amplified is provided by the magneto-gravitational modes that involve both differential rotation and gravity and for which other factors such as temperature gradients can contribute to their excitation. These modes are shown to be important for the evolution of plasma disks surrounding black holes.footnotetextB. Coppi, Phys. Plasmas 18, 032901 (2011) Non-linear and axi-symmetric plasmas and associated field configurations are found under stationary conditions that do not involve the presence of a pre-existing ``seed'' magnetic field unlike other configurations found previously.footnotetextIbid. The relevant magnetic energy density is of the order of the gravitationally confined plasma pressure. The solitary plasma rings that characterize these configurations are localized radially over regions with vanishing differential rotation and can be envisioned as the saturated state of magneto-gravitational modes. The ``source'' of these configurations is the combination of the gravitational force and of the plasma density gradient orthogonal to it.
Kayser, Jürgen; Tenke, Craig E.; Abraham, Karen S.; Alschuler, Daniel M.; Alvarenga, Jorge E.; Skipper, Jamie; Warner, Virginia; Bruder, Gerard E.; Weissman, Myrna M.
2016-01-01
Event-related potential (ERP) studies have provided evidence for an allocation of attentional resources to enhance perceptual processing of motivationally salient stimuli. Emotional modulation affects several consecutive components associated with stages of affective-cognitive processing, beginning as early as 100-200 ms after stimulus onset. In agreement with the notion that the right parietotemporal region is critically involved during the perception of arousing affective stimuli, some ERP studies have reported asymmetric emotional ERP effects. However, it is difficult to separate emotional from non-emotional effects because differences in stimulus content unrelated to affective salience or task demands may also be associated with lateralized function or promote cognitive processing. Other concerns pertain to the operational definition and statistical independence of ERP component measures, their dependence on an EEG reference, and spatial smearing due to volume conduction, all of which impede the identification of distinct scalp activation patterns associated with affective processing. Building on prior research using a visual half-field paradigm with highly-controlled emotional stimuli (pictures of cosmetic surgery patients showing disordered [negative] or healed [neutral] facial areas before or after treatment), 72-channel ERPs recorded from 152 individuals (age 13-68 years; 81 female) were transformed into reference-free current source density (CSD) waveforms and submitted to temporal principal components analysis (PCA) to identify their underlying neuronal generator patterns. Using both nonparametric randomization tests and repeated measures ANOVA, robust effects of emotional content were found over parietooccipital regions for CSD factors corresponding to N2 sink (212 ms peak latency), P3 source (385 ms) and a late centroparietal source (630 ms), all indicative of greater positivity for negative than neutral stimuli. For the N2 sink, emotional effects were
Irregular oscillatory patterns in the early-time region of coherent phonon generation in silicon
NASA Astrophysics Data System (ADS)
Watanabe, Yohei; Hino, Ken-ichi; Hase, Muneaki; Maeshima, Nobuya
2017-09-01
Coherent phonon (CP) generation in an undoped Si crystal is theoretically investigated to shed light on unexplored quantum-mechanical effects in the early-time region immediately after the irradiation of ultrashort laser pulses. We examine time signals attributed to an induced charge density of an ionic core, placing the focus on the effects of the Rabi frequency Ω0 c v on the signals; this frequency corresponds to the peak electric-field of the pulse. It is found that at specific Ω0 c v's, where the energy of plasmon caused by photoexcited carriers coincides with the longitudinal-optical phonon energy, the energetically resonant interaction between these two modes leads to striking anticrossings, revealing irregular oscillations with anomalously enhanced amplitudes in the observed time signals. Also, the oscillatory pattern is subject to the Rabi flopping of the excited carrier density that is controlled by Ω0 c v. These findings show that the early-time region is enriched with quantum-mechanical effects inherent in the CP generation, though experimental signals are more or less masked by the so-called coherent artifact due to nonlinear optical effects.
Sirota, T V
2015-01-01
An important role of carbonate/bicarbonate ions has been recognized in the superoxide generating reaction of adrenaline autooxidation in an alkaline buffer (a model of quinoid adrenaline oxidation in the body). It is suggested that these ions are directly involved not only in formation of superoxide anion radical (О(2)(-)) but also other radicals derived from the carbonate/bicarbonate buffer. Using various buffers it was shown that the rate of accumulation of adrenochrome, the end product of adrenaline oxidation, and the rate of О(2)(-)· formation depend on concentration of carbonate/bicarbonate ions in the buffer and that these ions significantly accelerate adrenaline autooxidation thus demonstrating prooxidant properties. The detectable amount of diformazan, the product of nitro blue tetrazolium (NBT) reduction, was significantly higher than the amount of adrenochrome formed; taking into consideration the literature data on О(2)(-)· detection by NBT it is suggested that adrenaline autooxidation is accompanied by one-electron reduction not only of oxygen dissolved in the buffer and responsible for superoxide formation but possible carbon dioxide also dissolved in the buffer as well as carbonate/bicarbonate buffer components leading to formation of corresponding radicals. The plots of the dependence of the inhibition of adrenochrome and diformazan formation on the superoxide dismutase concentration have shown that not only superoxide radicals are formed during adrenaline autooxidation. Since carbonate/bicarbonate ions are known to be universally present in the living nature, their involvement in free radical processes proceeding in the organism is discussed.
Impact of travel patterns and driving behavior on crash involvement.
DOT National Transportation Integrated Search
1983-07-21
This study was conducted to obtain statistically valid data on a variety of highway safety issues, such as attitudes toward drunk driving, use of safety belts and child safety seats, and to establish data regarding driving patterns. The study design ...
Mitra, Goldis; Wood, Evan; Nguyen, Paul; Kerr, Thomas; DeBeck, Kora
2015-01-01
Background Non-fatal drug overdose is a major cause of morbidity among people who use drugs, although few studies have examined this risk among street-involved youth. We sought to determine the risk factors associated with non-fatal overdose among Canadian street-involved youth who reported illicit drug use. Methods Using data from a prospective cohort of street-involved youth in Vancouver, Canada, we identified youth without a history of overdose and employed Cox regression analyses to determine factors associated with time to non-fatal overdose between September 2005 and May 2012. Results Among 615 participants, 98 (15.9%) reported a non-fatal overdose event during follow-up, resulting in an incidence density of 7.67 cases per 100 person-years. In multivariate Cox regression analyses, binge drug use (adjusted hazard ratio [AHR] = 1.85; 95% confidence interval [CI] = 1.20 – 2.84), non-injection crystal methamphetamine use (AHR = 1.70; 95% CI = 1.12 – 2.58), non-injection prescription opiate use (AHR = 2.56; 95% CI = 1.36 – 4.82), injection prescription opiate use (AHR = 2.49; 95% CI = 1.40 – 4.45) and injection heroin use (AHR = 1.85; 95% CI = 1.14 – 3.00) were positively associated with time to non-fatal overdose. Social, behavioural and demographic factors were not significantly associated with time to non-fatal overdose event. Conclusions Rates of non-fatal overdose were high among street-involved youth. Drug use patterns, in particular prescription opiate use, were associated with overdose. These findings underscore the importance of addiction treatment and prevention efforts aimed at reducing the risk of overdose among youth. PMID:26096535
On data processing required to derive mobility patterns from passively-generated mobile phone data
Wang, Feilong; Chen, Cynthia
2018-01-01
Passively-generated mobile phone data is emerging as a potential data source for transportation research and applications. Despite the large amount of studies based on the mobile phone data, only a few have reported the properties of such data, and documented how they have processed the data. In this paper, we describe two types of common mobile phone data: Call Details Record (CDR) data and sightings data, and propose a data processing framework and the associated algorithms to address two key issues associated with the sightings data: locational uncertainty and oscillation. We show the effectiveness of our proposed methods in addressing these two issues compared to the state of art algorithms in the field. We also demonstrate that without proper processing applied to the data, the statistical regularity of human mobility patterns—a key, significant trait identified for human mobility—is over-estimated. We hope this study will stimulate more studies in examining the properties of such data and developing methods to address them. Though not as glamorous as those directly deriving insights on mobility patterns (such as statistical regularity), understanding properties of such data and developing methods to address them is a fundamental research topic on which important insights are derived on mobility patterns. PMID:29398790
Spiral pattern in a radial displacement involving a reaction-producing gel.
Nagatsu, Yuichiro; Hayashi, Atsushi; Ban, Mitsumasa; Kato, Yoshihito; Tada, Yutaka
2008-08-01
We have shown experimentally that the pattern created by the displacement of a more viscous fluid by a less viscous one in a radial Hele-Shaw cell develops not radially but spirally when a more viscous sodium polyacrylate solution is displaced by a less viscous trivalent iron ion (Fe3+) solution with a sufficiently high concentration of Fe3+ . Another experiment revealed that an instantaneous chemical reaction takes place between the two fluids, and at high Fe3+ concentrations it produces a film of the gel at the contact plane. The gel film is proposed to be responsible for the spiral pattern.
Spiral pattern in a radial displacement involving a reaction-producing gel
NASA Astrophysics Data System (ADS)
Nagatsu, Yuichiro; Hayashi, Atsushi; Ban, Mitsumasa; Kato, Yoshihito; Tada, Yutaka
2008-08-01
We have shown experimentally that the pattern created by the displacement of a more viscous fluid by a less viscous one in a radial Hele-Shaw cell develops not radially but spirally when a more viscous sodium polyacrylate solution is displaced by a less viscous trivalent iron ion (Fe3+) solution with a sufficiently high concentration of Fe3+ . Another experiment revealed that an instantaneous chemical reaction takes place between the two fluids, and at high Fe3+ concentrations it produces a film of the gel at the contact plane. The gel film is proposed to be responsible for the spiral pattern.
Mitsiades, Nicholas; Sung, Clifford C.; Schultz, Nikolaus; Danila, Daniel C.; He, Bin; Eedunuri, Vijay Kumar; Fleisher, Martin; Sander, Chris; Sawyers, Charles L.; Scher, Howard I.
2012-01-01
Androgen receptor (AR) signaling persists in castration-resistant prostate carcinomas (CRPCs), due to several mechanisms that include increased AR expression and intratumoral androgen metabolism. We investigated the mechanisms underlying aberrant expression of transcripts involved in androgen metabolism in CRPC. We compared gene expression profiles and DNA copy number alteration (CNA) data from 29 normal prostate tissue samples, 127 primary prostate carcinomas (PCas) and 19 metastatic PCas. Steroidogenic enzyme transcripts were evaluated by qRT-PCR in PCa cell lines and circulating tumor cells (CTCs) from CRPC patients. Metastatic PCas expressed higher transcript levels for AR and several steroidogenic enzymes, including SRD5A1, SRD5A3, and AKR1C3, while expression of SRD5A2, CYP3A4, CYP3A5 and CYP3A7 was decreased. This aberrant expression was rarely associated with CNAs. Instead, our data suggest distinct patterns of coordinated aberrant enzyme expression. Inhibition of AR activity by itself stimulated AKR1C3 expression. The aberrant expression of the steroidogenic enzyme transcripts were detected in CTCs from CRPC patients. In conclusion, our findings identify substantial interpatient heterogeneity and distinct patterns of dysregulated expression of enzymes involved in intratumoral androgen metabolism in PCa. These steroidogenic enzymes represent targets for complete suppression of systemic and intratumoral androgen levels, an objective that is supported by the clinical efficacy of the CYP17 inhibitor abiraterone. A comprehensive AR axis targeting approach via simultaneous, frontline enzymatic blockade and/or transcriptional repression of several steroidogenic enzymes, in combination with GnRH analogs and potent anti-androgens, would represent a powerful future strategy for PCa management. PMID:22971343
Kim, Seung-Cheol; Kim, Eun-Soo
2009-02-20
In this paper we propose a new approach for fast generation of computer-generated holograms (CGHs) of a 3D object by using the run-length encoding (RLE) and the novel look-up table (N-LUT) methods. With the RLE method, spatially redundant data of a 3D object are extracted and regrouped into the N-point redundancy map according to the number of the adjacent object points having the same 3D value. Based on this redundancy map, N-point principle fringe patterns (PFPs) are newly calculated by using the 1-point PFP of the N-LUT, and the CGH pattern for the 3D object is generated with these N-point PFPs. In this approach, object points to be involved in calculation of the CGH pattern can be dramatically reduced and, as a result, an increase of computational speed can be obtained. Some experiments with a test 3D object are carried out and the results are compared to those of the conventional methods.
Parenting Styles and Youth Well-Being across Immigrant Generations
ERIC Educational Resources Information Center
Driscoll, Anne K.; Russell, Stephen T.; Crockett, Lisa J.
2008-01-01
This study examines generational patterns of parenting styles, the relationships between parenting styles and adolescent well-being among youth of Mexican origin, and the role of generational parenting style patterns in explaining generational patterns in youth behavior (delinquency and alcohol problems) and psychological well-being (depression…
Pulsipher, Abigail; Westcott, Nathan P; Luo, Wei; Yousaf, Muhammad N
2009-06-10
In this work, we develop a new, rapid and inexpensive method to generate spatially controlled aldehyde and carboxylic acid surface groups by microfluidic oxidation of 11-hydroxyundecylphosphonic acid self-assembled monolayers (SAMs) on indium tin oxide (ITO) surfaces. SAMs are activated and patterned using a reversibly sealable, elastomeric polydimethylsiloxane cassette, fabricated with preformed micropatterns by soft lithography. By flowing the mild oxidant pyridinium chlorochromate through the microchannels, only selected areas of the SAM are chemically altered. This microfluidic oxidation strategy allows for ligand immobilization by two chemistries originating from a single SAM composition. ITO is robust, conductive, and transparent, making it an ideal platform for studying interfacial interactions. We display spatial control over the immobilization of a variety of ligands on ITO and characterize the resulting oxime and amide linkages by electrochemistry, X-ray photoelectron spectroscopy, contact angle, fluorescence microscopy, and atomic force microscopy. This general method may be used with many other materials to rapidly generate patterned and tailored surfaces for studies ranging from molecular electronics to biospecific cell-based assays and biomolecular microarrays.
LaPlante, Debi A; Nelson, Sarah E; Gray, Heather M
2014-06-01
The "involvement effect" refers to the finding that controlling for gambling involvement often reduces or eliminates frequently observed game-specific associations with problem gambling. In other words, broader patterns of gambling behavior, particularly the number of types of games played over a defined period, contribute more to problem gambling than playing specific games (e.g., lottery, casino, Internet gambling). This study extends this burgeoning area of inquiry in three primary ways. First, it tests independently and simultaneously the predictive power of two gambling patterns: breadth involvement (i.e., the number of games an individual plays) and depth involvement (i.e., the number of days an individual plays). Second, it includes the first involvement analyses of actual betting activity records that are associated with clinical screening information. Third, it evaluates and compares the linearity of breadth and depth effects. We conducted analyses of the actual gambling activity of 1,440 subscribers to the bwin.party gambling service who completed an online gambling disorder screen. In all, 11 of the 16 games we examined had a significant univariate association with a positive screen for gambling disorder. However, after controlling for breadth involvement, only Live Action Internet sports betting retained a significant relationship with potential gambling-related problems. Depth involvement, though significantly related to potential problems, did not impact game-based gambling disorder associations as much as breadth involvement. Finally, breadth effects appeared steeply linear, with a slight quadratic component manifesting beyond four games played, but depth effects appeared to have a strong linear component and a slight cubic component.
The generation and diversification of butterfly eyespot color patterns.
Brunetti, C R; Selegue, J E; Monteiro, A; French, V; Brakefield, P M; Carroll, S B
2001-10-16
A fundamental challenge of evolutionary and developmental biology is understanding how new characters arise and change. The recently derived eyespots on butterfly wings vary extensively in number and pattern between species and play important roles in predator avoidance. Eyespots form through the activity of inductive organizers (foci) at the center of developing eyespot fields. Foci are the proposed source of a morphogen, the levels of which determine the color of surrounding wing scale cells. However, it is unknown how reception of the focal signal translates into rings of different-colored scales, nor how different color schemes arise in different species. We have identified several transcription factors, including butterfly homologs of the Drosophila Engrailed/Invected and Spalt proteins, that are deployed in concentric territories corresponding to the future rings of pigmented scales that compose the adult eyespot. We have isolated a new Bicyclus anynana wing pattern mutant, Goldeneye, in which the scales of one inner color ring become the color of a different ring. These changes correlate with shifts in transcription factor expression, suggesting that Goldeneye affects an early regulatory step in eyespot color patterning. In different butterfly species, the same transcription factors are expressed in eyespot fields, but in different relative spatial domains that correlate with divergent eyespot color schemes. Our results suggest that signaling from the focus induces nested rings of regulatory gene expression that subsequently control the final color pattern. Furthermore, the remarkably plastic regulatory interactions downstream of focal signaling have facilitated the evolution of eyespot diversity.
Bao, Yan; von Stosch, Alexandra; Park, Mona; Pöppel, Ernst
2017-01-01
In experimental aesthetics the relationship between the arts and cognitive neuroscience has gained particular interest in recent years. But has cognitive neuroscience indeed something to offer when studying the arts? Here we present a theoretical frame within which the concept of complementarity as a generative or creative principle is proposed; neurocognitive processes are characterized by the duality of complementary activities like bottom-up and top-down control, or logistical functions like temporal control and content functions like perceptions in the neural machinery. On that basis a thought pattern is suggested for aesthetic appreciations and cognitive appraisals in general. This thought pattern is deeply rooted in the history of philosophy and art theory since antiquity; and complementarity also characterizes neural operations as basis for cognitive processes. We then discuss some challenges one is confronted with in experimental aesthetics; in our opinion, one serious problem is the lack of a taxonomy of functions in psychology and neuroscience which is generally accepted. This deficit makes it next to impossible to develop acceptable models which are similar to what has to be modeled. Another problem is the severe language bias in this field of research as knowledge gained in many languages over the ages remains inaccessible to most scientists. Thus, an inspection of research results or theoretical concepts is necessarily too narrow. In spite of these limitations we provide a selective summary of some results and viewpoints with a focus on visual art and its appreciation. It is described how questions of art and aesthetic appreciations using behavioral methods and in particular brain-imaging techniques are analyzed and evaluated focusing on such issues like the representation of artwork or affective experiences. Finally, we emphasize complementarity as a generative principle on a practical level when artists and scientists work directly together which can
Bao, Yan; von Stosch, Alexandra; Park, Mona; Pöppel, Ernst
2017-01-01
In experimental aesthetics the relationship between the arts and cognitive neuroscience has gained particular interest in recent years. But has cognitive neuroscience indeed something to offer when studying the arts? Here we present a theoretical frame within which the concept of complementarity as a generative or creative principle is proposed; neurocognitive processes are characterized by the duality of complementary activities like bottom-up and top-down control, or logistical functions like temporal control and content functions like perceptions in the neural machinery. On that basis a thought pattern is suggested for aesthetic appreciations and cognitive appraisals in general. This thought pattern is deeply rooted in the history of philosophy and art theory since antiquity; and complementarity also characterizes neural operations as basis for cognitive processes. We then discuss some challenges one is confronted with in experimental aesthetics; in our opinion, one serious problem is the lack of a taxonomy of functions in psychology and neuroscience which is generally accepted. This deficit makes it next to impossible to develop acceptable models which are similar to what has to be modeled. Another problem is the severe language bias in this field of research as knowledge gained in many languages over the ages remains inaccessible to most scientists. Thus, an inspection of research results or theoretical concepts is necessarily too narrow. In spite of these limitations we provide a selective summary of some results and viewpoints with a focus on visual art and its appreciation. It is described how questions of art and aesthetic appreciations using behavioral methods and in particular brain-imaging techniques are analyzed and evaluated focusing on such issues like the representation of artwork or affective experiences. Finally, we emphasize complementarity as a generative principle on a practical level when artists and scientists work directly together which can
Counting Necklaces and Other Patterns.
ERIC Educational Resources Information Center
Houghton, Chris
1990-01-01
A method for helping students to find formulas involving symmetry under various conditions is explained. Necklace symmetries, orbit counting, tetrahedra and cubes, relationship patterns, and finding patterns are discussed. (CW)
Generative electronic background music system
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mazurowski, Lukasz
In this short paper-extended abstract the new approach to generation of electronic background music has been presented. The Generative Electronic Background Music System (GEBMS) has been located between other related approaches within the musical algorithm positioning framework proposed by Woller et al. The music composition process is performed by a number of mini-models parameterized by further described properties. The mini-models generate fragments of musical patterns used in output composition. Musical pattern and output generation are controlled by container for the mini-models - a host-model. General mechanism has been presented including the example of the synthesized output compositions.
Yang, Jiajia; Kitada, Ryo; Kochiyama, Takanori; Yu, Yinghua; Makita, Kai; Araki, Yuta; Wu, Jinglong; Sadato, Norihiro
2017-01-01
Humans are able to judge the speed of an object’s motion by touch. Research has suggested that tactile judgment of speed is influenced by physical properties of the moving object, though the neural mechanisms underlying this process remain poorly understood. In the present study, functional magnetic resonance imaging was used to investigate brain networks that may be involved in tactile speed classification and how such networks may be affected by an object’s texture. Participants were asked to classify the speed of 2-D raised dot patterns passing under their right middle finger. Activity in the parietal operculum, insula, and inferior and superior frontal gyri was positively related to the motion speed of dot patterns. Activity in the postcentral gyrus and superior parietal lobule was sensitive to dot periodicity. Psycho-physiological interaction (PPI) analysis revealed that dot periodicity modulated functional connectivity between the parietal operculum (related to speed) and postcentral gyrus (related to dot periodicity). These results suggest that texture-sensitive activity in the primary somatosensory cortex and superior parietal lobule influences brain networks associated with tactually-extracted motion speed. Such effects may be related to the influence of surface texture on tactile speed judgment. PMID:28145505
Modeling urbanization patterns at a global scale with generative adversarial networks
NASA Astrophysics Data System (ADS)
Albert, A. T.; Strano, E.; Gonzalez, M.
2017-12-01
Current demographic projections show that, in the next 30 years, global population growth will mostly take place in developing countries. Coupled with a decrease in density, such population growth could potentially double the land occupied by settlements by 2050. The lack of reliable and globally consistent socio-demographic data, coupled with the limited predictive performance underlying traditional urban spatial explicit models, call for developing better predictive methods, calibrated using a globally-consistent dataset. Thus, richer models of the spatial interplay between the urban built-up land, population distribution and energy use are central to the discussion around the expansion and development of cities, and their impact on the environment in the context of a changing climate. In this talk we discuss methods for, and present an analysis of, urban form, defined as the spatial distribution of macroeconomic quantities that characterize a city, using modern machine learning methods and best-available remote-sensing data for the world's largest 25,000 cities. We first show that these cities may be described by a small set of patterns in radial building density, nighttime luminosity, and population density, which highlight, to first order, differences in development and land use across the world. We observe significant, spatially-dependent variance around these typical patterns, which would be difficult to model using traditional statistical methods. We take a first step in addressing this challenge by developing CityGAN, a conditional generative adversarial network model for simulating realistic urban forms. To guide learning and measure the quality of the simulated synthetic cities, we develop a specialized loss function for GAN optimization that incorporates standard spatial statistics used by urban analysis experts. Our framework is a stark departure from both the standard physics-based approaches in the literature (that view urban forms as fractals with a
A two-step patterning process increases the robustness of periodic patterning in the fly eye.
Gavish, Avishai; Barkai, Naama
2016-06-01
Complex periodic patterns can self-organize through dynamic interactions between diffusible activators and inhibitors. In the biological context, self-organized patterning is challenged by spatial heterogeneities ('noise') inherent to biological systems. How spatial variability impacts the periodic patterning mechanism and how it can be buffered to ensure precise patterning is not well understood. We examine the effect of spatial heterogeneity on the periodic patterning of the fruit fly eye, an organ composed of ∼800 miniature eye units (ommatidia) whose periodic arrangement along a hexagonal lattice self-organizes during early stages of fly development. The patterning follows a two-step process, with an initial formation of evenly spaced clusters of ∼10 cells followed by a subsequent refinement of each cluster into a single selected cell. Using a probabilistic approach, we calculate the rate of patterning errors resulting from spatial heterogeneities in cell size, position and biosynthetic capacity. Notably, error rates were largely independent of the desired cluster size but followed the distributions of signaling speeds. Pre-formation of large clusters therefore greatly increases the reproducibility of the overall periodic arrangement, suggesting that the two-stage patterning process functions to guard the pattern against errors caused by spatial heterogeneities. Our results emphasize the constraints imposed on self-organized patterning mechanisms by the need to buffer stochastic effects. Author summary Complex periodic patterns are common in nature and are observed in physical, chemical and biological systems. Understanding how these patterns are generated in a precise manner is a key challenge. Biological patterns are especially intriguing, as they are generated in a noisy environment; cell position and cell size, for example, are subject to stochastic variations, as are the strengths of the chemical signals mediating cell-to-cell communication. The need
Norman, J A; Christidis, L; Joseph, L; Slikas, B; Alpers, D
2002-01-01
Molecular analysis of two Australo-Papuan rainforest birds exhibiting correlated 'leapfrog' patterns were used to elucidate the evolutionary origin of this unusual pattern of geographical differentiation. In both sooty owls (Tyto) and logrunners (Orthonyx), phenotypically similar populations occupy widely disjunct areas (central-eastern Australia and upland New Guinea) with a third, highly distinctive population, occurring between them in northeastern Queensland. Two mechanisms have been proposed to explain the origin of leapfrog patterns in avian distributions: recent shared ancestry of terminal populations and unequal rates or phenotypic change among populations. As the former should generate correlated patterns of phenotypic and genetic differentiation, we tested for a sister relationship between populations from New Guinea and central-eastern Australia using nuclear and mitochondrial DNA sequences. The resulting phylogenies not only refute recent ancestry as an explanation for the leapfrog pattern, but provide evidence of vastly different spatio-temporal histories for sooty owls and logrunners within the Australo-Papuan rainforests. This incongruence indicates that the evolutionary processes responsible for generating leapfrog patterns in these co-distributed taxa are complex, possibly involving a combination of selection and drift in sooty owls and convergence or retention of ancestral characteristics in logrunners. PMID:12396487
Norman, J A; Christidis, L; Joseph, L; Slikas, B; Alpers, D
2002-10-22
Molecular analysis of two Australo-Papuan rainforest birds exhibiting correlated 'leapfrog' patterns were used to elucidate the evolutionary origin of this unusual pattern of geographical differentiation. In both sooty owls (Tyto) and logrunners (Orthonyx), phenotypically similar populations occupy widely disjunct areas (central-eastern Australia and upland New Guinea) with a third, highly distinctive population, occurring between them in northeastern Queensland. Two mechanisms have been proposed to explain the origin of leapfrog patterns in avian distributions: recent shared ancestry of terminal populations and unequal rates or phenotypic change among populations. As the former should generate correlated patterns of phenotypic and genetic differentiation, we tested for a sister relationship between populations from New Guinea and central-eastern Australia using nuclear and mitochondrial DNA sequences. The resulting phylogenies not only refute recent ancestry as an explanation for the leapfrog pattern, but provide evidence of vastly different spatio-temporal histories for sooty owls and logrunners within the Australo-Papuan rainforests. This incongruence indicates that the evolutionary processes responsible for generating leapfrog patterns in these co-distributed taxa are complex, possibly involving a combination of selection and drift in sooty owls and convergence or retention of ancestral characteristics in logrunners.
Investigation of Next-Generation Earth Radiation Budget Radiometry
NASA Technical Reports Server (NTRS)
Coffey, Katherine L.; Mahan, J. R.
1999-01-01
The current effort addresses two issues important to the research conducted by the Thermal Radiation Group at Virginia Tech. The first research topic involves the development of a method which can properly model the diffraction of radiation as it enters an instrument aperture. The second topic involves the study of a potential next-generation space-borne radiometric instrument concept. Presented are multiple modeling efforts to describe the diffraction of monochromatic radiant energy passing through an aperture for use in the Monte-Carlo ray-trace environment. Described in detail is a deterministic model based upon Heisenberg's uncertainty principle and the particle theory of light. This method is applicable to either Fraunhofer or Fresnel diffraction situations, but is incapable of predicting the secondary fringes in a diffraction pattern. Also presented is a second diffraction model, based on the Huygens-Fresnel principle with a correcting obliquity factor. This model is useful for predicting Fraunhofer diffraction, and can predict the secondary fringes because it keeps track of phase. NASA is planning for the next-generation of instruments to follow CERES (Clouds and the Earth's Radiant Energy System), an instrument which measures components of the Earth's radiant energy budget in three spectral bands. A potential next-generation concept involves modification of the current CERES instrument to measure in a larger number of wavelength bands. This increased spectral partitioning would be achieved by the addition of filters and detectors to the current CERES geometry. The capacity of the CERES telescope to serve for this purpose is addressed in this thesis.
Cheng, Lailiang
2012-01-01
Both sorbitol and sucrose are imported into apple fruit from leaves. The metabolism of sorbitol and sucrose fuels fruit growth and development, and accumulation of sugars in fruit is central to the edible quality of apple. However, our understanding of the mechanisms controlling sugar metabolism and accumulation in apple remains quite limited. We identified members of various gene families encoding key enzymes or transporters involved in sugar metabolism and accumulation in apple fruit using homology searches and comparison of their expression patterns in different tissues, and analyzed the relationship of their transcripts with enzyme activities and sugar accumulation during fruit development. At the early stage of fruit development, the transcript levels of sorbitol dehydrogenase, cell wall invertase, neutral invertase, sucrose synthase, fructokinase and hexokinase are high, and the resulting high enzyme activities are responsible for the rapid utilization of the imported sorbitol and sucrose for fruit growth, with low levels of sugar accumulation. As the fruit continues to grow due to cell expansion, the transcript levels and activities of these enzymes are down-regulated, with concomitant accumulation of fructose and elevated transcript levels of tonoplast monosaccharide transporters (TMTs), MdTMT1 and MdTMT2; the excess carbon is converted into starch. At the late stage of fruit development, sucrose accumulation is enhanced, consistent with the elevated expression of sucrose-phosphate synthase (SPS), MdSPS5 and MdSPS6, and an increase in its total activity. Our data indicate that sugar metabolism and accumulation in apple fruit is developmentally regulated. This represents a comprehensive analysis of the genes involved in sugar metabolism and accumulation in apple, which will serve as a platform for further studies on the functions of these genes and subsequent manipulation of sugar metabolism and fruit quality traits related to carbohydrates. PMID:22412983
Nasseri, Kiumarss; Moulton, Lawrence H
2011-04-01
Migrant studies in the United States (US) have rarely covered the Middle Eastern population (ME), and have never distinguished the first and second generations born in the US. This study aims to describe the mortality patterns of ME immigrants by origin, acculturation, and generation. Death certificates issued from 1997 through 2004 were used to calculate, for Middle Eastern immigrants, the proportional odds ratios (POR) for major causes of death, with comparison to non-Hispanic Whites born in the US to US-born parents. First generation immigrants had higher odds for colorectal cancers, diabetes, and diseases of the heart, while their odds for chronic obstructive pulmonary disease (COPD) and suicide were lower. Men had higher odds for all cancers combined, cancers of the lymphatics, and pancreas. Women had lower odds for lung cancer, and dementia, and higher odds for breast cancer. The second generation men had higher odds for all cancers combined, and diseases of the heart, whereas women had lower odds for lung cancer and cerebrovascular accidents. Higher odds for colorectal cancers and lower odds for COPD were noted in both sexes. Some of the observed differences may be based on ethnic characteristics, including genetic makeup, early exposures, and culturally determined values. Time since immigration is associated with convergence of most odds to that of the native population.
Immigrant Youth Involvement in School-Based Extracurricular Activities
ERIC Educational Resources Information Center
Peguero, Anthony A.
2011-01-01
Extracurricular activity involvement is generally beneficial toward student progress and success. Little is known, however, about immigrant youth involvement in school-based extracurricular activities. The author examined the patterns of Latino and Asian American youth extracurricular involvement by focusing on the pertinent role of immigrant…
Globally scalable generation of high-resolution land cover from multispectral imagery
NASA Astrophysics Data System (ADS)
Stutts, S. Craig; Raskob, Benjamin L.; Wenger, Eric J.
2017-05-01
We present an automated method of generating high resolution ( 2 meter) land cover using a pattern recognition neural network trained on spatial and spectral features obtained from over 9000 WorldView multispectral images (MSI) in six distinct world regions. At this resolution, the network can classify small-scale objects such as individual buildings, roads, and irrigation ponds. This paper focuses on three key areas. First, we describe our land cover generation process, which involves the co-registration and aggregation of multiple spatially overlapping MSI, post-aggregation processing, and the registration of land cover to OpenStreetMap (OSM) road vectors using feature correspondence. Second, we discuss the generation of land cover derivative products and their impact in the areas of region reduction and object detection. Finally, we discuss the process of globally scaling land cover generation using cloud computing via Amazon Web Services (AWS).
NASA Astrophysics Data System (ADS)
Gómez Gómez, José María; Medina, Jesús; Rull, Fernando
2016-07-01
Biosaline formations (BSFs) are complex self-organized biomineral patterns formed by "hibernating" bacteria as the biofilm that contains them dries out. They were initially described in drying biofilms of Escherichia coli cells + NaCl. Due to their intricate 3-D morphology and anhydrobiosis, these biomineralogical structures are of great interest in astrobiology. Here we report experimental data obtained with various alkali halide salts (NaF, NaCl, NaBr, LiCl, KCl, CsCl) on BSF formation with E. coli and Bacillus subtilis bacteria at two saline concentrations: 9 and 18 mg/mL. Our results indicate that, except for LiCl, which is inactive, all the salts assayed are active during BSF formation and capable of promoting the generation of distinctive drying patterns at each salt concentration. Remarkably, the BSFs produced by these two bacterial species produce characteristic architectural hallmarks as the BSF dries. The potential biogenicity of these biosaline drying patterns is studied, and the astrobiological implications of these findings are discussed.
Chen, Yu-Mei; Li, Hua; Fan, Yi; Zhang, Qi-Jun; Li, Xing; Wu, Li-Jie; Chen, Zi-Jie; Zhu, Chun; Qian, Ling-Mei
2017-04-25
Previous studies have shown that mammalian cardiac tissue has a regenerative capacity. Remarkably, neonatal mice can regenerate their cardiac tissue for up to 6 days after birth, but this capacity is lost by day 7. In this study, we aimed to explore the expression pattern of long noncoding RNA (lncRNA) during this period and examine the mechanisms underlying this process. We found that 685 lncRNAs and 1833 mRNAs were differentially expressed at P1 and P7 by the next-generation high-throughput RNA sequencing. The coding genes associated with differentially expressed lncRNAs were mainly involved in metabolic processes and cell proliferation, and also were potentially associated with several key regeneration signalling pathways, including PI3K-Akt, MAPK, Hippo and Wnt. In addition, we identified some correlated targets of highly-dysregulated lncRNAs such as Igfbp3, Trnp1, Itgb6, and Pim3 by the coding-noncoding gene co-expression network. These data may offer a reference resource for further investigation about the mechanisms by which lncRNAs regulate cardiac regeneration.
Practice patterns of academic general thoracic and adult cardiac surgeons.
Ingram, Michael T; Wisner, David H; Cooke, David T
2014-10-01
We hypothesized that academic adult cardiac surgeons (CSs) and general thoracic surgeons (GTSs) would have distinct practice patterns of, not just case-mix, but also time devoted to outpatient care, involvement in critical care, and work relative value unit (wRVU) generation for the procedures they perform. We queried the University Health System Consortium-Association of American Medical Colleges Faculty Practice Solution Center database for fiscal years 2007-2008, 2008-2009, and 2009-2010 for the frequency of inpatient and outpatient current procedural terminology coding and wRVU data of academic GTSs and CSs. The Faculty Practice Solution Center database is a compilation of productivity and payer data from 86 academic institutions. The greatest wRVU generating current procedural terminology codes for CSs were, in order, coronary artery bypass grafting, aortic valve replacement, and mitral valve replacement. In contrast, open lobectomy, video-assisted thoracic surgery wedge, and video-assisted thoracic surgery lobectomy were greatest for GTSs. The 10 greatest wRVU-generating procedures for CSs generated more wRVUs than those for GTSs (P<.001). Although CSs generated significantly more hospital inpatient evaluation and management (E & M) wRVUs than did GTSs (P<.001), only 2.5% of the total wRVUs generated by CSs were from E & M codes versus 18.8% for GTSs. Critical care codes were 1.5% of total evaluation and management billing for both CSs and GTSs. Academic CSs and GTSs have distinct practice patterns. CSs receive greater reimbursement for services because of the greater wRVUs of the procedures performed compared with GTSs, and evaluation and management coding is a more important wRVU generator for GTSs. The results of our study could guide academic CS and GTS practice structure and time prioritization. Copyright © 2014 The American Association for Thoracic Surgery. Published by Elsevier Inc. All rights reserved.
Design space exploration for early identification of yield limiting patterns
NASA Astrophysics Data System (ADS)
Li, Helen; Zou, Elain; Lee, Robben; Hong, Sid; Liu, Square; Wang, JinYan; Du, Chunshan; Zhang, Recco; Madkour, Kareem; Ali, Hussein; Hsu, Danny; Kabeel, Aliaa; ElManhawy, Wael; Kwan, Joe
2016-03-01
In order to resolve the causality dilemma of which comes first, accurate design rules or real designs, this paper presents a flow for exploration of the layout design space to early identify problematic patterns that will negatively affect the yield. A new random layout generating method called Layout Schema Generator (LSG) is reported in this paper, this method generates realistic design-like layouts without any design rule violation. Lithography simulation is then used on the generated layout to discover the potentially problematic patterns (hotspots). These hotspot patterns are further explored by randomly inducing feature and context variations to these identified hotspots through a flow called Hotspot variation Flow (HSV). Simulation is then performed on these expanded set of layout clips to further identify more problematic patterns. These patterns are then classified into design forbidden patterns that should be included in the design rule checker and legal patterns that need better handling in the RET recipes and processes.
Kayser, Jürgen; Tenke, Craig E; Abraham, Karen S; Alschuler, Daniel M; Alvarenga, Jorge E; Skipper, Jamie; Warner, Virginia; Bruder, Gerard E; Weissman, Myrna M
2016-11-15
Event-related potential (ERP) studies have provided evidence for an allocation of attentional resources to enhance perceptual processing of motivationally salient stimuli. Emotional modulation affects several consecutive components associated with stages of affective-cognitive processing, beginning as early as 100-200ms after stimulus onset. In agreement with the notion that the right parietotemporal region is critically involved during the perception of arousing affective stimuli, some ERP studies have reported asymmetric emotional ERP effects. However, it is difficult to separate emotional from non-emotional effects because differences in stimulus content unrelated to affective salience or task demands may also be associated with lateralized function or promote cognitive processing. Other concerns pertain to the operational definition and statistical independence of ERP component measures, their dependence on an EEG reference, and spatial smearing due to volume conduction, all of which impede the identification of distinct scalp activation patterns associated with affective processing. Building on prior research using a visual half-field paradigm with highly controlled emotional stimuli (pictures of cosmetic surgery patients showing disordered [negative] or healed [neutral] facial areas before or after treatment), 72-channel ERPs recorded from 152 individuals (ages 13-68years; 81 female) were transformed into reference-free current source density (CSD) waveforms and submitted to temporal principal components analysis (PCA) to identify their underlying neuronal generator patterns. Using both nonparametric randomization tests and repeated measures ANOVA, robust effects of emotional content were found over parietooccipital regions for CSD factors corresponding to N2 sink (212ms peak latency), P3 source (385ms) and a late centroparietal source (630ms), all indicative of greater positivity for negative than neutral stimuli. For the N2 sink, emotional effects were
Generation of shape complexity through tissue conflict resolution
Rebocho, Alexandra B; Southam, Paul; Kennaway, J Richard; Coen, Enrico
2017-01-01
Out-of-plane tissue deformations are key morphogenetic events during plant and animal development that generate 3D shapes, such as flowers or limbs. However, the mechanisms by which spatiotemporal patterns of gene expression modify cellular behaviours to generate such deformations remain to be established. We use the Snapdragon flower as a model system to address this problem. Combining cellular analysis with tissue-level modelling, we show that an orthogonal pattern of growth orientations plays a key role in generating out-of-plane deformations. This growth pattern is most likely oriented by a polarity field, highlighted by PIN1 protein localisation, and is modulated by dorsoventral gene activity. The orthogonal growth pattern interacts with other patterns of differential growth to create tissue conflicts that shape the flower. Similar shape changes can be generated by contraction as well as growth, suggesting tissue conflict resolution provides a flexible morphogenetic mechanism for generating shape diversity in plants and animals. DOI: http://dx.doi.org/10.7554/eLife.20156.001 PMID:28166865
Statistical properties of bidimensional patterns generated from delayed and extended maps
NASA Astrophysics Data System (ADS)
Giacomelli, Giovanni; Lepri, Stefano; Politi, Antonio
1995-05-01
The space-time chaotic patterns associated with a class of dynamical systems ranging from delayed to extended maps are investigated. All the systems are constructed in such a way that the corresponding two-dimensional (2D) representation is characterized by the same updating rule in the bulk. The main difference among them is the direction of the ``time'' axis in the plane. Despite the different causality relations among the various models, the resulting patterns are shown to be statistically equivalent. In particular, the Kolmogorov-Sinai entropy density assumes always the same value. Therefore, it can be considered as an absolute indicator, measuring the amount of disorder of a 2D pattern. The Kaplan-Yorke dimension density is instead rule dependent: this indicator alone cannot be used to quantify the degrees of freedom of a given pattern; one must further specify the direction of propagation in the plane.
NASA Astrophysics Data System (ADS)
Berger, Michael; Mokhtar, Marwan; Zahler, Christian; Willert, Daniel; Neuhäuser, Anton; Schleicher, Eckhard
2017-06-01
At Industrial Solar's test facility in Freiburg (Germany), two phase flow patterns have been measured by using a wire mesh sensor from Helmholtz Zentrum Dresden-Rossendorf (HZDR). Main purpose of the measurements was to compare observed two-phase flow patterns with expected flow patterns from models. The two-phase flow pattern is important for the design of direct steam generating solar collectors. Vibrations should be avoided in the peripheral piping, and local dry-outs or large circumferential temperature gradients should be prevented in the absorber tubes. Therefore, the choice of design for operation conditions like mass flow and steam quality are an important step in the engineering process of such a project. Results of a measurement with the wire mesh sensor are the flow pattern and the plug or slug frequency at the given operating conditions. Under the assumption of the collector power, which can be assumed from previous measurements at the same collector and adaption with sun position and incidence angle modifier, also the slip can be evaluated for a wire mesh sensor measurement. Measurements have been performed at different mass flows and pressure levels. Transient behavior has been tested for flashing, change of mass flow, and sudden changes of irradiation (cloud simulation). This paper describes the measurements and the method of evaluation. Results are shown as extruded profiles in top view and in side view. Measurement and model are compared. The tests have been performed at low steam quality, because of the limits of the test facility. Conclusions and implications for possible future measurements at larger collectors are also presented in this paper.
Tan, L H
2004-07-01
This review revisits Waldeyer's ring lymphomas as classified by the World Health Organisation. Sources of data include international studies on Waldeyer's ring lymphomas as well as from personal observations gleaned from lymphoma statistics of Singapore General Hospital, Changi General Hospital, Tan Tock Seng Hospital and National University Hospital within the last decade or so. Waldeyer's ring shares many of the histopathological trends of the rest of mucosa-associated lymphoid tissue (MALT), such as the high frequency of diffuse large B-cell lymphomas, and the relative rarity of follicular lymphomas in spite of its rich endowment with reactive lymphoid follicles. However, extranodal marginal zone lymphoma or "MALToma" may not be as frequently encountered as in other mucosal sites. Furthermore, the placement of Waldeyer's ring is unique in that stark comparisons with the lymphopathology of the immediately anterior oronasal cavities can be made, with intriguing peculiarities such as the abrupt reversal of the ratio of B-cell to T/NK-cell lymphoma frequency upon crossing the imaginary line that separates the 2 regions. The differential diagnosis with regionally common lymphoma mimics, in particular reactive parafollicular hyperplasia and nasopharyngeal undifferentiated (lymphoepithelial) carcinoma of Schmincke pattern, both often aetiologically related to Epstein-Barr viral infection, is also discussed. Recognition of the peculiarities and patterns of Waldeyer's ring lymphomas is important for accurate pathologic assessment. Postulates that attempt to account for the patterns and peculiarities of Waldeyer's ring lymphopathology can be used to direct further research.
Kaji, Hirokazu; Sekine, Soichiro; Hashimoto, Masahiko; Kawashima, Takeaki; Nishizawa, Matsuhiko
2007-01-01
We report a method for producing patterned cell adhesion inside silicone tubing. A platinum needle microelectrode was inserted through the wall of the tubing and an oxidizing agent electrochemically generated at the inserted electrode. This agent caused local detachment of the anti-biofouling heparin layer from the inner surface of the tubing. The cell-adhesive protein fibronectin selectively adsorbed onto the newly exposed surface, making it possible to initiate a localized cell culture. The electrode could be readily set in place without breaking the tubular structure and, importantly, almost no culture solution leaked from the electrode insertion site after the electrode was removed. Ionic adsorption of poly-L-lysine at the tubular region retaining a heparin coating was used to switch the heparin surface from cell-repellent to cell-adhesive, thereby facilitating the adhesion of a second cell type. The combination of the electrode-based technique with electrostatic deposition enabled the formation of patterned co-cultures within the semi-closed tubular structure. The controlled co-cultures inside the elastic tubing should be of value for cell-cell interaction studies following application of chemical or mechanical stimuli and for tissue engineering-based bioreactors.
Control strategies of 3-cell Central Pattern Generator via global stimuli
NASA Astrophysics Data System (ADS)
Lozano, Álvaro; Rodríguez, Marcos; Barrio, Roberto
2016-03-01
The study of the synchronization patterns of small neuron networks that control several biological processes has become an interesting growing discipline. Some of these synchronization patterns of individual neurons are related to some undesirable neurological diseases, and they are believed to play a crucial role in the emergence of pathological rhythmic brain activity in different diseases, like Parkinson’s disease. We show how, with a suitable combination of short and weak global inhibitory and excitatory stimuli over the whole network, we can switch between different stable bursting patterns in small neuron networks (in our case a 3-neuron network). We develop a systematic study showing and explaining the effects of applying the pulses at different moments. Moreover, we compare the technique on a completely symmetric network and on a slightly perturbed one (a much more realistic situation). The present approach of using global stimuli may allow to avoid undesirable synchronization patterns with nonaggressive stimuli.
Statistical model for speckle pattern optimization.
Su, Yong; Zhang, Qingchuan; Gao, Zeren
2017-11-27
Image registration is the key technique of optical metrologies such as digital image correlation (DIC), particle image velocimetry (PIV), and speckle metrology. Its performance depends critically on the quality of image pattern, and thus pattern optimization attracts extensive attention. In this article, a statistical model is built to optimize speckle patterns that are composed of randomly positioned speckles. It is found that the process of speckle pattern generation is essentially a filtered Poisson process. The dependence of measurement errors (including systematic errors, random errors, and overall errors) upon speckle pattern generation parameters is characterized analytically. By minimizing the errors, formulas of the optimal speckle radius are presented. Although the primary motivation is from the field of DIC, we believed that scholars in other optical measurement communities, such as PIV and speckle metrology, will benefit from these discussions.
Król, Magdalena Ewa; Król, Michał
2018-02-20
The aim of the study was not only to demonstrate whether eye-movement-based task decoding was possible but also to investigate whether eye-movement patterns can be used to identify cognitive processes behind the tasks. We compared eye-movement patterns elicited under different task conditions, with tasks differing systematically with regard to the types of cognitive processes involved in solving them. We used four tasks, differing along two dimensions: spatial (global vs. local) processing (Navon, Cognit Psychol, 9(3):353-383 1977) and semantic (deep vs. shallow) processing (Craik and Lockhart, J Verbal Learn Verbal Behav, 11(6):671-684 1972). We used eye-movement patterns obtained from two time periods: fixation cross preceding the target stimulus and the target stimulus. We found significant effects of both spatial and semantic processing, but in case of the latter, the effect might be an artefact of insufficient task control. We found above chance task classification accuracy for both time periods: 51.4% for the period of stimulus presentation and 34.8% for the period of fixation cross presentation. Therefore, we show that task can be to some extent decoded from the preparatory eye-movements before the stimulus is displayed. This suggests that anticipatory eye-movements reflect the visual scanning strategy employed for the task at hand. Finally, this study also demonstrates that decoding is possible even from very scant eye-movement data similar to Coco and Keller, J Vis 14(3):11-11 (2014). This means that task decoding is not limited to tasks that naturally take longer to perform and yield multi-second eye-movement recordings.
Control Theoretic Modeling and Generated Flow Patterns of a Fish-Tail Robot
NASA Astrophysics Data System (ADS)
Massey, Brian; Morgansen, Kristi; Dabiri, Dana
2003-11-01
Many real-world engineering problems involve understanding and manipulating fluid flows. One of the challenges to further progress in the area of active flow control is the lack of appropriate models that are amenable to control-theoretic studies and algorithm design and also incorporate reasonably realistic fluid dynamic effects. We focus here on modeling and model-verification of bio-inspired actuators (fish-fin type structures) used to control fluid dynamic artifacts that will affect speed, agility, and stealth of Underwater Autonomous Vehicles (UAVs). Vehicles using fish-tail type systems are more maneuverable, can turn in much shorter and more constrained spaces, have lower drag, are quieter and potentially more efficient than those using propellers. We will present control-theoretic models for a simple prototype coupled fluid and mechanical actuator where fluid effects are crudely modeled by assuming only lift, drag, and added mass, while neglecting boundary effects. These models will be tested with different control input parameters on an experimental fish-tail robot with the resulting flow captured with DPIV. Relations between the model, the control function choices, the obtained thrust and drag, and the corresponding flow patterns will be presented and discussed.
An open-access CMIP5 pattern library for temperature and precipitation: description and methodology
NASA Astrophysics Data System (ADS)
Lynch, Cary; Hartin, Corinne; Bond-Lamberty, Ben; Kravitz, Ben
2017-05-01
Pattern scaling is used to efficiently emulate general circulation models and explore uncertainty in climate projections under multiple forcing scenarios. Pattern scaling methods assume that local climate changes scale with a global mean temperature increase, allowing for spatial patterns to be generated for multiple models for any future emission scenario. For uncertainty quantification and probabilistic statistical analysis, a library of patterns with descriptive statistics for each file would be beneficial, but such a library does not presently exist. Of the possible techniques used to generate patterns, the two most prominent are the delta and least squares regression methods. We explore the differences and statistical significance between patterns generated by each method and assess performance of the generated patterns across methods and scenarios. Differences in patterns across seasons between methods and epochs were largest in high latitudes (60-90° N/S). Bias and mean errors between modeled and pattern-predicted output from the linear regression method were smaller than patterns generated by the delta method. Across scenarios, differences in the linear regression method patterns were more statistically significant, especially at high latitudes. We found that pattern generation methodologies were able to approximate the forced signal of change to within ≤ 0.5 °C, but the choice of pattern generation methodology for pattern scaling purposes should be informed by user goals and criteria. This paper describes our library of least squares regression patterns from all CMIP5 models for temperature and precipitation on an annual and sub-annual basis, along with the code used to generate these patterns. The dataset and netCDF data generation code are available at doi:10.5281/zenodo.495632.
Hu, Kun; Meijer, Johanna H.; Shea, Steven A.; vanderLeest, Henk Tjebbe; Pittman-Polletta, Benjamin; Houben, Thijs; van Oosterhout, Floor; Deboer, Tom; Scheer, Frank A. J. L.
2012-01-01
The mammalian central circadian pacemaker (the suprachiasmatic nucleus, SCN) contains thousands of neurons that are coupled through a complex network of interactions. In addition to the established role of the SCN in generating rhythms of ∼24 hours in many physiological functions, the SCN was recently shown to be necessary for normal self-similar/fractal organization of motor activity and heart rate over a wide range of time scales—from minutes to 24 hours. To test whether the neural network within the SCN is sufficient to generate such fractal patterns, we studied multi-unit neural activity of in vivo and in vitro SCNs in rodents. In vivo SCN-neural activity exhibited fractal patterns that are virtually identical in mice and rats and are similar to those in motor activity at time scales from minutes up to 10 hours. In addition, these patterns remained unchanged when the main afferent signal to the SCN, namely light, was removed. However, the fractal patterns of SCN-neural activity are not autonomous within the SCN as these patterns completely broke down in the isolated in vitro SCN despite persistence of circadian rhythmicity. Thus, SCN-neural activity is fractal in the intact organism and these fractal patterns require network interactions between the SCN and extra-SCN nodes. Such a fractal control network could underlie the fractal regulation observed in many physiological functions that involve the SCN, including motor control and heart rate regulation. PMID:23185285
Binary Gene Expression Patterning of the Molt Cycle: The Case of Chitin Metabolism
Abehsera, Shai; Glazer, Lilah; Tynyakov, Jenny; Plaschkes, Inbar; Chalifa-Caspi, Vered; Khalaila, Isam; Aflalo, Eliahu D.; Sagi, Amir
2015-01-01
In crustaceans, like all arthropods, growth is accompanied by a molting cycle. This cycle comprises major physiological events in which mineralized chitinous structures are built and degraded. These events are in turn governed by genes whose patterns of expression are presumably linked to the molting cycle. To study these genes we performed next generation sequencing and constructed a molt-related transcriptomic library from two exoskeletal-forming tissues of the crayfish Cherax quadricarinatus, namely the gastrolith and the mandible cuticle-forming epithelium. To simplify the study of such a complex process as molting, a novel approach, binary patterning of gene expression, was employed. This approach revealed that key genes involved in the synthesis and breakdown of chitin exhibit a molt-related pattern in the gastrolith-forming epithelium. On the other hand, the same genes in the mandible cuticle-forming epithelium showed a molt-independent pattern of expression. Genes related to the metabolism of glucosamine-6-phosphate, a chitin precursor synthesized from simple sugars, showed a molt-related pattern of expression in both tissues. The binary patterning approach unfolds typical patterns of gene expression during the molt cycle of a crustacean. The use of such a simplifying integrative tool for assessing gene patterning seems appropriate for the study of complex biological processes. PMID:25919476
Generation of multifocal irradiance patterns by using complex Fresnel holograms.
Mendoza-Yero, Omel; Carbonell-Leal, Miguel; Mínguez-Vega, Gladys; Lancis, Jesús
2018-03-01
We experimentally demonstrate Fresnel holograms able to produce multifocal irradiance patterns with micrometric spatial resolution. These holograms are assessed from the coherent sum of multiple Fresnel lenses. The utilized encoded technique guarantees full control over the reconstructed irradiance patterns due to an optimal codification of the amplitude and phase information of the resulting complex field. From a practical point of view, a phase-only spatial light modulator is used in a couple of experiments addressed to obtain two- and three-dimensional distributions of focal points to excite both linear and non-linear optical phenomena.
Laser illuminator and optical system for disk patterning
Hackel, Lloyd A.; Dane, C. Brent; Dixit, Shamasundar N.; Everett, Mathew; Honig, John
2000-01-01
Magnetic recording media are textured over areas designated for contact in order to minimize friction with data transducing heads. In fabricating a hard disk, an aluminum nickel-phosphorous substrate is polished to a specular finish. A mechanical means is then used to roughen an annular area intended to be the head contact band. An optical and mechanical system allows thousands of spots to be generated with each laser pulse, allowing the textured pattern to be rapidly generated with a low repetition rate laser and an uncomplicated mechanical system. The system uses a low power laser, a beam expander, a specially designed phase plate, a prism to deflect the beam, a lens to transmit the diffraction pattern to the far field, a mechanical means to rotate the pattern and a trigger system to fire the laser when sections of the pattern are precisely aligned. The system generates an annular segment of the desired pattern with which the total pattern is generated by rotating the optical system about its optic axis, sensing the rotational position and firing the laser as the annular segment rotates into the next appropriate position. This marking system can be integrated into a disk sputtering system for manufacturing magnetic disks, allowing for a very streamlined manufacturing process.
Pattern search in multi-structure data: a framework for the next-generation evidence-based medicine
NASA Astrophysics Data System (ADS)
Sukumar, Sreenivas R.; Ainsworth, Keela C.
2014-03-01
With the impetus towards personalized and evidence-based medicine, the need for a framework to analyze/interpret quantitative measurements (blood work, toxicology, etc.) with qualitative descriptions (specialist reports after reading images, bio-medical knowledgebase, etc.) to predict diagnostic risks is fast emerging. Addressing this need, we pose and answer the following questions: (i) How can we jointly analyze and explore measurement data in context with qualitative domain knowledge? (ii) How can we search and hypothesize patterns (not known apriori) from such multi-structure data? (iii) How can we build predictive models by integrating weakly-associated multi-relational multi-structure data? We propose a framework towards answering these questions. We describe a software solution that leverages hardware for scalable in-memory analytics and applies next-generation semantic query tools on medical data.
Singh, Jyotsna; Singh, Phool; Malik, Vikas
2017-01-01
Parkinson disease alters the information patterns in movement related pathways in brain. Experimental results performed on rats show that the activity patterns changes from single spike activity to mixed burst mode in Parkinson disease. However the cause of this change in activity pattern is not yet completely understood. Subthalamic nucleus is one of the main nuclei involved in the origin of motor dysfunction in Parkinson disease. In this paper, a single compartment conductance based model is considered which focuses on subthalamic nucleus and synaptic input from globus pallidus (external). This model shows highly nonlinear behavior with respect to various intrinsic parameters. Behavior of model has been presented with the help of activity patterns generated in healthy and Parkinson condition. These patterns have been compared by calculating their correlation coefficient for different values of intrinsic parameters. Results display that the activity patterns are very sensitive to various intrinsic parameters and calcium shows some promising results which provide insights into the motor dysfunction.
An open-access CMIP5 pattern library for temperature and precipitation: Description and methodology
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lynch, Cary D.; Hartin, Corinne A.; Bond-Lamberty, Benjamin
Pattern scaling is used to efficiently emulate general circulation models and explore uncertainty in climate projections under multiple forcing scenarios. Pattern scaling methods assume that local climate changes scale with a global mean temperature increase, allowing for spatial patterns to be generated for multiple models for any future emission scenario. For uncertainty quantification and probabilistic statistical analysis, a library of patterns with descriptive statistics for each file would be beneficial, but such a library does not presently exist. Of the possible techniques used to generate patterns, the two most prominent are the delta and least squared regression methods. We exploremore » the differences and statistical significance between patterns generated by each method and assess performance of the generated patterns across methods and scenarios. Differences in patterns across seasons between methods and epochs were largest in high latitudes (60-90°N/S). Bias and mean errors between modeled and pattern predicted output from the linear regression method were smaller than patterns generated by the delta method. Across scenarios, differences in the linear regression method patterns were more statistically significant, especially at high latitudes. We found that pattern generation methodologies were able to approximate the forced signal of change to within ≤ 0.5°C, but choice of pattern generation methodology for pattern scaling purposes should be informed by user goals and criteria. As a result, this paper describes our library of least squared regression patterns from all CMIP5 models for temperature and precipitation on an annual and sub-annual basis, along with the code used to generate these patterns.« less
An open-access CMIP5 pattern library for temperature and precipitation: Description and methodology
Lynch, Cary D.; Hartin, Corinne A.; Bond-Lamberty, Benjamin; ...
2017-05-15
Pattern scaling is used to efficiently emulate general circulation models and explore uncertainty in climate projections under multiple forcing scenarios. Pattern scaling methods assume that local climate changes scale with a global mean temperature increase, allowing for spatial patterns to be generated for multiple models for any future emission scenario. For uncertainty quantification and probabilistic statistical analysis, a library of patterns with descriptive statistics for each file would be beneficial, but such a library does not presently exist. Of the possible techniques used to generate patterns, the two most prominent are the delta and least squared regression methods. We exploremore » the differences and statistical significance between patterns generated by each method and assess performance of the generated patterns across methods and scenarios. Differences in patterns across seasons between methods and epochs were largest in high latitudes (60-90°N/S). Bias and mean errors between modeled and pattern predicted output from the linear regression method were smaller than patterns generated by the delta method. Across scenarios, differences in the linear regression method patterns were more statistically significant, especially at high latitudes. We found that pattern generation methodologies were able to approximate the forced signal of change to within ≤ 0.5°C, but choice of pattern generation methodology for pattern scaling purposes should be informed by user goals and criteria. As a result, this paper describes our library of least squared regression patterns from all CMIP5 models for temperature and precipitation on an annual and sub-annual basis, along with the code used to generate these patterns.« less
Scalable patterning using laser-induced shock waves
NASA Astrophysics Data System (ADS)
Ilhom, Saidjafarzoda; Kholikov, Khomidkhodza; Li, Peizhen; Ottman, Claire; Sanford, Dylan; Thomas, Zachary; San, Omer; Karaca, Haluk E.; Er, Ali O.
2018-04-01
An advanced direct imprinting method with low cost, quick, and minimal environmental impact to create a thermally controllable surface pattern using the laser pulses is reported. Patterned microindents were generated on Ni50Ti50 shape memory alloys and aluminum using an Nd: YAG laser operating at 1064 nm combined with a suitable transparent overlay, a sacrificial layer of graphite, and copper grid. Laser pulses at different energy densities, which generate pressure pulses up to a few GPa on the surface, were focused through the confinement medium, ablating the copper grid to create plasma and transferring the grid pattern onto the surface. Scanning electron microscope and optical microscope images show that various patterns were obtained on the surface with high fidelity. One-dimensional profile analysis indicates that the depth of the patterned sample initially increases with the laser energy and later levels off. Our simulations of laser irradiation process also confirm that high temperature and high pressure could be generated when the laser energy density of 2 J/cm2 is used.
Full Transcriptome Analysis of Early Dorsoventral Patterning in Zebrafish
Horváth, Balázs; Molnár, János; Nagy, István; Tóth, Gábor; Wilson, Stephen W.; Varga, Máté
2013-01-01
Understanding the molecular interactions that lead to the establishment of the major body axes during embryogenesis is one of the main goals of developmental biology. Although the past two decades have revolutionized our knowledge about the genetic basis of these patterning processes, the list of genes involved in axis formation is unlikely to be complete. In order to identify new genes involved in the establishment of the dorsoventral (DV) axis during early stages of zebrafish embryonic development, we employed next generation sequencing for full transcriptome analysis of normal embryos and embryos lacking overt DV pattern. A combination of different statistical approaches yielded 41 differentially expressed candidate genes and we confirmed by in situ hybridization the early dorsal expression of 32 genes that are transcribed shortly after the onset of zygotic transcription. Although promoter analysis of the validated genes suggests no general enrichment for the binding sites of early acting transcription factors, most of these genes carry “bivalent” epigenetic histone modifications at the time when zygotic transcription is initiated, suggesting a “poised” transcriptional status. Our results reveal some new candidates of the dorsal gene regulatory network and suggest that a plurality of the earliest upregulated genes on the dorsal side have a role in the modulation of the canonical Wnt pathway. PMID:23922899
Sakurai, Akira; Katz, Paul S
2016-10-01
The nudibranch mollusc, Dendronotus iris, swims by rhythmically flexing its body from left to right. We identified a bilaterally represented interneuron, Si3, that provides strong excitatory drive to the previously identified Si2, forming a half-center oscillator, which functions as the central pattern generator (CPG) underlying swimming. As with Si2, Si3 inhibited its contralateral counterpart and exhibited rhythmic bursts in left-right alternation during the swim motor pattern. Si3 burst almost synchronously with the contralateral Si2 and was coactive with the efferent impulse activity in the contralateral body wall nerve. Perturbation of bursting in either Si3 or Si2 by current injection halted or phase-shifted the swim motor pattern, suggesting that they are both critical CPG members. Neither Si2 nor Si3 exhibited endogenous bursting properties when activated alone; activation of all four neurons was necessary to initiate and maintain the swim motor pattern. Si3 made a strong excitatory synapse onto the contralateral Si2 to which it is also electrically coupled. When Si3 was firing tonically but not exhibiting bursting, artificial enhancement of the Si3-to-Si2 synapse using dynamic clamp caused all four neurons to burst. In contrast, negation of the Si3-to-Si2 synapse by dynamic clamp blocked ongoing swim motor patterns. Together, these results suggest that the Dendronotus swim CPG is organized as a "twisted" half-center oscillator in which each "half" is composed of two excitatory-coupled neurons from both sides of the brain, each of which inhibits its contralateral counterpart. Consisting of only four neurons, this is perhaps the simplest known network oscillator for locomotion. Copyright © 2016 the American Physiological Society.
The contribution of a central pattern generator in a reflex-based neuromuscular model
Dzeladini, Florin; van den Kieboom, Jesse; Ijspeert, Auke
2014-01-01
Although the concept of central pattern generators (CPGs) controlling locomotion in vertebrates is widely accepted, the presence of specialized CPGs in human locomotion is still a matter of debate. An interesting numerical model developed in the 90s’ demonstrated the important role CPGs could play in human locomotion, both in terms of stability against perturbations, and in terms of speed control. Recently, a reflex-based neuro-musculo-skeletal model has been proposed, showing a level of stability to perturbations similar to the previous model, without any CPG components. Although exhibiting striking similarities with human gaits, the lack of CPG makes the control of speed/step length in the model difficult. In this paper, we hypothesize that a CPG component will offer a meaningful way of controlling the locomotion speed. After introducing the CPG component in the reflex model, and taking advantage of the resulting properties, a simple model for gait modulation is presented. The results highlight the advantages of a CPG as feedforward component in terms of gait modulation. PMID:25018712
Marston, Daniel J.; Higgins, Christopher D.; Peters, Kimberly A.; Cupp, Timothy D.; Dickinson, Daniel J.; Pani, Ariel M.; Moore, Regan P.; Cox, Amanda H.; Kiehart, Daniel P.; Goldstein, Bob
2016-01-01
Summary Apical constriction is a change in cell shape that drives key morphogenetic events including gastrulation and neural tube formation. Apical force-producing actomyosin networks drive apical constriction by contracting while connected to cell-cell junctions. The mechanisms by which developmental patterning regulates these actomyosin networks and associated junctions with spatial precision are not fully understood. Here, we identify a myosin light chain kinase MRCK-1 as a key regulator of C. elegans gastrulation that integrates spatial and developmental patterning information. We show that MRCK-1 is required for activation of contractile actomyosin dynamics and elevated cortical tension in the apical cell cortex of endodermal precursor cells. MRCK-1 is apically localized by active Cdc42 at the external, cell-cell contact-free surfaces of apically constricting cells, downstream of cell fate determination mechanisms. We establish that the junctional components α-catenin, β-catenin, and cadherin become highly enriched at the apical junctions of apically-constricting cells, and that MRCK-1 and myosin activity are required in vivo for this enrichment. Taken together, our results define mechanisms that position a myosin activator to a specific cell surface where it both locally increases cortical tension and locally enriches junctional components to facilitate apical constriction. These results reveal crucial links that can tie spatial information to local force generation to drive morphogenesis. PMID:27451898
Diaz-Manera, Jordi; Fernandez-Torron, Roberto; LLauger, Jaume; James, Meredith K; Mayhew, Anna; Smith, Fiona E; Moore, Ursula R; Blamire, Andrew M; Carlier, Pierre G; Rufibach, Laura; Mittal, Plavi; Eagle, Michelle; Jacobs, Marni; Hodgson, Tim; Wallace, Dorothy; Ward, Louise; Smith, Mark; Stramare, Roberto; Rampado, Alessandro; Sato, Noriko; Tamaru, Takeshi; Harwick, Bruce; Rico Gala, Susana; Turk, Suna; Coppenrath, Eva M; Foster, Glenn; Bendahan, David; Le Fur, Yann; Fricke, Stanley T; Otero, Hansel; Foster, Sheryl L; Peduto, Anthony; Sawyer, Anne Marie; Hilsden, Heather; Lochmuller, Hanns; Grieben, Ulrike; Spuler, Simone; Tesi Rocha, Carolina; Day, John W; Jones, Kristi J; Bharucha-Goebel, Diana X; Salort-Campana, Emmanuelle; Harms, Matthew; Pestronk, Alan; Krause, Sabine; Schreiber-Katz, Olivia; Walter, Maggie C; Paradas, Carmen; Hogrel, Jean-Yves; Stojkovic, Tanya; Takeda, Shin'ichi; Mori-Yoshimura, Madoka; Bravver, Elena; Sparks, Susan; Bello, Luca; Semplicini, Claudio; Pegoraro, Elena; Mendell, Jerry R; Bushby, Kate; Straub, Volker
2018-05-07
Dysferlinopathies are a group of muscle disorders caused by mutations in the DYSF gene. Previous muscle imaging studies describe a selective pattern of muscle involvement in smaller patient cohorts, but a large imaging study across the entire spectrum of the dysferlinopathies had not been performed and previous imaging findings were not correlated with functional tests. We present cross-sectional T1-weighted muscle MRI data from 182 patients with genetically confirmed dysferlinopathies. We have analysed the pattern of muscles involved in the disease using hierarchical analysis and presented it as heatmaps. Results of the MRI scans have been correlated with relevant functional tests for each region of the body analysed. In 181 of the 182 patients scanned, we observed muscle pathology on T1-weighted images, with the gastrocnemius medialis and the soleus being the most commonly affected muscles. A similar pattern of involvement was identified in most patients regardless of their clinical presentation. Increased muscle pathology on MRI correlated positively with disease duration and functional impairment. The information generated by this study is of high diagnostic value and important for clinical trial development. We have been able to describe a pattern that can be considered as characteristic of dysferlinopathy. We have defined the natural history of the disease from a radiological point of view. These results enabled the identification of the most relevant regions of interest for quantitative MRI in longitudinal studies, such as clinical trials. NCT01676077. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.
Fathers' and Mothers' Involvement with Their Adolescents
ERIC Educational Resources Information Center
Phares, Vicky; Fields, Sherecce; Kamboukos, Dimitra
2009-01-01
We explored mothers' and fathers' time spent with their adolescents and found that mothers reported spending more time with their adolescents than did fathers. Developmental patterns were found for some aspects of time involvement, with both mothers and fathers reporting higher involvement with younger adolescents. Ratings of time-spent were not…
NASA Astrophysics Data System (ADS)
Lutich, Andrey
2017-07-01
This research considers the problem of generating compact vector representations of physical design patterns for analytics purposes in semiconductor patterning domain. PatterNet uses a deep artificial neural network to learn mapping of physical design patterns to a compact Euclidean hyperspace. Distances among mapped patterns in this space correspond to dissimilarities among patterns defined at the time of the network training. Once the mapping network has been trained, PatterNet embeddings can be used as feature vectors with standard machine learning algorithms, and pattern search, comparison, and clustering become trivial problems. PatterNet is inspired by the concepts developed within the framework of generative adversarial networks as well as the FaceNet. Our method facilitates a deep neural network (DNN) to learn directly the compact representation by supplying it with pairs of design patterns and dissimilarity among these patterns defined by a user. In the simplest case, the dissimilarity is represented by an area of the XOR of two patterns. Important to realize that our PatterNet approach is very different to the methods developed for deep learning on image data. In contrast to "conventional" pictures, the patterns in the CAD world are the lists of polygon vertex coordinates. The method solely relies on the promise of deep learning to discover internal structure of the incoming data and learn its hierarchical representations. Artificial intelligence arising from the combination of PatterNet and clustering analysis very precisely follows intuition of patterning/optical proximity correction experts paving the way toward human-like and human-friendly engineering tools.
Microstencils to generate defined, multi-species patterns of bacteria
Timm, Collin M.; Hansen, Ryan R.; Doktycz, Mitchel J.; ...
2015-11-12
Microbial communities are complex heterogeneous systems that are influenced by physical and chemical interactions with their environment, host, and community members. Techniques that facilitate the quantitative evaluation of how microscale organization influences the morphogenesis of multispecies communities could provide valuable insights into the dynamic behavior and organization of natural communities, the design of synthetic environments for multispecies culture, and the engineering of artificial consortia. In this work, we demonstrate a method for patterning microbes into simple arrangements that allow the quantitative measurement of growth dynamics as a function of their proximity to one another. The method combines parylene-based liftoff techniquesmore » with microfluidic delivery to simultaneously pattern multiple bacterial species with high viability using low-cost, customizable methods. Furthermore, quantitative measurements of bacterial growth for two competing isolates demonstrate that spatial coordination can play a critical role in multispecies growth and structure.« less
Microstencils to generate defined, multi-species patterns of bacteria
DOE Office of Scientific and Technical Information (OSTI.GOV)
Timm, Collin M.; Hansen, Ryan R.; Doktycz, Mitchel J.
Microbial communities are complex heterogeneous systems that are influenced by physical and chemical interactions with their environment, host, and community members. Techniques that facilitate the quantitative evaluation of how microscale organization influences the morphogenesis of multispecies communities could provide valuable insights into the dynamic behavior and organization of natural communities, the design of synthetic environments for multispecies culture, and the engineering of artificial consortia. In this work, we demonstrate a method for patterning microbes into simple arrangements that allow the quantitative measurement of growth dynamics as a function of their proximity to one another. The method combines parylene-based liftoff techniquesmore » with microfluidic delivery to simultaneously pattern multiple bacterial species with high viability using low-cost, customizable methods. Furthermore, quantitative measurements of bacterial growth for two competing isolates demonstrate that spatial coordination can play a critical role in multispecies growth and structure.« less
NASA Astrophysics Data System (ADS)
Leiser, Randolph J.; Rotstein, Horacio G.
2017-08-01
Oscillations in far-from-equilibrium systems (e.g., chemical, biochemical, biological) are generated by the nonlinear interplay of positive and negative feedback effects operating at different time scales. Relaxation oscillations emerge when the time scales between the activators and the inhibitors are well separated. In addition to the large-amplitude oscillations (LAOs) or relaxation type, these systems exhibit small-amplitude oscillations (SAOs) as well as abrupt transitions between them (canard phenomenon). Localized cluster patterns in networks of relaxation oscillators consist of one cluster oscillating in the LAO regime or exhibiting mixed-mode oscillations (LAOs interspersed with SAOs), while the other oscillates in the SAO regime. Because the individual oscillators are monostable, localized patterns are a network phenomenon that involves the interplay of the connectivity and the intrinsic dynamic properties of the individual nodes. Motivated by experimental and theoretical results on the Belousov-Zhabotinsky reaction, we investigate the mechanisms underlying the generation of localized patterns in globally coupled networks of piecewise-linear relaxation oscillators where the global feedback term affects the rate of change of the activator (fast variable) and depends on the weighted sum of the inhibitor (slow variable) at any given time. We also investigate whether these patterns are affected by the presence of a diffusive type of coupling whose synchronizing effects compete with the symmetry-breaking global feedback effects.
Diagnostic Hypothesis Generation and Human Judgment
ERIC Educational Resources Information Center
Thomas, Rick P.; Dougherty, Michael R.; Sprenger, Amber M.; Harbison, J. Isaiah
2008-01-01
Diagnostic hypothesis-generation processes are ubiquitous in human reasoning. For example, clinicians generate disease hypotheses to explain symptoms and help guide treatment, auditors generate hypotheses for identifying sources of accounting errors, and laypeople generate hypotheses to explain patterns of information (i.e., data) in the…
Neural network-based system for pattern recognition through a fiber optic bundle
NASA Astrophysics Data System (ADS)
Gamo-Aranda, Javier; Rodriguez-Horche, Paloma; Merchan-Palacios, Miguel; Rosales-Herrera, Pablo; Rodriguez, M.
2001-04-01
A neural network based system to identify images transmitted through a Coherent Fiber-optic Bundle (CFB) is presented. Patterns are generated in a computer, displayed on a Spatial Light Modulator, imaged onto the input face of the CFB, and recovered optically by a CCD sensor array for further processing. Input and output optical subsystems were designed and used to that end. The recognition step of the transmitted patterns is made by a powerful, widely-used, neural network simulator running on the control PC. A complete PC-based interface was developed to control the different tasks involved in the system. An optical analysis of the system capabilities was carried out prior to performing the recognition step. Several neural network topologies were tested, and the corresponding numerical results are also presented and discussed.
Usual and unusual development of the dicot leaf: involvement of transcription factors and hormones.
Fambrini, Marco; Pugliesi, Claudio
2013-06-01
Morphological diversity exhibited by higher plants is essentially related to the tremendous variation of leaf shape. With few exceptions, leaf primordia are initiated postembryonically at the flanks of a group of undifferentiated and proliferative cells within the shoot apical meristem (SAM) in characteristic position for the species and in a regular phyllotactic sequence. Auxin is critical for this process, because genes involved in auxin biosynthesis, transport, and signaling are required for leaf initiation. Down-regulation of transcription factors (TFs) and cytokinins are also involved in the light-dependent leaf initiation pathway. Furthermore, mechanical stresses in SAM determine the direction of cell division and profoundly influence leaf initiation suggesting a link between physical forces, gene regulatory networks and biochemical gradients. After the leaf is initiated, its further growth depends on cell division and cell expansion. Temporal and spatial regulation of these processes determines the size and the shape of the leaf, as well as the internal structure. A complex array of intrinsic signals, including phytohormones and TFs control the appropriate cell proliferation and differentiation to elaborate the final shape and complexity of the leaf. Here, we highlight the main determinants involved in leaf initiation, epidermal patterning, and elaboration of lamina shape to generate small marginal serrations, more deep lobes or a dissected compound leaf. We also outline recent advances in our knowledge of regulatory networks involved with the unusual pattern of leaf development in epiphyllous plants as well as leaf morphology aberrations, such as galls after pathogenic attacks of pests.
Dynamical origin of complex motor patterns
NASA Astrophysics Data System (ADS)
Alonso, L. M.; Alliende, J. A.; Mindlin, G. B.
2010-11-01
Behavior emerges as the nervous system generates motor patterns in charge of driving a peripheral biomechanical device. For several cases in the animal kingdom, it has been identified that the motor patterns used in order to accomplish a diversity of tasks are the different solutions of a simple, low dimensional nonlinear dynamical system. Yet, motor patterns emerge from the interaction of an enormous number of individual dynamical units. In this work, we study the dynamics of the average activity of a large set of coupled excitable units which are periodically forced. We show that low dimensional, yet non trivial dynamics emerges. As a case study, we analyze the air sac pressure patterns used by domestic canaries during song, which consists of a succession of repetitions of different syllable types. We show that the pressure patterns used to generate different syllables can be approximated by the solutions of the investigated model. In this way, we are capable of integrating different description scales of our problem.
NASA Astrophysics Data System (ADS)
Wei, Junxiong; Chaitanya Kumar, S.; Ye, Hanyu; Schunemann, Peter G.; Ebrahim-Zadeh, M.
2018-02-01
Orientation-patterned gallium phosphide (OP-GaP) is a recently developed nonlinear material with wide transparency across 0.8-12 μm and high nonlinearity (d14 70 pm/V), which is a promising candidate material for mid-infrared generation. Here we report the full performance characterization of a tunable single-pass nanosecond difference frequency generation (DFG) source based on OP-GaP by mixing the output of a Q-switched Nd:YAG laser at 1.064 μm with the signal from a pulsed MgO:PPLN OPO pumped by the same laser. Using the longest OP-GaP crystal (40 mm) deployed to date, the DFG source provides up to 14 mW of average output power at 2719 nm at 80 kHz repetition rate, with >6 mW across 2492-2782 nm, in TEM00 spatial profile. By performing relevant measurements, detrimental issues such as residual absorption and thermal effects have been studied and confirmed. The temperature and spectral acceptance bandwidths for DFG in the 40-mm-log OP-GaP are measured to be 18 °C and 17 nm, respectively, at 1766 nm. The DFG beam exhibits passive power stability better than 1.7% rms over 1.4 hours at 2774 nm, compared to 1.6% and 0.1% rms for the signal and pump, respectively. The polarization dependence of the input beams on the DFG power has also been systematically investigated, for the first time to our knowledge. Further, we have measured the damage threshold of the OP-GaP crystal to be 0.8 J/cm2 at 1064 nm.
Jiang, Ting-Xin; Widelitz, Randall B.; Shen, Wei-Min; Will, Peter; Wu, Da-Yu; Lin, Chih-Min; Jung, Han-Sung; Chuong, Cheng-Ming
2015-01-01
Pattern formation is a fundamental morphogenetic process. Models based on genetic and epigenetic control have been proposed but remain controversial. Here we use feather morphogenesis for further evaluation. Adhesion molecules and/or signaling molecules were first expressed homogenously in feather tracts (restrictive mode, appear earlier) or directly in bud or inter-bud regions (de novo mode, appear later). They either activate or inhibit bud formation, but paradoxically co-localize in the bud. Using feather bud reconstitution, we showed that completely dissociated cells can reform periodic patterns without reference to previous positional codes. The patterning process has the characteristics of being self-organizing, dynamic and plastic. The final pattern is an equilibrium state reached by competition, and the number and size of buds can be altered based on cell number and activator/inhibitor ratio, respectively. We developed a Digital Hormone Model which consists of (1) competent cells without identity that move randomly in a space, (2) extracellular signaling hormones which diffuse by a reaction-diffusion mechanism and activate or inhibit cell adhesion, and (3) cells which respond with topological stochastic actions manifested as changes in cell adhesion. Based on probability, the results are cell clusters arranged in dots or stripes. Thus genetic control provides combinational molecular information which defines the properties of the cells but not the final pattern. Epigenetic control governs interactions among cells and their environment based on physical-chemical rules (such as those described in the Digital Hormone Model). Complex integument patterning is the sum of these two components of control and that is why integument patterns are usually similar but non-identical. These principles may be shared by other pattern formation processes such as barb ridge formation, fingerprints, pigmentation patterning, etc. The Digital Hormone Model can also be applied to
Improving Teacher Perceptions of Parent Involvement Patterns: Findings from a Group Randomized Trial
ERIC Educational Resources Information Center
Herman, Keith C.; Reinke, Wendy M.
2017-01-01
For children with the most serious and persistent academic and behavior problems, parent involvement in education, particularly teacher perceptions of involvement, is essential to avert their expected long-term negative outcomes. Despite the widespread interest in and perceived importance of parent involvement in education, however, few…
Shoaf, S A; Conway, K; Hunt, R K
1984-08-07
We have examined the behavior of two reaction-diffusion models, originally proposed by Gierer & Meinhardt (1972) and by Kauffman, Shymko & Trabert (1978), for biological pattern formation. Calculations are presented for pattern formation on a disc (approximating the geometry of a number of embryonic anlagen including the frog eye rudiment), emphasizing the sensitivity of patterns to changes in initial conditions and to perturbations in the geometry of the morphogen-producing space. Analysis of the linearized equations from the models enabled us to select appropriate parameters and disc size for pattern growth. A computer-implemented finite element method was used to solve the non-linear model equations reiteratively. For the Gierer-Meinhardt model, initial activation (varying in size over two orders of magnitude) of one point on the disc's edge was sufficient to generate the primary gradient. Various parts of the disc were removed (remaining only as diffusible space) from the morphogen-producing cycle to investigate the effects of cells dropping out of the cycle due to cell death or malfunction (single point removed) or differentiation (center removed), as occur in the Xenopus eye rudiment. The resulting patterns had the same general shape and amplitude as normal gradients. Nor did a two-fold increase in disc size affect the pattern-generating ability of the model. Disc fragments bearing their primary gradient patterns were fused (with gradients in opposite directions, but each parallel to the fusion line). The resulting patterns generated by the model showed many similarities to results of "compound eye" experiments in Xenopus. Similar patterns were obtained with the model of Kauffman's group (1978), but we found less stability of the pattern subject to simulations of central differentiation. However, removal of a single point from the morphogen cycle (cell death) did not result in any change. The sensitivity of the Kauffman et al. model to shape perturbations is
NASA Astrophysics Data System (ADS)
Feigin, A. M.; Mukhin, D.; Volodin, E. M.; Gavrilov, A.; Loskutov, E. M.
2013-12-01
The new method of decomposition of the Earth's climate system into well separated spatial-temporal patterns ('climatic modes') is discussed. The method is based on: (i) generalization of the MSSA (Multichannel Singular Spectral Analysis) [1] for expanding vector (space-distributed) time series in basis of spatial-temporal empirical orthogonal functions (STEOF), which makes allowance delayed correlations of the processes recorded in spatially separated points; (ii) expanding both real SST data, and longer by several times SST data generated numerically, in STEOF basis; (iii) use of the numerically produced STEOF basis for exclusion of 'too slow' (and thus not represented correctly) processes from real data. The application of the method allows by means of vector time series generated numerically by the INM RAS Coupled Climate Model [2] to separate from real SST anomalies data [3] two climatic modes possessing by noticeably different time scales: 3-5 and 9-11 years. Relations of separated modes to ENSO and PDO are investigated. Possible applications of spatial-temporal climatic patterns concept to prognosis of climate system evolution is discussed. 1. Ghil, M., R. M. Allen, M. D. Dettinger, K. Ide, D. Kondrashov, et al. (2002) "Advanced spectral methods for climatic time series", Rev. Geophys. 40(1), 3.1-3.41. 2. http://83.149.207.89/GCM_DATA_PLOTTING/GCM_INM_DATA_XY_en.htm 3. http://iridl.ldeo.columbia.edu/SOURCES/.KAPLAN/.EXTENDED/.v2/.ssta/
Fatal falls involving stairs: an anthropological analysis of skeletal trauma.
Rowbotham, Samantha K; Blau, Soren; Hislop-Jambrich, Jacqueline; Francis, Victoria
2018-06-01
The skeletal blunt force trauma resulting from fatal falls involving stairs is complex. There are countless ways an individual may fall when stairs are involved, and thus a variety of ways the skeleton may fracture. Therefore anecdotally, it may be said that there is no specific skeletal trauma characteristic of this fall type. In order to scientifically investigate this anecdotal understanding, this study provides a detailed investigation of the skeletal fracture patterns and morphologies resulting from fatal falls involving stairs. Skeletal trauma was analyzed using the full-body postmortem computed tomography scans of 57 individuals who died from a fall involving stairs. Trauma was examined in the context of the variables that potentially influence how an individual falls (i.e. sex, age, body mass index, number of stairs involved, psychoactive drugs, pre-existing conditions, landing surface and manner of the fall) using logistic regression. Skeletal trauma primarily occurred in the axial skeleton. An analysis of fracture patterns showed the cranial base was less likely to fracture in younger individuals and the cervical vertebrae were more likely to fracture in falls that involved more than half a flight of stairs. A total of 56 fracture morphologies were identified. Of these, diastatic fractures were less likely to occur in older individuals. Findings indicate that there are skeletal fracture patterns and morphologies characteristic of a fatal fall involving stairs.
NASA Astrophysics Data System (ADS)
Ke, Zhang-Hong; Pan, Jie-Xue; Jin, Lu-Yang; Xu, Hai-Yan; Yu, Tian-Tian; Ullah, Kamran; Rahman, Tanzil Ur; Ren, Jun; Cheng, Yi; Dong, Xin-Yan; Sheng, Jian-Zhong; Huang, He-Feng
2016-08-01
Accumulating evidence suggests a role of bisphenol A (BPA) in metabolic disorders. However, the underlying mechanism is still unclear. Using a mouse BPA exposure model, we investigated the effects of long-term BPA exposure on lipid metabolism and the underlying mechanisms. The male mice exposed to BPA (0.5 μg BPA /kg/day, a human relevant dose) for 10 months exhibited significant hepatic accumulation of triglycerides and cholesterol. The liver cells from the BPA-exposed mice showed significantly increased expression levels of the genes related to lipid synthesis. These liver cells showed decreased DNA methylation levels of Srebf1 and Srebf2, and increased expression levels of Srebf1 and Srebf2 that may upregulate the genes related to lipid synthesis. The expression levels of DNA methyltransferases were decreased in BPA-exposed mouse liver. Hepa1-6 cell line treated with BPA showed decreased expression levels of DNA methyltransferases and increased expression levels of genes involved in lipid synthesis. DNA methyltransferase knockdown in Hepa1-6 led to hypo-methylation and increased expression levels of genes involved in lipid synthesis. Our results suggest that long-term BPA exposure could induce hepatic lipid accumulation, which may be due to the epigenetic reprogramming of the genes involved in lipid metabolism, such as the alterations of DNA methylation patterns.
Ke, Zhang-Hong; Pan, Jie-Xue; Jin, Lu-Yang; Xu, Hai-Yan; Yu, Tian-Tian; Ullah, Kamran; Rahman, Tanzil Ur; Ren, Jun; Cheng, Yi; Dong, Xin-Yan; Sheng, Jian-Zhong; Huang, He-Feng
2016-01-01
Accumulating evidence suggests a role of bisphenol A (BPA) in metabolic disorders. However, the underlying mechanism is still unclear. Using a mouse BPA exposure model, we investigated the effects of long-term BPA exposure on lipid metabolism and the underlying mechanisms. The male mice exposed to BPA (0.5 μg BPA /kg/day, a human relevant dose) for 10 months exhibited significant hepatic accumulation of triglycerides and cholesterol. The liver cells from the BPA-exposed mice showed significantly increased expression levels of the genes related to lipid synthesis. These liver cells showed decreased DNA methylation levels of Srebf1 and Srebf2, and increased expression levels of Srebf1 and Srebf2 that may upregulate the genes related to lipid synthesis. The expression levels of DNA methyltransferases were decreased in BPA-exposed mouse liver. Hepa1-6 cell line treated with BPA showed decreased expression levels of DNA methyltransferases and increased expression levels of genes involved in lipid synthesis. DNA methyltransferase knockdown in Hepa1-6 led to hypo-methylation and increased expression levels of genes involved in lipid synthesis. Our results suggest that long-term BPA exposure could induce hepatic lipid accumulation, which may be due to the epigenetic reprogramming of the genes involved in lipid metabolism, such as the alterations of DNA methylation patterns. PMID:27502578
Croll, Jillian K; Neumark-Sztainer, Dianne; Story, Mary; Wall, Melanie; Perry, Cheryl; Harnack, Lisa
2006-05-01
To examine eating habits and energy and nutrient intake among adolescents participating in weight-related and power team sports and non-sport-involved adolescents. Data were drawn from Project EAT (Eating Among Teens), which was conducted with 4,746 adolescents from 31 middle and high schools in the Minneapolis/St Paul metropolitan area. Urban secondary schools. Adolescents reporting participation in a weight-related sport, a power team sport, or no consistent participation in a sport. Meal and snack frequency, mean energy and nutrient intake, and mean physical activity. Analyses were conducted by sex across the three groups. General linear models were used to compare mean energy and nutrient intake, composite nutrient adequacy, and mean physical activity across the three groups. Percentages of youth meeting nutrient recommendations were compared across the three groups using chi(2) tests. For both males and females, youth involved in weight-related sports ate breakfast more frequently than non-sport-involved peers (females: 3.6 and 3.2 times per week, respectively, P<0.01; males: 4.7 and 3.7 times per week, respectively, P<0.01). Weight-related and power team sport-involved youth also had higher mean protein, calcium, iron, and zinc intakes than non-sport-involved peers. However, adolescent females had low calcium intake, regardless of sports involvement (weight-related sports 1,091 mg/day, power team sports 1,070 mg/day, and non-sport-involved 1,028 mg/day, P<0.05). Sport-involved adolescents have better eating habits and nutrient intake than their non-sport-involved peers. However, they are still in need of nutrition interventions, particularly around calcium intake.
Kaymak, Ebru; Farley, Brian M.; Hay, Samantha A.; Li, Chihua; Ho, Samantha; Hartman, Daniel J.; Ryder, Sean P.
2016-01-01
Background In C. elegans, germline development and early embryogenesis rely on post-transcriptional regulation of maternally transcribed mRNAs. In many cases, the 3′UTR is sufficient to govern the expression patterns of these transcripts. Several RNA-binding proteins are required to regulate maternal mRNAs through the 3′UTR. Despite intensive efforts to map RNA-binding protein-mRNA interactions in vivo, the biological impact of most binding events remains unknown. Reporter studies using single copy integrated transgenes are essential to evaluate the functional consequences of interactions between RNA-binding proteins and their associated mRNAs. Results In this report, we present an efficient method of generating reporter strains with improved throughput by using a library variant of MosSCI transgenesis. Furthermore, using RNA interference, we identify the suite of RBPs that control the expression pattern of five different maternal mRNAs. Conclusions The results provide a generalizable and efficient strategy to assess the functional relevance of protein-RNA interactions in vivo, and reveal new regulatory connections between key RNA-binding proteins and their maternal mRNA targets. PMID:27294288
Practical relevance of pattern uniqueness in forensic science.
Jayaprakash, Paul T
2013-09-10
Uniqueness being unprovable, it has recently been argued that individualization in forensic science is irrelevant and, probability, as applied for DNA profiles, should be applied for all identifications. Critiques against uniqueness have omitted physical matching, a realistic and tangible individualization that supports uniqueness. Describing case examples illustrating pattern matches including physical matching, it is indicated that individualizations are practically relevant for forensic science as they establish facts on a definitive basis providing firm leads benefitting criminal investigation. As a tenet of forensic identification, uniqueness forms a fundamental paradigm relevant for individualization. Evidence on the indeterministic and stochastic causal pathways of characteristics in patterns available in the related fields of science sufficiently supports the proposition of uniqueness. Characteristics involved in physical matching and matching achieved in patterned evidence existing in the state of nature are not events amenable for counting; instead these are ensemble of visible units occupying the entire pattern area stretching the probability of re-occurrence of a verisimilitude pattern into infinity offering epistemic support to uniqueness. Observational methods are as respectable as instrumental or statistical methods since they are capable of generating results that are tangible and obviously valid as in physical matching. Applying the probabilistic interpretation used for DNA profiles to the other patterns would be unbefitting since these two are disparate, the causal pathways of the events, the loci, in the manipulated DNA profiles being determinable. While uniqueness enables individualizations, it does not vouch for eliminating errors. Instead of dismissing uniqueness and individualization, accepting errors as human or system failures and seeking remedial measures would benefit forensic science practice and criminal investigation. Copyright © 2013
Can spectro-temporal complexity explain the autistic pattern of performance on auditory tasks?
Samson, Fabienne; Mottron, Laurent; Jemel, Boutheina; Belin, Pascal; Ciocca, Valter
2006-01-01
To test the hypothesis that level of neural complexity explain the relative level of performance and brain activity in autistic individuals, available behavioural, ERP and imaging findings related to the perception of increasingly complex auditory material under various processing tasks in autism were reviewed. Tasks involving simple material (pure tones) and/or low-level operations (detection, labelling, chord disembedding, detection of pitch changes) show a superior level of performance and shorter ERP latencies. In contrast, tasks involving spectrally- and temporally-dynamic material and/or complex operations (evaluation, attention) are poorly performed by autistics, or generate inferior ERP activity or brain activation. Neural complexity required to perform auditory tasks may therefore explain pattern of performance and activation of autistic individuals during auditory tasks.
Carbo-Gas, María; Vazquez-Sanroman, Dolores; Aguirre-Manzo, Luisa; Coria-Avila, Genaro A; Manzo, Jorge; Sanchis-Segura, Carla; Miquel, Marta
2014-01-01
Because of its primary role in drug-seeking, consumption and addictive behaviour, there is a growing interest in identifying the neural circuits and molecular mechanisms underlying the formation, maintenance and retrieval of drug-related memories. Human studies, which focused on neuronal systems that store and control drug-conditioned memories, have found cerebellar activations during the retrieval of drug-associated cue memory. However, at the pre-clinical level, almost no attention has been paid to a possible role of the cerebellum in drug-related memories. In the present study, we ought to fill this gap by aiming to investigate the pattern of neuronal activation (as revealed by cFos expression) in different regions of the prefrontal cortex and cerebellum of mice trained to develop conditioned preference for an olfactory stimulus (CS+) paired with cocaine. Our results indicate that CS+ preference was directly associated with cFos expression in cells at the apical region of the granule cell layer of the cerebellar vermis; this relationship being more prominent in some specific lobules. Conversely, cFos+ immunostaining in other cerebellar regions seems to be unrelated to CS+ preference but to other aspects of the conditioning procedure. At the prefrontal cortex, cFos expression seemed to be related to cocaine administration rather than to its ability to establish conditioned preference. The present results suggest that as it has been observed in some clinical studies, the cerebellum might be an important and largely overlooked part of the neural circuits involved in generating, maintaining and/or retrieving drug memories. © 2013 The Authors, Addiction Biology © 2013 Society for the Study of Addiction.
PatternCoder: A Programming Support Tool for Learning Binary Class Associations and Design Patterns
ERIC Educational Resources Information Center
Paterson, J. H.; Cheng, K. F.; Haddow, J.
2009-01-01
PatternCoder is a software tool to aid student understanding of class associations. It has a wizard-based interface which allows students to select an appropriate binary class association or design pattern for a given problem. Java code is then generated which allows students to explore the way in which the class associations are implemented in a…
GENERATING FRACTAL PATTERNS BY USING p-CIRCLE INVERSION
NASA Astrophysics Data System (ADS)
Ramírez, José L.; Rubiano, Gustavo N.; Zlobec, Borut Jurčič
2015-10-01
In this paper, we introduce the p-circle inversion which generalizes the classical inversion with respect to a circle (p = 2) and the taxicab inversion (p = 1). We study some basic properties and we also show the inversive images of some basic curves. We apply this new transformation to well-known fractals such as Sierpinski triangle, Koch curve, dragon curve, Fibonacci fractal, among others. Then we obtain new fractal patterns. Moreover, we generalize the method called circle inversion fractal be means of the p-circle inversion.
ERIC Educational Resources Information Center
Mulvey, Bridget
2016-01-01
Students best learn science through a combination of science inquiry and language learning. This article presents a series of chemistry lessons on the naming of compounds. The weeklong unit focuses on patterns across compound names and chemical formulas and addresses several of the "Next Generation Science Standards" (NGSS Lead States…
Photomask and pattern programming manual
NASA Technical Reports Server (NTRS)
Kirschman, R. K.
1978-01-01
A user's manual for a set of computer programs written in FORTRAN for the layout and generation of photomasks is presented. A limited amount of related information on photomasks, their design, and use is included. Input to the programs includes data describing the photomask design. Possible outputs include plots of the layout and a magnetic tape for controlling generation of the photomask by a pattern generator.
Academic Characteristics among First-Generation and Non-First-Generation College Students
ERIC Educational Resources Information Center
Murphy, Catrina G.; Hicks, Terence
2006-01-01
The present study involved a sample (n = 203) of college students and investigated the differences in academic expectations of first-generation and non-first-generation undergraduates who attended a doctoral-granting public four-year historically Black university on the eastern shore of Maryland. There were 133 first-generation and 70…
Generation of isolated asymmetric umbilics in light's polarization
NASA Astrophysics Data System (ADS)
Galvez, Enrique J.; Rojec, Brett L.; Kumar, Vijay; Viswanathan, Nirmal K.
2014-03-01
Polarization-singularity C points, a form of line singularities, are the vectorial counterparts of the optical vortices of spatial modes and fundamental optical features of polarization-spatial modes. Their generation in tailored beams has been limited to so-called "lemon" and "star" C points that contain symmetric dislocations in state-of-polarization patterns. In this Rapid Communication we present the theory and laboratory measurements of two complementary methods to generate isolated asymmetric C points in tailored beams, of which symmetric lemon and star patterns are limiting cases; and we report on the generation of so-called "monstar" patterns, an asymmetric C point with characteristics of both lemons and stars.
Mercedes Benz pattern craniosynostosis.
Rhodes, Jennifer L; Kolar, John C; Fearon, Jeffrey A
2010-01-01
The complex craniosynostoses, which include all nonsyndromic multiple sutural fusions, represent a small fraction of patients presenting with craniosynostosis. Among these are a trisutural fusion, dubbed the "Mercedes Benz pattern," involving the sagittal and both lambdoid sutures. The purpose of this report is to review the authors' series of this unusual form of craniosynostosis, to identify associated anomalies, and to assess treatment outcomes. The authors conducted a retrospective clinical outcome assessment of all patients presenting with Mercedes Benz pattern craniosynostosis. Growth was assessed by direct anthropologic measurements, and significance was assessed by the t test. Over a 17-year period, 11 of 802 patients presenting with craniosynostosis were identified with Mercedes Benz pattern synostosis (1.4 percent). Three patients had additional sutural involvement and two had identifiable genetic syndromes. Seven of 11 (64 percent) had cerebellar tonsillar herniation on preoperative imaging, and four symptomatic patients (36 percent) have required Chiari decompressions. Three patients have required more than one remodeling procedure. Serial postoperative anthropologic measurements identified progressive brachycephaly, with diminished growth in both head circumference and skull length (mean follow-up, 3.75 years). Cognitive function was grossly normal, except for one syndromic patient. Despite fusion of the sagittal suture, the surgical treatment for Mercedes Benz pattern craniosynostosis should include skull lengthening, not reduction. The authors' findings for diminished postoperative growth suggest that an overcorrection be considered. Fourth or fifth sutural involvement correlated with additional corrections. Given the observed high incidence for symptomatic cerebellar tonsillar herniation, routine magnetic resonance evaluations are recommended for affected individuals.
Global-scale tectonic patterns on Pluto
NASA Astrophysics Data System (ADS)
Matsuyama, I.; Keane, J. T.; Kamata, S.
2016-12-01
The New Horizons spacecraft revealed a global-scale tectonic pattern on the surface of Pluto which is presumably related to its formation and early evolution. Changes in the rotational and tidal potentials, expansion, and loading can generate stresses capable of producing global-scale tectonic patterns. The current alignment of Sputnik Planum with the tidal axis suggests a reorientation of Pluto relative to the rotation and tidal axes, or true polar wander. This reorientation can be driven by mass loading associated with Sputnik Planum. We developed a general theoretical formalism for the calculation of tectonic patterns due to a variety of process including true polar wander, loading, and expansion. The formalism is general enough to be applicable to non-axisymmetric loads. We illustrate that the observed global-scale tectonic pattern can be explained by stresses generated by true polar wander, Sputnik Planum loading, and expansion.
Eugenin, J; Nicholls, J G; Cohen, L B; Muller, K J
2006-01-01
Unfailing respiration depends on neural mechanisms already present in mammals before birth. Experiments were made to determine how inspiratory and expiratory neurons are grouped in the brainstem of fetal mice. A further aim was to assess whether rhythmicity arises from a single pacemaker or is generated by multiple sites in the brainstem. To measure neuronal firing, a fluorescent calcium indicator dye was applied to embryonic central nervous systems isolated from mice. While respiratory commands were monitored electrically from third to fifth cervical ventral roots, activity was measured optically over areas containing groups of respiratory neurones, or single neurones, along the medulla from the facial nucleus to the pre-Bötzinger complex. Large optical signals allowed recordings to be made during individual respiratory cycles. Inspiratory and expiratory neurones were intermingled. A novel finding was that bursts of activity arose in a discrete area intermittently, occurring during some breaths, but failing in others. Raised CO2 partial pressure or lowered pH increased the frequency of respiration; neurons then fired reliably with every cycle. Movies of activity revealed patterns of activation of inspiratory and expiratory neurones during successive respiratory cycles; there was no evidence for waves spreading systematically from region to region. Our results suggest that firing of neurons in immature respiratory circuits is a stochastic process, and that the rhythm does not depend on a single pacemaker. Respiratory circuits in fetal mouse brainstem appear to possess a high safety factor for generating rhythmicity, which may or may not persist as development proceeds.
Gürkan Figen, Ziya; Aytür, Orhan; Arıkan, Orhan
2016-03-20
In this paper, we design aperiodic gratings based on orientation-patterned gallium arsenide (OP-GaAs) for converting 2.1 μm pump laser radiation into long-wave infrared (8-12 μm) in an idler-efficiency-enhanced scheme. These single OP-GaAs gratings placed in an optical parametric oscillator (OPO) or an optical parametric generator (OPG) can simultaneously phase match two optical parametric amplification (OPA) processes, OPA 1 and OPA 2. We use two design methods that allow simultaneous phase matching of two arbitrary χ(2) processes and also free adjustment of their relative strength. The first aperiodic grating design method (Method 1) relies on generating a grating structure that has domain walls located at the zeros of the summation of two cosine functions, each of which has a spatial frequency that equals one of the phase-mismatch terms of the two processes. Some of the domain walls are discarded considering the minimum domain length that is achievable in the production process. In this paper, we propose a second design method (Method 2) that relies on discretizing the crystal length with sample lengths that are much smaller than the minimum domain length and testing each sample's contribution in such a way that the sign of the nonlinearity maximizes the magnitude sum of the real and imaginary parts of the Fourier transform of the grating function at the relevant phase mismatches. Method 2 produces a similar performance as Method 1 in terms of the maximization of the height of either Fourier peak located at the relevant phase mismatch while allowing an adjustable relative height for the two peaks. To our knowledge, this is the first time that aperiodic OP-GaAs gratings have been proposed for efficient long-wave infrared beam generation based on simultaneous phase matching.
Sunderaraman, Preeti; Sokolov, Elisaveta; Cines, Sarah; Sullo, Elizabeth; Orly, Aidan; Lerer, Bianca; Karlawish, Jason; Huey, Edward; Cosentino, Stephanie
2015-01-01
Design fluency tests, commonly used in both clinical and research contexts to evaluate nonverbal concept generation, have the potential to offer useful information in the differentiation of healthy versus pathological aging. While normative data for older adults are available for multiple timed versions of this test, similar data have been unavailable for a previously published untimed task, the Graphic Pattern Generation Task (GPG). Time constraints common to almost all of the available design fluency tests may cloud interpretation of higher level executive abilities, for example in individuals with slow processing speed. The current study examined the psychometric properties of the GPG and presents normative data in a sample of 167 healthy older adults (OAs) and 110 individuals diagnosed with Alzheimer's disease (AD). Results suggest that a brief version of the GPG can be administered reliably, and that this short form has high test-retest and inter-rater reliability. Number of perseverations was higher in individuals with AD as compared to OAs. A cut-off score of 4 or more perseverations showed a moderate degree of sensitivity (76%) and specificity (37%) in distinguishing individuals with AD and OAs. Finally, perseverations were associated with nonmemory indices, underscoring the nonverbal nature of this error in OAs and individuals with AD. PMID:25679880
Legué, Emilie; Gottshall, Jackie L; Jaumouillé, Edouard; Roselló-Díez, Alberto; Shi, Wei; Barraza, Luis Humberto; Washington, Senna; Grant, Rachel L; Joyner, Alexandra L
2016-09-08
The mouse cerebellum (Cb) has a remarkably complex foliated three-dimensional (3D) structure, but a stereotypical cytoarchitecture and local circuitry. Little is known of the cellular behaviors and genes that function during development to determine the foliation pattern. In the anteroposterior axis the mammalian cerebellum is divided by lobules with distinct sizes, and the foliation pattern differs along the mediolateral axis defining a medial vermis and two lateral hemispheres. In the vermis, lobules are further grouped into four anteroposterior zones (anterior, central, posterior and nodular zones) based on genetic criteria, and each has distinct lobules. Since each cerebellar afferent group projects to particular lobules and zones, it is critical to understand how the 3D structure of the Cb is acquired. During cerebellar development, the production of granule cells (gcs), the most numerous cell type in the brain, is required for foliation. We hypothesized that the timing of gc accumulation is different in the four vermal zones during development and contributes to the distinct lobule morphologies. In order to test this idea, we used genetic inducible fate mapping to quantify accumulation of gcs in each lobule during the first two postnatal weeks in mice. The timing of gc production was found to be particular to each lobule, and delayed in the central zone lobules relative to the other zones. Quantification of gc proliferation and differentiation at three time-points in lobules representing different zones, revealed the delay involves a later onset of maximum differentiation and prolonged proliferation of gc progenitors in the central zone. Similar experiments in Engrailed mutants (En1 (-/+) ;En2 (-/-) ), which have a smaller Cb and altered foliation pattern preferentially outside the central zone, showed that gc production, proliferation and differentiation are altered such that the differences between zones are attenuated compared to wild-type mice. Our
Mining continuous activity patterns from animal trajectory data
Wang, Y.; Luo, Ze; Baoping, Yan; Takekawa, John Y.; Prosser, Diann J.; Newman, Scott H.
2014-01-01
The increasing availability of animal tracking data brings us opportunities and challenges to intuitively understand the mechanisms of animal activities. In this paper, we aim to discover animal movement patterns from animal trajectory data. In particular, we propose a notion of continuous activity pattern as the concise representation of underlying similar spatio-temporal movements, and develop an extension and refinement framework to discover the patterns. We first preprocess the trajectories into significant semantic locations with time property. Then, we apply a projection-based approach to generate candidate patterns and refine them to generate true patterns. A sequence graph structure and a simple and effective processing strategy is further developed to reduce the computational overhead. The proposed approaches are extensively validated on both real GPS datasets and large synthetic datasets.
Probing Atomic Dynamics and Structures Using Optical Patterns
NASA Astrophysics Data System (ADS)
Schmittberger, Bonnie L.; Gauthier, Daniel J.
2015-05-01
Pattern formation is a widely studied phenomenon that can provide fundamental insights into nonlinear systems. Emergent patterns in cold atoms are of particular interest in condensed matter physics and quantum information science because one can relate optical patterns to spatial structures in the atoms. In our experimental system, we study multimode optical patterns generated from a sample of cold, thermal atoms. We observe this nonlinear optical phenomenon at record low input powers due to the highly nonlinear nature of the spatial bunching of atoms in an optical lattice. We present a detailed study of the dynamics of these bunched atoms during optical pattern formation. We show how small changes in the atomic density distribution affect the symmetry of the generated patterns as well as the nature of the nonlinearity that describes the light-atom interaction. We gratefully acknowledge the financial support of the National Science Foundation through Grant #PHY-1206040.
Abdala, A P L; Rybak, I A; Smith, J C; Paton, J F R
2009-01-01
We studied respiratory neural activity generated during expiration. Motoneuronal activity was recorded simultaneously from abdominal (AbN), phrenic (PN), hypoglossal (HN) and central vagus nerves from neonatal and juvenile rats in situ. During eupnoeic activity, low-amplitude post-inspiratory (post-I) discharge was only present in AbN motor outflow. Expression of AbN late-expiratory (late-E) activity, preceding PN bursts, occurred during hypercapnia. Biphasic expiratory (biphasic-E) activity with pre-inspiratory (pre-I) and post-I discharges occurred only during eucapnic anoxia or hypercapnic anoxia. Late-E activity generated during hypercapnia (7–10% CO2) was abolished with pontine transections or chemical suppression of retrotrapezoid nucleus/ventrolateral parafacial (RTN/vlPF). AbN late-E activity during hypercapnia is coupled with augmented pre-I discharge in HN, truncated PN burst, and was quiescent during inspiration. Our data suggest that the pons provides a necessary excitatory drive to an additional neural oscillatory mechanism that is only activated under conditions of high respiratory drive to generate late-E activity destined for AbN motoneurones. This mechanism may arise from neurons located in the RTN/vlPF or the latter may relay late-E activity generated elsewhere. We hypothesize that this oscillatory mechanism is not a necessary component of the respiratory central pattern generator but constitutes a defensive mechanism activated under critical metabolic conditions to provide forced expiration and reduced upper airway resistance simultaneously. Possible interactions of this oscillator with components of the brainstem respiratory network are discussed. PMID:19491247
A neural circuitry that emphasizes spinal feedback generates diverse behaviours of human locomotion
Song, Seungmoon; Geyer, Hartmut
2015-01-01
Neural networks along the spinal cord contribute substantially to generating locomotion behaviours in humans and other legged animals. However, the neural circuitry involved in this spinal control remains unclear. We here propose a specific circuitry that emphasizes feedback integration over central pattern generation. The circuitry is based on neurophysiologically plausible muscle-reflex pathways that are organized in 10 spinal modules realizing limb functions essential to legged systems in stance and swing. These modules are combined with a supraspinal control layer that adjusts the desired foot placements and selects the leg that is to transition into swing control during double support. Using physics-based simulation, we test the proposed circuitry in a neuromuscular human model that includes neural transmission delays, musculotendon dynamics and compliant foot–ground contacts. We find that the control network is sufficient to compose steady and transitional 3-D locomotion behaviours including walking and running, acceleration and deceleration, slope and stair negotiation, turning, and deliberate obstacle avoidance. The results suggest feedback integration to be functionally more important than central pattern generation in human locomotion across behaviours. In addition, the proposed control architecture may serve as a guide in the search for the neurophysiological origin and circuitry of spinal control in humans. PMID:25920414
Auditory Pattern Recognition and Brief Tone Discrimination of Children with Reading Disorders
ERIC Educational Resources Information Center
Walker, Marianna M.; Givens, Gregg D.; Cranford, Jerry L.; Holbert, Don; Walker, Letitia
2006-01-01
Auditory pattern recognition skills in children with reading disorders were investigated using perceptual tests involving discrimination of frequency and duration tonal patterns. A behavioral test battery involving recognition of the pattern of presentation of tone triads was used in which individual components differed in either frequency or…
Siniscalchi, Michael J.; Jing, Jian; Weiss, Klaudiusz R.
2016-01-01
Repetition priming is characterized by increased performance as a behavior is repeated. Although this phenomenon is ubiquitous, mediating mechanisms are poorly understood. We address this issue in a model system, the feeding network of Aplysia. This network generates both ingestive and egestive motor programs. Previous data suggest a chemical coding model: ingestive and egestive inputs to the feeding central pattern generator (CPG) release different modulators, which act via different second messengers to prime motor activity in different ways. The ingestive input to the CPG (neuron CBI-2) releases the peptides feeding circuit activating peptide and cerebral peptide 2, which produce an ingestive pattern of activity. The egestive input to the CPG (the esophageal nerve) releases the peptide small cardioactive peptide. This model is based on research that focused on a single aspect of motor control (radula opening). Here we ask whether repetition priming is observed if activity is triggered with a neuron within the core CPG itself and demonstrate that it is not. Moreover, previous studies demonstrated that effects of modulatory neurotransmitters that induce repetition priming persist. This suggests that it should be possible to “prime” motor programs triggered from within the CPG by first stimulating extrinsic modulatory inputs. We demonstrate that programs triggered after ingestive input activation are ingestive and programs triggered after egestive input activation are egestive. We ask where this priming occurs and demonstrate modifications within the CPG itself. This arrangement is likely to have important consequences for “task” switching, i.e., the cessation of one type of motor activity and the initiation of another. PMID:27466134
Force generation within tissues during development
NASA Astrophysics Data System (ADS)
Kasza, Karen
During embryonic development, multicellular tissues physically change shape, move, and grow. Changes in epithelial tissue organization are often accomplished by local movements of cells that are driven largely by forces generated by the motor protein myosin II. These forces are patterned to orient cell movements, resulting in changes in tissue shape and organization to build functional tissues and organs. To investigate the mechanisms of force generation in vivo, we use the fruit fly embryo as a model system. Spatial patterns of forces orient cell movements to drive rapid tissue elongation along the head-to-tail axis of the embryo. I will describe how studying embryos generated with engineered myosin variants provides insight into where, when, and how forces are generated to efficiently reorganize tissues. We found that a myosin variant that is locked-in to the active or ``on'' state accelerates cell movements, while two mutant myosin variants associated with human disease produce slowed cell movement. These myosin variants all disrupt tissue elongation, but live imaging and biophysical measurements reveal distinct effects on myosin organization and dynamics within cells and uncover mechanisms that control the spatial and temporal patterns of force generation. These studies shed light not only on how defects in force generation contribute to disease but also on physical principles at work in active, living materials.
Pattern database applications from design to manufacturing
NASA Astrophysics Data System (ADS)
Zhuang, Linda; Zhu, Annie; Zhang, Yifan; Sweis, Jason; Lai, Ya-Chieh
2017-03-01
Pattern-based approaches are becoming more common and popular as the industry moves to advanced technology nodes. At the beginning of a new technology node, a library of process weak point patterns for physical and electrical verification are starting to build up and used to prevent known hotspots from re-occurring on new designs. Then the pattern set is expanded to create test keys for process development in order to verify the manufacturing capability and precheck new tape-out designs for any potential yield detractors. With the database growing, the adoption of pattern-based approaches has expanded from design flows to technology development and then needed for mass-production purposes. This paper will present the complete downstream working flows of a design pattern database(PDB). This pattern-based data analysis flow covers different applications across different functional teams from generating enhancement kits to improving design manufacturability, populating new testing design data based on previous-learning, generating analysis data to improve mass-production efficiency and manufacturing equipment in-line control to check machine status consistency across different fab sites.
Jaafari, Nadia; Khomitch-Baud, Alexandra; Christen, Marie-Odile; Julé, Yvon
2007-07-20
Although a number of pharmacological studies have shown the involvement of tachykinin type 2 receptors (NK2r) in the regulation of human colonic motility, few data are available so far on their pattern of expression. In this study this pattern was investigated in the myenteric plexuses, the longitudinal and circular muscle layers (external muscular layers), and the interstitial cells of Cajal (ICCs) using confocal microscopy immunofluorescence methods. NK2r immunoreactivity (NK2r-IR) was detected in the soma of myenteric neurons and in nerve varicosities located in myenteric plexuses as well as in external muscular layers. Colocalization analysis of NK2r-IR and synaptophysin-IR, showed significant regional differences in the distribution of NK2r-expressing nerve varicosities, the rate of occurrence was found to be 56.08% +/- 3% (mean +/- SE) in the external muscular layers and 30.22% +/- 1% (mean +/- SE) in the myenteric plexuses. NK2r-IR was found in membranes of most muscle cells previously incubated with a selective NK2r agonist, [beta-Ala(8)] neurokinin A fragment 4-10, at 4 degrees C, and then mainly relocated in the cytoplasm when heated to 37 degrees C. A number of NK2r-IR nerve varicosities were close to NK2r-expressing neurons and muscle cells. Some of NK2r-expressing neurons and nerves were tachykinin-IR. No NK2r-IR was detected in ICCs. The present data indicate that presynaptic and postsynaptic neuroneuronal and neuromuscular regulatory processes mediated by tachykinins via NK2r may occur for modulating human colonic motility.
Brudzynski, Katrina; Lannigan, Robert
2012-01-01
It has been recently reported that honey hydrogen peroxide in conjunction with unknown honey components produced cytotoxic effects resulting in bacterial growth inhibition and DNA degradation. The objective of this study was twofold: (a) to investigate whether the coupling chemistry involving hydrogen peroxide is responsible for a generation of hydroxyl radicals and (b) whether (•)OH generation affects growth of multi-drug resistant clinical isolates. The susceptibility of five different strains of methicillin-resistant Staphylococcus aureus (MRSA) and four strains of vancomycin-resistant Enterococcus faecium (VRE) isolates from infected wounds to several honeys was evaluated using broth microdilution assay. Isolates were identified to genus and species and their susceptibility to antibiotics was confirmed using an automated system (Vitek(®), Biomérieux(®)). The presence of the mec(A) gene, nuc gene and van(A) and (B) genes were confirmed by polymerase chain reaction. Results showed that no clinical isolate was resistant to selected active honeys. The median difference in honeys MICs against these strains ranged between 12.5 and 6.25% v/v and was not different from the MIC against standard Escherichia coli and Bacillus subtilis. Generation of (•)OH during bacteria incubation with honeys was analyzed using 3'-(p-aminophenyl) fluorescein (APF) as the (•)OH trap. The (•)OH participation in growth inhibition was monitored directly by including APF in broth microdilution assay. The growth of MRSA and VRE was inhibited by (•)OH generation in a dose-dependent manner. Exposure of MRSA and VRE to honeys supplemented with Cu(II) augmented production of (•)OH by 30-fold and increased honey bacteriostatic potency from MIC(90) 6.25 to MIC(90)< 0.78% v/v. Pretreatment of honeys with catalase prior to their supplementation with Cu ions fully restored bacterial growth indicating that hydroxyl radicals were produced from H(2)O(2) via the Fenton-type reaction. In
Dynamic Skin Patterns in Cephalopods
How, Martin J.; Norman, Mark D.; Finn, Julian; Chung, Wen-Sung; Marshall, N. Justin
2017-01-01
Cephalopods are unrivaled in the natural world in their ability to alter their visual appearance. These mollusks have evolved a complex system of dermal units under neural, hormonal, and muscular control to produce an astonishing variety of body patterns. With parallels to the pixels on a television screen, cephalopod chromatophores can be coordinated to produce dramatic, dynamic, and rhythmic displays, defined collectively here as “dynamic patterns.” This study examines the nature, context, and potential functions of dynamic patterns across diverse cephalopod taxa. Examples are presented for 21 species, including 11 previously unreported in the scientific literature. These range from simple flashing or flickering patterns, to highly complex passing wave patterns involving multiple skin fields. PMID:28674500
Metal hierarchical patterning by direct nanoimprint lithography
Radha, Boya; Lim, Su Hui; Saifullah, Mohammad S. M.; Kulkarni, Giridhar U.
2013-01-01
Three-dimensional hierarchical patterning of metals is of paramount importance in diverse fields involving photonics, controlling surface wettability and wearable electronics. Conventionally, this type of structuring is tedious and usually involves layer-by-layer lithographic patterning. Here, we describe a simple process of direct nanoimprint lithography using palladium benzylthiolate, a versatile metal-organic ink, which not only leads to the formation of hierarchical patterns but also is amenable to layer-by-layer stacking of the metal over large areas. The key to achieving such multi-faceted patterning is hysteretic melting of ink, enabling its shaping. It undergoes transformation to metallic palladium under gentle thermal conditions without affecting the integrity of the hierarchical patterns on micro- as well as nanoscale. A metallic rice leaf structure showing anisotropic wetting behavior and woodpile-like structures were thus fabricated. Furthermore, this method is extendable for transferring imprinted structures to a flexible substrate to make them robust enough to sustain numerous bending cycles. PMID:23446801
Kenneth F. Raffa; Briah H. Aukema; Nadir Erbilgin; Kier D. Klepzig; Kimberly F. Wallin
2005-01-01
A major challenge confronting ecologists involves scaling up and down across various levels of biological organization. The ability to conduct such scaling is important, because there is often a gap between the level at which information is most needed or best described versus the level at which it is most reliably generated or best explained. Many patterns are most...
Ihme, Matthias; Marsden, Alison L; Pitsch, Heinz
2008-02-01
A pattern search optimization method is applied to the generation of optimal artificial neural networks (ANNs). Optimization is performed using a mixed variable extension to the generalized pattern search method. This method offers the advantage that categorical variables, such as neural transfer functions and nodal connectivities, can be used as parameters in optimization. When used together with a surrogate, the resulting algorithm is highly efficient for expensive objective functions. Results demonstrate the effectiveness of this method in optimizing an ANN for the number of neurons, the type of transfer function, and the connectivity among neurons. The optimization method is applied to a chemistry approximation of practical relevance. In this application, temperature and a chemical source term are approximated as functions of two independent parameters using optimal ANNs. Comparison of the performance of optimal ANNs with conventional tabulation methods demonstrates equivalent accuracy by considerable savings in memory storage. The architecture of the optimal ANN for the approximation of the chemical source term consists of a fully connected feedforward network having four nonlinear hidden layers and 117 synaptic weights. An equivalent representation of the chemical source term using tabulation techniques would require a 500 x 500 grid point discretization of the parameter space.
Kohli, Monika V; Patil, Gururaj B.; Kulkarni, Narayan B.; Bagalkot, Kishore; Purohit, Zarana; Dave, Nilixa; Sagari, Shitalkumar G; Malaghan, Manjunath
2014-01-01
Background: Feeding mode during infancy and its effect on deciduous tooth appearance in oral cavity in two generations and among genders. Aim and Objective: Study aimed to compare and correlate times and patterns of deciduous tooth eruption in breastfeeding (OBF), partial breastfeeding (PBF) and spoon feeding (SF) infants and initiation of semisolid food feeding (SSF) in infants. It also aimed to address the variations in the time of eruption of first deciduous tooth and its pattern in two generations who had more than a decade of difference in ages. Materials and methods: An open-ended questionnaire study was conducted on mothers of 265 patients from two groups, generation 1 (G1)- adults who were aged 20-35 years and second group, generation 2 (G2) - children who were below 5 years of age . Results: A statistical significance was observed with respect to age, gender, generations, and frequency of breastfeeding, partial breastfeeding and time of initiation of semisolid food. Conclusion: There is a delayed eruption of teeth in present generation. For girls, it occurs at age of 7.88 months and for boys, it occurs at the age of 8.08 months. PMID:24783136
Membership generation using multilayer neural network
NASA Technical Reports Server (NTRS)
Kim, Jaeseok
1992-01-01
There has been intensive research in neural network applications to pattern recognition problems. Particularly, the back-propagation network has attracted many researchers because of its outstanding performance in pattern recognition applications. In this section, we describe a new method to generate membership functions from training data using a multilayer neural network. The basic idea behind the approach is as follows. The output values of a sigmoid activation function of a neuron bear remarkable resemblance to membership values. Therefore, we can regard the sigmoid activation values as the membership values in fuzzy set theory. Thus, in order to generate class membership values, we first train a suitable multilayer network using a training algorithm such as the back-propagation algorithm. After the training procedure converges, the resulting network can be treated as a membership generation network, where the inputs are feature values and the outputs are membership values in the different classes. This method allows fairly complex membership functions to be generated because the network is highly nonlinear in general. Also, it is to be noted that the membership functions are generated from a classification point of view. For pattern recognition applications, this is highly desirable, although the membership values may not be indicative of the degree of typicality of a feature value in a particular class.
Adult Undergraduate Students: Patterns of Learning Involvement. Final Research Report.
ERIC Educational Resources Information Center
Kasworm, Carol E.; Blowers, Sally S.
A research study examined the complex roles of adult life in relation to the student role, the nature of adult undergraduate engagement in learning, and adult perceptions of involvement. Adult students were interviewed in three types of institutions: 38 at two liberal arts colleges, 29 at two community colleges, and 23 at two public universities.…
Smith, Vanessa; Riccieri, Valeria; Pizzorni, Carmen; Decuman, Saskia; Deschepper, Ellen; Bonroy, Carolien; Sulli, Alberto; Piette, Yves; De Keyser, Filip; Cutolo, Maurizio
2013-12-01
Assessment of associations of nailfold videocapillaroscopy (NVC) scleroderma (systemic sclerosis; SSc) ("early," "active," and "late") with novel future severe clinical involvement in 2 independent cohorts. Sixty-six consecutive Belgian and 82 Italian patients with SSc underwent NVC at baseline. Images were blindly assessed and classified into normal, early, active, or late NVC pattern. Clinical evaluation was performed for 9 organ systems (general, peripheral vascular, skin, joint, muscle, gastrointestinal tract, lung, heart, and kidney) according to the Medsger disease severity scale (DSS) at baseline and in the future (18-24 months of followup). Severe clinical involvement was defined as category 2 to 4 per organ of the DSS. Logistic regression analysis (continuous NVC predictor variable) was performed. The OR to develop novel future severe organ involvement was stronger according to more severe NVC patterns and similar in both cohorts. In simple logistic regression analysis the OR in the Belgian/Italian cohort was 2.16 (95% CI 1.19-4.47, p = 0.010)/2.33 (95% CI 1.36-4.22, p = 0.002) for the early NVC SSc pattern, 4.68/5.42 for the active pattern, and 10.14/12.63 for the late pattern versus the normal pattern. In multiple logistic regression analysis, adjusting for disease duration, subset, and vasoactive medication, the OR was 2.99 (95% CI 1.31-8.82, p = 0.007)/1.88 (95% CI 1.00-3.71, p = 0.050) for the early NVC SSc pattern, 8.93/3.54 for the active pattern, and 26.69/6.66 for the late pattern versus the normal pattern. Capillaroscopy may be predictive of novel future severe organ involvement in SSc, as attested by 2 independent cohorts.
NASA Astrophysics Data System (ADS)
Ishida, Keisuke; Ohta, Takeshi; Miyamoto, Hirotaka; Kumazaki, Takahito; Tsushima, Hiroaki; Kurosu, Akihiko; Matsunaga, Takashi; Mizoguchi, Hakaru
2016-03-01
Multiple patterning ArF immersion lithography has been expected as the promising technology to satisfy tighter leading edge device requirements. One of the most important features of the next generation lasers will be the ability to support green operations while further improving cost of ownership and performance. Especially, the dependence on rare gases, such as Neon and Helium, is becoming a critical issue for high volume manufacturing process. The new ArF excimer laser, GT64A has been developed to cope with the reduction of operational costs, the prevention against rare resource shortage and the improvement of device yield in multiple-patterning lithography. GT64A has advantages in efficiency and stability based on the field-proven injection-lock twin-chamber platform (GigaTwin platform). By the combination of GigaTwin platform and the advanced gas control algorithm, the consumption of rare gases such as Neon is reduced to a half. And newly designed Line Narrowing Module can realize completely Helium free operation. For the device yield improvement, spectral bandwidth stability is important to increase image contrast and contribute to the further reduction of CD variation. The new spectral bandwidth control algorithm and high response actuator has been developed to compensate the offset due to thermal change during the interval such as the period of wafer exchange operation. And REDeeM Cloud™, new monitoring system for managing light source performance and operations, is on-board and provides detailed light source information such as wavelength, energy, E95, etc.
Adapted random sampling patterns for accelerated MRI.
Knoll, Florian; Clason, Christian; Diwoky, Clemens; Stollberger, Rudolf
2011-02-01
Variable density random sampling patterns have recently become increasingly popular for accelerated imaging strategies, as they lead to incoherent aliasing artifacts. However, the design of these sampling patterns is still an open problem. Current strategies use model assumptions like polynomials of different order to generate a probability density function that is then used to generate the sampling pattern. This approach relies on the optimization of design parameters which is very time consuming and therefore impractical for daily clinical use. This work presents a new approach that generates sampling patterns by making use of power spectra of existing reference data sets and hence requires neither parameter tuning nor an a priori mathematical model of the density of sampling points. The approach is validated with downsampling experiments, as well as with accelerated in vivo measurements. The proposed approach is compared with established sampling patterns, and the generalization potential is tested by using a range of reference images. Quantitative evaluation is performed for the downsampling experiments using RMS differences to the original, fully sampled data set. Our results demonstrate that the image quality of the method presented in this paper is comparable to that of an established model-based strategy when optimization of the model parameter is carried out and yields superior results to non-optimized model parameters. However, no random sampling pattern showed superior performance when compared to conventional Cartesian subsampling for the considered reconstruction strategy.
Chitturi, Jyothsna; Hung, Wesley; Rahman, Anas M. Abdel; Wu, Min; Lim, Maria A.; Calarco, John; Dennis, James W.
2018-01-01
UBR1 is an E3 ubiquitin ligase best known for its ability to target protein degradation by the N-end rule. The physiological functions of UBR family proteins, however, remain not fully understood. We found that the functional loss of C. elegans UBR-1 leads to a specific motor deficit: when adult animals generate reversal movements, A-class motor neurons exhibit synchronized activation, preventing body bending. This motor deficit is rescued by removing GOT-1, a transaminase that converts aspartate to glutamate. Both UBR-1 and GOT-1 are expressed and critically required in premotor interneurons of the reversal motor circuit to regulate the motor pattern. ubr-1 and got-1 mutants exhibit elevated and decreased glutamate level, respectively. These results raise an intriguing possibility that UBR proteins regulate glutamate metabolism, which is critical for neuronal development and signaling. PMID:29649217
Improving Parental Involvement in an Inner-City School
ERIC Educational Resources Information Center
Marion, Veronica D.
2017-01-01
A pattern of low parental involvement exists at in an inner-city school in the northeast region of the United States, where 90% of the students are students of color and fewer than 10% of parents attend school-based activities. Low parental involvement at the local school may lead to decreased student achievement and limited access to needed…
A Comparative Study of Random Patterns for Digital Image Correlation
NASA Astrophysics Data System (ADS)
Stoilov, G.; Kavardzhikov, V.; Pashkouleva, D.
2012-06-01
Digital Image Correlation (DIC) is a computer based image analysis technique utilizing random patterns, which finds applications in experimental mechanics of solids and structures. In this paper a comparative study of three simulated random patterns is done. One of them is generated according to a new algorithm, introduced by the authors. A criterion for quantitative evaluation of random patterns after the calculation of their autocorrelation functions is introduced. The patterns' deformations are simulated numerically and realized experimentally. The displacements are measured by using the DIC method. Tensile tests are performed after printing the generated random patterns on surfaces of standard iron sheet specimens. It is found that the new designed random pattern keeps relatively good quality until reaching 20% deformation.
New Parents’ Psychological Adjustment and Trajectories of Early Parental Involvement
Jia, Rongfang; Kotila, Letitia E.; Schoppe-Sullivan, Sarah J.; Kamp Dush, Claire M.
2016-01-01
Trajectories of parental involvement time (engagement and child care) across 3, 6, and 9 months postpartum and associations with parents’ own and their partners’ psychological adjustment (dysphoria, anxiety, and empathic personal distress) were examined using a sample of dual-earner couples experiencing first-time parenthood (N = 182 couples). Using time diary measures that captured intensive parenting moments, hierarchical linear modeling analyses revealed that patterns of associations between psychological adjustment and parental involvement time depended on the parenting domain, aspect of psychological adjustment, and parent gender. Psychological adjustment difficulties tended to bias the 2-parent system toward a gendered pattern of “mother step in” and “father step out,” as father involvement tended to decrease, and mother involvement either remained unchanged or increased, in response to their own and their partners’ psychological adjustment difficulties. In contrast, few significant effects were found in models using parental involvement to predict psychological adjustment. PMID:27397935
Clinchy, Michael; Haydon, Daniel T; Smith, Andrew T
2002-04-01
Patch occupancy surveys are commonly used to parameterize metapopulation models. If isolation predicts patch occupancy, this is generally attributed to a balance between distance-dependent recolonization and spatially independent extinctions. We investigated whether similar patterns could also be generated by a process of spatially correlated extinctions following a unique colonization event (analogous to nonequilibrium processes in island biogeography). We simulated effects of spatially correlated extinctions on patterns of patch occupancy among pikas (Ochotona princeps) at Bodie, California, using randomly located extinction disks to represent the likely effects of predation. Our simulations produced similar patterns to those cited as evidence of balanced metapopulation dynamics. Simulations using a variety of disk sizes and patch configurations confirmed that our results are potentially applicable to a broad range of species and sites. Analyses of the observed patterns of patch occupancy at Bodie revealed little evidence of rescue effects and strong evidence that most recolonizations are ephemeral in nature. Persistence will be overestimated if static or declining patterns of patch occupancy are mistakenly attributed to dynamically stable metapopulation processes. Consequently, simple patch occupancy surveys should not be considered as substitutes for detailed experimental tests of hypothesized population processes, particularly when conservation concerns are involved.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Georgoulis, Manolis K.; Titov, Viacheslav S.; Mikic, Zoran
Using solar vector magnetograms of the highest available spatial resolution and signal-to-noise ratio, we perform a detailed study of electric current patterns in two solar active regions (ARs): a flaring/eruptive and a flare-quiet one. We aim to determine whether ARs inject non-neutralized (net) electric currents in the solar atmosphere, responding to a debate initiated nearly two decades ago that remains inconclusive. We find that well-formed, intense magnetic polarity inversion lines (PILs) within ARs are the only photospheric magnetic structures that support significant net current. More intense PILs seem to imply stronger non-neutralized current patterns per polarity. This finding revises previousmore » works that claim frequent injections of intense non-neutralized currents by most ARs appearing in the solar disk but also works that altogether rule out injection of non-neutralized currents. In agreement with previous studies, we also find that magnetically isolated ARs remain globally current-balanced. In addition, we confirm and quantify the preference of a given magnetic polarity to follow a given sense of electric currents, indicating a dominant sense of twist in ARs. This coherence effect is more pronounced in more compact ARs with stronger PILs and must be of sub-photospheric origin. Our results yield a natural explanation of the Lorentz force, invariably generating velocity and magnetic shear along strong PILs, thus setting a physical context for the observed pre-eruption evolution in solar ARs.« less
Regional brain activation/deactivation during word generation in schizophrenia: fMRI study.
John, John P; Halahalli, Harsha N; Vasudev, Mandapati K; Jayakumar, Peruvumba N; Jain, Sanjeev
2011-03-01
Examination of the brain regions that show aberrant activations and/or deactivations during semantic word generation could pave the way for a better understanding of the neurobiology of cognitive dysfunction in schizophrenia. To examine the pattern of functional magnetic resonance imaging blood oxygen level dependent activations and deactivations during semantic word generation in schizophrenia. Functional magnetic resonance imaging was performed on 24 participants with schizophrenia and 24 matched healthy controls during an overt, paced, 'semantic category word generation' condition and a baseline 'word repetition' condition that modelled all the lead-in/associated processes involved in the performance of the generation task. The brain regions activated during word generation in healthy individuals were replicated with minimal redundancies in participants with schizophrenia. The individuals with schizophrenia showed additional activations of temporo-parieto-occipital cortical regions as well as subcortical regions, despite significantly poorer behavioural performance than the healthy participants. Importantly, the extensive deactivations in other brain regions during word generation in healthy individuals could not be replicated in those with schizophrenia. More widespread activations and deficient deactivations in the poorly performing participants with schizophrenia may reflect an inability to inhibit competing cognitive processes, which in turn could constitute the core information-processing deficit underlying impaired word generation in schizophrenia.
Cognitive patterns: giving autonomy some context
NASA Astrophysics Data System (ADS)
Dumond, Danielle; Stacy, Webb; Geyer, Alexandra; Rousseau, Jeffrey; Therrien, Mike
2013-05-01
Today's robots require a great deal of control and supervision, and are unable to intelligently respond to unanticipated and novel situations. Interactions between an operator and even a single robot take place exclusively at a very low, detailed level, in part because no contextual information about a situation is conveyed or utilized to make the interaction more effective and less time consuming. Moreover, the robot control and sensing systems do not learn from experience and, therefore, do not become better with time or apply previous knowledge to new situations. With multi-robot teams, human operators, in addition to managing the low-level details of navigation and sensor management while operating single robots, are also required to manage inter-robot interactions. To make the most use of robots in combat environments, it will be necessary to have the capability to assign them new missions (including providing them context information), and to have them report information about the environment they encounter as they proceed with their mission. The Cognitive Patterns Knowledge Generation system (CPKG) has the ability to connect to various knowledge-based models, multiple sensors, and to a human operator. The CPKG system comprises three major internal components: Pattern Generation, Perception/Action, and Adaptation, enabling it to create situationally-relevant abstract patterns, match sensory input to a suitable abstract pattern in a multilayered top-down/bottom-up fashion similar to the mechanisms used for visual perception in the brain, and generate new abstract patterns. The CPKG allows the operator to focus on things other than the operation of the robot(s).
Holidays in lights: Tracking cultural patterns in demand for energy services
NASA Astrophysics Data System (ADS)
Román, Miguel O.; Stokes, Eleanor C.
2015-06-01
Successful climate change mitigation will involve not only technological innovation, but also innovation in how we understand the societal and individual behaviors that shape the demand for energy services. Traditionally, individual energy behaviors have been described as a function of utility optimization and behavioral economics, with price restructuring as the dominant policy lever. Previous research at the macro-level has identified economic activity, power generation and technology, and economic role as significant factors that shape energy use. However, most demand models lack basic contextual information on how dominant social phenomenon, the changing demographics of cities, and the sociocultural setting within which people operate, affect energy decisions and use patterns. Here we use high-quality Suomi-NPP VIIRS nighttime environmental products to: (1) observe aggregate human behavior through variations in energy service demand patterns during the Christmas and New Year's season and the Holy Month of Ramadan and (2) demonstrate that patterns in energy behaviors closely track sociocultural boundaries at the country, city, and district level. These findings indicate that energy decision making and demand is a sociocultural process as well as an economic process, often involving a combination of individual price-based incentives and societal-level factors. While nighttime satellite imagery has been used to map regional energy infrastructure distribution, tracking daily dynamic lighting demand at three major scales of urbanization is novel. This methodology can enrich research on the relative importance of drivers of energy demand and conservation behaviors at fine scales. Our initial results demonstrate the importance of seating energy demand frameworks in a social context.
Holiday in Lights: Tracking Cultural Patterns in Demand for Energy Services
NASA Technical Reports Server (NTRS)
Roman, Miguel O.; Stokes, Eleanor C.
2015-01-01
Successful climate change mitigation will involve not only technological innovation, but also innovation in how we understand the societal and individual behaviors that shape the demand for energy services. Traditionally, individual energy behaviors have been described as a function of utility optimization and behavioral economics, with price restructuring as the dominant policy lever. Previous research at the macro-level has identified economic activity, power generation and technology, and economic role as significant factors that shape energy use. However, most demand models lack basic contextual information on how dominant social phenomenon, the changing demographics of cities, and the sociocultural setting within which people operate, affect energy decisions and use patterns. Here we use high-quality Suomi-NPP VIIRS nighttime environmental products to: (1) observe aggregate human behavior through variations in energy service demand patterns during the Christmas and New Year's season and the Holy Month of Ramadan and (2) demonstrate that patterns in energy behaviors closely track sociocultural boundaries at the country, city, and district level. These findings indicate that energy decision making and demand is a sociocultural process as well as an economic process, often involving a combination of individual price-based incentives and societal-level factors. While nighttime satellite imagery has been used to map regional energy infrastructure distribution, tracking daily dynamic lighting demand at three major scales of urbanization is novel. This methodology can enrich research on the relative importance of drivers of energy demand and conservation behaviors at fine scales. Our initial results demonstrate the importance of seating energy demand frameworks in a social context.
Holidays in lights: Tracking cultural patterns in demand for energy services.
Román, Miguel O; Stokes, Eleanor C
2015-06-01
Successful climate change mitigation will involve not only technological innovation, but also innovation in how we understand the societal and individual behaviors that shape the demand for energy services. Traditionally, individual energy behaviors have been described as a function of utility optimization and behavioral economics, with price restructuring as the dominant policy lever. Previous research at the macro-level has identified economic activity, power generation and technology, and economic role as significant factors that shape energy use. However, most demand models lack basic contextual information on how dominant social phenomenon, the changing demographics of cities, and the sociocultural setting within which people operate, affect energy decisions and use patterns. Here we use high-quality Suomi-NPP VIIRS nighttime environmental products to: (1) observe aggregate human behavior through variations in energy service demand patterns during the Christmas and New Year's season and the Holy Month of Ramadan and (2) demonstrate that patterns in energy behaviors closely track sociocultural boundaries at the country, city, and district level. These findings indicate that energy decision making and demand is a sociocultural process as well as an economic process, often involving a combination of individual price-based incentives and societal-level factors. While nighttime satellite imagery has been used to map regional energy infrastructure distribution, tracking daily dynamic lighting demand at three major scales of urbanization is novel. This methodology can enrich research on the relative importance of drivers of energy demand and conservation behaviors at fine scales. Our initial results demonstrate the importance of seating energy demand frameworks in a social context.
Cheng, Henry; Reddy, Aneela; Sage, Andrew; Lu, Jinxiu; Garfinkel, Alan; Tintut, Yin; Demer, Linda L
2012-01-01
In embryogenesis, structural patterns, such as vascular branching, may form via a reaction-diffusion mechanism in which activator and inhibitor morphogens guide cells into periodic aggregates. We previously found that vascular mesenchymal cells (VMCs) spontaneously aggregate into nodular structures and that morphogen pairs regulate the aggregation into patterns of spots and stripes. To test the effect of a focal change in activator morphogen on VMC pattern formation, we created a focal zone of high cell density by plating a second VMC layer within a cloning ring over a confluent monolayer. After 24 h, the ring was removed and pattern formation monitored by phase-contrast microscopy. At days 2-8, the patterns progressed from uniform distributions to swirl, labyrinthine and spot patterns. Within the focal high-density zone (HDZ) and a narrow halo zone, cells aggregated into spot patterns, whilst in the outermost zone of the plate, cells formed a labyrinthine pattern. The area occupied by aggregates was significantly greater in the outermost zone than in the HDZ or halo. The rate of pattern progression within the HDZ increased as a function of its plating density. Thus, focal differences in cell density may drive pattern formation gradients in tissue architecture, such as vascular branching. Copyright © 2012 S. Karger AG, Basel.
Robertson, Allison G; Swanson, Jeffrey W; Frisman, Linda K; Lin, Hsiuju; Swartz, Marvin S
2014-07-01
Adults with serious mental illness have a relatively high risk of criminal justice involvement. Some risk factors for justice involvement are known, but the specific interaction of these risk factors has not been examined. This study explored the interaction of gender, substance use disorder, and psychiatric diagnosis among patients with schizophrenia or bipolar disorder to identify subgroups at higher risk of justice involvement. Administrative service records of 25,133 adults with schizophrenia or bipolar disorder who were clients of Connecticut's public behavioral health system during 2005-2007 were merged with state records of criminal convictions, incarceration, and other measures of justice involvement. The main effects and the effects of interactions of gender, substance use disorder, and psychiatric diagnosis on risk of justice involvement ("offending") were estimated by using multivariable logistic regression. Men with bipolar disorder and co-occurring substance use disorder had the highest absolute risk of offending in every category of justice involvement. For both men and women, bipolar disorder was associated with an increased risk of offending versus schizophrenia, but the increase was significantly greater for women. Substance use disorder also increased risk of offending more among women than men, especially among those with schizophrenia. Men and women with bipolar disorder and substance use disorders have much higher risk of justice involvement than those with schizophrenia, especially those without a substance use disorder. Research is needed to validate these effects in other populations and specify risk factors for justice involvement among adults with mental illness.
An eye tracking study of bloodstain pattern analysts during pattern classification.
Arthur, R M; Hoogenboom, J; Green, R D; Taylor, M C; de Bruin, K G
2018-05-01
Bloodstain pattern analysis (BPA) is the forensic discipline concerned with the classification and interpretation of bloodstains and bloodstain patterns at the crime scene. At present, it is unclear exactly which stain or pattern properties and their associated values are most relevant to analysts when classifying a bloodstain pattern. Eye tracking technology has been widely used to investigate human perception and cognition. Its application to forensics, however, is limited. This is the first study to use eye tracking as a tool for gaining access to the mindset of the bloodstain pattern expert. An eye tracking method was used to follow the gaze of 24 bloodstain pattern analysts during an assigned task of classifying a laboratory-generated test bloodstain pattern. With the aid of an automated image-processing methodology, the properties of selected features of the pattern were quantified leading to the delineation of areas of interest (AOIs). Eye tracking data were collected for each AOI and combined with verbal statements made by analysts after the classification task to determine the critical range of values for relevant diagnostic features. Eye-tracking data indicated that there were four main regions of the pattern that analysts were most interested in. Within each region, individual elements or groups of elements that exhibited features associated with directionality, size, colour and shape appeared to capture the most interest of analysts during the classification task. The study showed that the eye movements of trained bloodstain pattern experts and their verbal descriptions of a pattern were well correlated.
Mathematically guided approaches to distinguish models of periodic patterning
Hiscock, Tom W.; Megason, Sean G.
2015-01-01
How periodic patterns are generated is an open question. A number of mechanisms have been proposed – most famously, Turing's reaction-diffusion model. However, many theoretical and experimental studies focus on the Turing mechanism while ignoring other possible mechanisms. Here, we use a general model of periodic patterning to show that different types of mechanism (molecular, cellular, mechanical) can generate qualitatively similar final patterns. Observation of final patterns is therefore not sufficient to favour one mechanism over others. However, we propose that a mathematical approach can help to guide the design of experiments that can distinguish between different mechanisms, and illustrate the potential value of this approach with specific biological examples. PMID:25605777
The Oncoprotein BRD4-NUT Generates Aberrant Histone Modification Patterns.
Zee, Barry M; Dibona, Amy B; Alekseyenko, Artyom A; French, Christopher A; Kuroda, Mitzi I
2016-01-01
Defects in chromatin proteins frequently manifest in diseases. A striking case of a chromatin-centric disease is NUT-midline carcinoma (NMC), which is characterized by expression of NUT as a fusion partner most frequently with BRD4. ChIP-sequencing studies from NMC patients revealed that BRD4-NUT (B4N) covers large genomic regions and elevates transcription within these domains. To investigate how B4N modulates chromatin, we performed affinity purification of B4N when ectopically expressed in 293-TREx cells and quantified the associated histone posttranslational modifications (PTM) using proteomics. We observed significant enrichment of acetylation particularly on H3 K18 and of combinatorial patterns such as H3 K27 acetylation paired with K36 methylation. We postulate that B4N complexes override the preexisting histone code with new PTM patterns that reflect aberrant transcription and that epigenetically modulate the nucleosome environment toward the NMC state.
The Oncoprotein BRD4-NUT Generates Aberrant Histone Modification Patterns
Zee, Barry M.; Dibona, Amy B.; Alekseyenko, Artyom A.; French, Christopher A.; Kuroda, Mitzi I.
2016-01-01
Defects in chromatin proteins frequently manifest in diseases. A striking case of a chromatin-centric disease is NUT-midline carcinoma (NMC), which is characterized by expression of NUT as a fusion partner most frequently with BRD4. ChIP-sequencing studies from NMC patients revealed that BRD4-NUT (B4N) covers large genomic regions and elevates transcription within these domains. To investigate how B4N modulates chromatin, we performed affinity purification of B4N when ectopically expressed in 293-TREx cells and quantified the associated histone posttranslational modifications (PTM) using proteomics. We observed significant enrichment of acetylation particularly on H3 K18 and of combinatorial patterns such as H3 K27 acetylation paired with K36 methylation. We postulate that B4N complexes override the preexisting histone code with new PTM patterns that reflect aberrant transcription and that epigenetically modulate the nucleosome environment toward the NMC state. PMID:27698495
Biological pattern formation: from basic mechanisms to complex structures
NASA Astrophysics Data System (ADS)
Koch, A. J.; Meinhardt, H.
1994-10-01
The reliable development of highly complex organisms is an intriguing and fascinating problem. The genetic material is, as a rule, the same in each cell of an organism. How then do cells, under the influence of their common genes, produce spatial patterns? Simple models are discussed that describe the generation of patterns out of an initially nearly homogeneous state. They are based on nonlinear interactions of at least two chemicals and on their diffusion. The concepts of local autocatalysis and of long-range inhibition play a fundamental role. Numerical simulations show that the models account for many basic biological observations such as the regeneration of a pattern after excision of tissue or the production of regular (or nearly regular) arrays of organs during (or after) completion of growth. Very complex patterns can be generated in a reproducible way by hierarchical coupling of several such elementary reactions. Applications to animal coats and to the generation of polygonally shaped patterns are provided. It is further shown how to generate a strictly periodic pattern of units that themselves exhibit a complex and polar fine structure. This is illustrated by two examples: the assembly of photoreceptor cells in the eye of Drosophila and the positioning of leaves and axillary buds in a growing shoot. In both cases, the substructures have to achieve an internal polarity under the influence of some primary pattern-forming system existing in the fly's eye or in the plant. The fact that similar models can describe essential steps in organisms as distantly related as animals and plants suggests that they reveal some universal mechanisms.
Pattern Generator for Bench Test of Digital Boards
NASA Technical Reports Server (NTRS)
Berkun, Andrew C.; Chu, Anhua J.
2012-01-01
All efforts to develop electronic equipment reach a stage where they need a board test station for each board. The SMAP digital system consists of three board types that interact with each other using interfaces with critical timing. Each board needs to be tested individually before combining into the integrated digital electronics system. Each board needs critical timing signals from the others to be able to operate. A bench test system was developed to support test of each board. The test system produces all the outputs of the control and timing unit, and is delivered much earlier than the timing unit. Timing signals are treated as data. A large file is generated containing the state of every timing signal at any instant. This file is streamed out to an IO card, which is wired directly to the device-under-test (DUT) input pins. This provides a flexible test environment that can be adapted to any of the boards required to test in a standalone configuration. The problem of generating the critical timing signals is then transferred from a hardware problem to a software problem where it is more easily dealt with.
Daily Routines and Crime: Using Routine Activities as Measures of Hirschi's Involvement.
ERIC Educational Resources Information Center
Hawdon, James E.
1999-01-01
Reconstitutes the "involvement" aspect of T. Hirschi's bond theory to substitute routine-activity patterns (RAPs) for involvement. Self-reports of 108 college freshmen suggest that involvement reconstituted as RAPs is an effective predictor of minor acts of delinquency. (SLD)
Geographic migration of black and white families over four generations.
Sharkey, Patrick
2015-02-01
This article analyzes patterns of geographic migration of black and white American families over four consecutive generations. The analysis is based on a unique set of questions in the Panel Study of Income Dynamics (PSID) asking respondents about the counties and states in which their parents and grandparents were raised. Using this information along with the extensive geographic information available in the PSID survey, the article tracks the geographic locations of four generations of family members and considers the ways in which families and places are linked together over the course of a family's history. The patterns documented in the article are consistent with much of the demographic literature on the Great Migration of black Americans out of the South, but they reveal new insights into patterns of black migration after the Great Migration. In the most recent generation, black Americans have remained in place to a degree that is unique relative to the previous generation and relative to whites of the same generation. This new geographic immobility is the most pronounced change in black Americans' migration patterns after the Great Migration, and it is a pattern that has implications for the demography of black migration as well as the literature on racial inequality.
NASA Astrophysics Data System (ADS)
Li, Shanshan; Zhang, Guoshan; Wang, Jiang; Chen, Yingyuan; Deng, Bin
2018-02-01
This paper proposes that modified two-compartment Pinsky-Rinzel (PR) neural model can be used to develop the simple form of central pattern generator (CPG). The CPG is called as 'half-central oscillator', which constructed by two inhibitory chemical coupled PR neurons with time delay. Some key properties of PR neural model related to CPG are studied and proved to meet the requirements of CPG. Using the simple CPG network, we first study the relationship between rhythmical output and key factors, including ambient noise, sensory feedback signals, morphological character of single neuron as well as the coupling delay time. We demonstrate that, appropriate intensity noise can enhance synchronization between two coupled neurons. Different output rhythm of CPG network can be entrained by sensory feedback signals. We also show that the morphology of single neuron has strong effect on the output rhythm. The phase synchronization indexes decrease with the increase of morphology parameter's difference. Through adjusting coupled delay time, we can get absolutely phase synchronization and antiphase state of CPG. Those results of simulation show the feasibility of PR neural model as a valid CPG as well as the emergent behaviors of the particularly CPG.
NASA Astrophysics Data System (ADS)
Blume, T.; Zehe, E.; Bronstert, A.
2007-08-01
Spatial patterns as well as temporal dynamics of soil moisture have a major influence on runoff generation. The investigation of these dynamics and patterns can thus yield valuable information on hydrological processes, especially in data scarce or previously ungauged catchments. The combination of spatially scarce but temporally high resolution soil moisture profiles with episodic and thus temporally scarce moisture profiles at additional locations provides information on spatial as well as temporal patterns of soil moisture at the hillslope transect scale. This approach is better suited to difficult terrain (dense forest, steep slopes) than geophysical techniques and at the same time less cost-intensive than a high resolution grid of continuously measuring sensors. Rainfall simulation experiments with dye tracers while continuously monitoring soil moisture response allows for visualization of flow processes in the unsaturated zone at these locations. Data was analyzed at different spacio-temporal scales using various graphical methods, such as space-time colour maps (for the event and plot scale) and indicator maps (for the long-term and hillslope scale). Annual dynamics of soil moisture and decimeter-scale variability were also investigated. The proposed approach proved to be successful in the investigation of flow processes in the unsaturated zone and showed the importance of preferential flow in the Malalcahuello Catchment, a data-scarce catchment in the Andes of Southern Chile. Fast response times of stream flow indicate that preferential flow observed at the plot scale might also be of importance at the hillslope or catchment scale. Flow patterns were highly variable in space but persistent in time. The most likely explanation for preferential flow in this catchment is a combination of hydrophobicity, small scale heterogeneity in rainfall due to redistribution in the canopy and strong gradients in unsaturated conductivities leading to self-reinforcing flow
Human spinal locomotor control is based on flexibly organized burst generators
Danner, Simon M.; Hofstoetter, Ursula S.; Freundl, Brigitta; Binder, Heinrich; Mayr, Winfried; Rattay, Frank
2015-01-01
Constant drive provided to the human lumbar spinal cord by epidural electrical stimulation can cause local neural circuits to generate rhythmic motor outputs to lower limb muscles in people paralysed by spinal cord injury. Epidural spinal cord stimulation thus allows the study of spinal rhythm and pattern generating circuits without their configuration by volitional motor tasks or task-specific peripheral feedback. To reveal spinal locomotor control principles, we studied the repertoire of rhythmic patterns that can be generated by the functionally isolated human lumbar spinal cord, detected as electromyographic activity from the legs, and investigated basic temporal components shared across these patterns. Ten subjects with chronic, motor-complete spinal cord injury were studied. Surface electromyographic responses to lumbar spinal cord stimulation were collected from quadriceps, hamstrings, tibialis anterior, and triceps surae in the supine position. From these data, 10-s segments of rhythmic activity present in the four muscle groups of one limb were extracted. Such samples were found in seven subjects. Physiologically adequate cycle durations and relative extension- and flexion-phase durations similar to those needed for locomotion were generated. The multi-muscle activation patterns exhibited a variety of coactivation, mixed-synergy and locomotor-like configurations. Statistical decomposition of the electromyographic data across subjects, muscles and samples of rhythmic patterns identified three common temporal components, i.e. basic or shared activation patterns. Two of these basic patterns controlled muscles to contract either synchronously or alternatingly during extension- and flexion-like phases. The third basic pattern contributed to the observed muscle activities independently from these extensor- and flexor-related basic patterns. Each bifunctional muscle group was able to express both extensor- and flexor-patterns, with variable ratios across the
Sanches, Larissa Juliani; Marinello, Poliana Camila; Panis, Carolina; Fagundes, Tatiane Renata; Morgado-Díaz, José Andrés; de-Freitas-Junior, Julio Cesar Madureira; Cecchini, Rubens; Cecchini, Alessandra Lourenço; Luiz, Rodrigo Cabral
2017-03-01
Citral is a natural compound that has shown cytotoxic and antiproliferative effects on breast and hematopoietic cancer cells; however, there are few studies on melanoma cells. Oxidative stress is known to be involved in all stages of melanoma development and is able to modulate intracellular pathways related to cellular proliferation and death. In this study, we hypothesize that citral exerts its cytotoxic effect on melanoma cells by the modulation of cellular oxidative status and/or intracellular signaling. To test this hypothesis, we investigated the antiproliferative and cytotoxic effects of citral on B16F10 murine melanoma cells evaluating its effects on cellular oxidative stress, DNA damage, cell death, and important signaling pathways, as these pathways, namely, extracellular signal-regulated kinases 1/2 (ERK1/2), AKT, and phosphatidylinositol-3 kinase, are involved in cell proliferation and differentiation. The p53 and nuclear factor kappa B were also investigated due to their ability to respond to intracellular stress. We observed that citral exerted antiproliferative and cytotoxic effects in B16F10; induced oxidative stress, DNA lesions, and p53 nuclear translocation; and reduced nitric oxide levels and nuclear factor kappa B, ERK1/2, and AKT. To investigate citral specificity, we used non-neoplastic human and murine cells, HaCaT (human skin keratinocytes) and NIH-3T3 cells (murine fibroblasts), and observed that although citral effects were not specific for cancer cells, non-neoplastic cells were more resistant to citral than B16F10. These findings highlight the potential clinical utility of citral in melanoma, with a mechanism of action involving the oxidative stress generation, nitric oxide depletion, and interference in signaling pathways related to cell proliferation.
Patterning and templating for nanoelectronics.
Galatsis, Kosmas; Wang, Kang L; Ozkan, Mihri; Ozkan, Cengiz S; Huang, Yu; Chang, Jane P; Monbouquette, Harold G; Chen, Yong; Nealey, Paul; Botros, Youssry
2010-02-09
The semiconductor industry will soon be launching 32 nm complementary metal oxide semiconductor (CMOS) technology node using 193 nm lithography patterning technology to fabricate microprocessors with more than 2 billion transistors. To ensure the survival of Moore's law, alternative patterning techniques that offer advantages beyond conventional top-down patterning are aggressively being explored. It is evident that most alternative patterning techniques may not offer compelling advantages to succeed conventional top-down lithography for silicon integrated circuits, but alternative approaches may well indeed offer functional advantages in realising next-generation information processing nanoarchitectures such as those based on cellular, bioinsipired, magnetic dot logic, and crossbar schemes. This paper highlights and evaluates some patterning methods from the Center on Functional Engineered Nano Architectonics in Los Angeles and discusses key benchmarking criteria with respect to CMOS scaling.
ERIC Educational Resources Information Center
Eoyang, Glenda H.
2007-01-01
Complex human interactions involve more than just performance toward pre-determined goals. For this reason, systems that measure and seek to improve performance must adapt to a wide range of ever-changing patterns of individual and group behavior. Historically, HPT professionals have recognized these complexities and responded in a variety of…
Guedj, Eric; Aubert, Sandrine; McGonigal, Aileen; Mundler, Olivier; Bartolomei, Fabrice
2010-06-01
To contribute to the identification of brain regions involved in déjà-vu, we studied the metabolic pattern of cortical involvement in patients with seizures of temporal lobe origin presenting with or without déjà-vu. Using voxel-based analysis of 18FDG-PET brain scans, we compared glucose metabolic rate of 8 patients with déjà-vu, 8 patients without déjà-vu, and 20 age-matched healthy subjects. Patients were selected after comprehensive non-invasive presurgical evaluation, including normal brain MRI and surface electroclinical features compatible with unilateral temporal lobe epilepsy (TLE). Patients with and without déjà-vu did not differ in terms of age, gender, epilepsy lateralization, epilepsy onset, epilepsy duration, and other subjective ictal manifestations. TLE patients with déjà-vu exhibited ipsilateral hypometabolism of superior temporal gyrus and of parahippocampal region, in the vicinity of perirhinal/entorhinal cortex, in comparison either to healthy subjects or to TLE patients without déjà-vu (p<0.05 FDR-corrected). By contrast, no difference was found between patient subgroups for hypometabolism of hippocampus and amygdala. At an individual-level, in comparison to healthy subjects, hypometabolism of both parahippocampal region and superior temporal gyrus was present in 7/8 patients with déjà-vu. Hippocampal metabolism was spared in 3 of these 7 patients. These findings argue for metabolic dysfunction of a medial-lateral temporal network in patients with déjà-vu and normal brain MRI. Within the medial temporal lobe, specific involvement of the parahippocampal region, often in the absence of hippocampal impairment, suggests that the feeling of familiarity during seizures greatly depends on alteration of the recognition memory system. Copyright 2010 Elsevier Ltd. All rights reserved.
Yamamoto, Tetsuya; Kimura, Tsuyoshi; Ueta, Eisaku; Tatemoto, Yukihiro; Osaki, Tokio
2003-01-01
Cytokines produced by tumor cells and tumor-infiltrating lymphocytes (TIL) appear to regulate tumor cell growth and the cytotoxic activity of TIL. The objectives of the present study were to investigate cytokine generation patterns in tumor cells and TIL and to examine the influence of cancer therapy on this cytokine production and the cytotoxic activity of TIL. We determined the levels of cytokines produced by tumor cells and TIL in vitro and measured the cytotoxic activity of TIL against Daudi cells in patients with oral squamous cell carcinoma (OSC) before and 1 week after the start of concomitant chemo-radio-immunotherapy. Before the therapy, OSC cells generated higher levels of granulocyte-macrophage colony-stimulating factor, tumor necrosis factor-alpha (TNF-alpha) and transforming growth factor-beta (TGF-beta) than did oral keratinocytes isolated from the noninflamed gingivae of healthy individuals, but both kinds of cells generated similar levels of interleukin (IL)-1beta and IL-6. Compared with peripheral blood mononuclear cells (PBMC) of the patients, TIL produced higher levels of IL-1beta, IL-6, IL-10, TNF-alpha and TGF-beta, whereas their production of IL-12 and interferon-gamma (IFN-gamma) was only slightly higher than that in PBMC. After 1 week of therapy, the cytokine production by OSC cells had largely decreased, while the production of TNF-alpha, IFN-gamma, TGF-beta and IL-12 by TIL had increased greatly, although other cytokine levels were almost constant during the investigations. The cytotoxic activity of TIL was higher than that of PBMC before the therapy, and this activity was strongly increased by 1 week of therapy. These results suggest that the cytokine productivities of TIL and tumor cells differ from those of PBMC and normal keratinocytes, respectively, and that chemo-radio-immunotherapy modulates in situ cytokine generation, which is advantageous for inhibition of tumor cell growth and activation of TIL. Copyright 2003 S. Karger AG
Adams, Peter G.; Swingle, Kirstie L.; Paxton, Walter F.; ...
2015-05-27
Supported lipid bilayers have proven effective as model membranes for investigating biophysical processes and in development of sensor and array technologies. The ability to modify lipid bilayers after their formation and in situ could greatly advance membrane technologies, but is difficult via current state-of-the-art technologies. Here we demonstrate a novel method that allows the controlled post-formation processing and modification of complex supported lipid bilayer arrangements, under aqueous conditions. We exploit the destabilization effect of lipopolysaccharide, an amphiphilic biomolecule, interacting with lipid bilayers to generate voids that can be backfilled to introduce desired membrane components. We further demonstrate that when usedmore » in combination with a single, traditional soft lithography process, it is possible to generate hierarchically-organized membrane domains and microscale 2-D array patterns of domains. Significantly, this technique can be used to repeatedly modify membranes allowing iterative control over membrane composition. This approach expands our toolkit for functional membrane design, with potential applications for enhanced materials templating, biosensing and investigating lipid-membrane processes.« less
Energy prediction using spatiotemporal pattern networks
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jiang, Zhanhong; Liu, Chao; Akintayo, Adedotun
This paper presents a novel data-driven technique based on the spatiotemporal pattern network (STPN) for energy/power prediction for complex dynamical systems. Built on symbolic dynamical filtering, the STPN framework is used to capture not only the individual system characteristics but also the pair-wise causal dependencies among different sub-systems. To quantify causal dependencies, a mutual information based metric is presented and an energy prediction approach is subsequently proposed based on the STPN framework. To validate the proposed scheme, two case studies are presented, one involving wind turbine power prediction (supply side energy) using the Western Wind Integration data set generated bymore » the National Renewable Energy Laboratory (NREL) for identifying spatiotemporal characteristics, and the other, residential electric energy disaggregation (demand side energy) using the Building America 2010 data set from NREL for exploring temporal features. In the energy disaggregation context, convex programming techniques beyond the STPN framework are developed and applied to achieve improved disaggregation performance.« less
EUV patterning improvement toward high-volume manufacturing
NASA Astrophysics Data System (ADS)
Kuwahara, Yuhei; Matsunaga, Koichi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie
2015-03-01
Extreme ultraviolet lithography (EUVL) technology is a promising candidate for a semiconductor process for 18nm half pitch and beyond. So far, the studies of EUV for manufacturability have been focused on particular aspects. It still requires fine resolution, uniform and smooth patterns, and low defectivity, not only after lithography but also after the etch process. Tokyo Electron Limited and imec are continuously collaborating to improve manufacturing quality of the process of record (POR) on a CLEAN TRACKTM LITHIUS ProTMZ-EUV. This next generation coating/developing system has been upgraded with defectivity reduction enhancements which are applied along with TELTM best known methods. We have evaluated process defectivity post lithography and post etch. Apart from defectivity, FIRMTM rinse material and application compatibility with sub 18nm patterning is improved to prevent line pattern collapse and increase process window on next generation resist materials. This paper reports on the progress of defectivity and patterning performance optimization towards the NXE:3300 POR.
Pattern formation with proportionate growth
NASA Astrophysics Data System (ADS)
Dhar, Deepak
It is a common observation that as baby animals grow, different body parts grow approximately at same rate. This property, called proportionate growth is remarkable in that it is not encountered easily outside biology. The models of growth that have been studied in Physics so far, e.g diffusion -limited aggregation, surface deposition, growth of crystals from melt etc. involve only growth at the surface, with the inner structure remaining frozen. Interestingly, patterns formed in growing sandpiles provide a very wide variety of patterns that show proportionate growth. One finds patterns with different features, with sharply defined boundaries. In particular, even with very simple rules, one can produce patterns that show striking resemblance to those seen in nature. We can characterize the asymptotic pattern exactly in some special cases. I will discuss in particular the patterns grown on noisy backgrounds. Supported by J. C. Bose fellowship from DST (India).
Brudzynski, Katrina; Lannigan, Robert
2012-01-01
It has been recently reported that honey hydrogen peroxide in conjunction with unknown honey components produced cytotoxic effects resulting in bacterial growth inhibition and DNA degradation. The objective of this study was twofold: (a) to investigate whether the coupling chemistry involving hydrogen peroxide is responsible for a generation of hydroxyl radicals and (b) whether •OH generation affects growth of multi-drug resistant clinical isolates. The susceptibility of five different strains of methicillin-resistant Staphylococcus aureus (MRSA) and four strains of vancomycin-resistant Enterococcus faecium (VRE) isolates from infected wounds to several honeys was evaluated using broth microdilution assay. Isolates were identified to genus and species and their susceptibility to antibiotics was confirmed using an automated system (Vitek®, Biomérieux®). The presence of the mec(A) gene, nuc gene and van(A) and (B) genes were confirmed by polymerase chain reaction. Results showed that no clinical isolate was resistant to selected active honeys. The median difference in honeys MICs against these strains ranged between 12.5 and 6.25% v/v and was not different from the MIC against standard Escherichia coli and Bacillus subtilis. Generation of •OH during bacteria incubation with honeys was analyzed using 3′-(p-aminophenyl) fluorescein (APF) as the •OH trap. The •OH participation in growth inhibition was monitored directly by including APF in broth microdilution assay. The growth of MRSA and VRE was inhibited by •OH generation in a dose-dependent manner. Exposure of MRSA and VRE to honeys supplemented with Cu(II) augmented production of •OH by 30-fold and increased honey bacteriostatic potency from MIC90 6.25 to MIC90< 0.78% v/v. Pretreatment of honeys with catalase prior to their supplementation with Cu ions fully restored bacterial growth indicating that hydroxyl radicals were produced from H2O2 via the Fenton-type reaction. In conclusion, we have
NASA Astrophysics Data System (ADS)
Hirano, Ryoichi; Iida, Susumu; Amano, Tsuyoshi; Watanabe, Hidehiro; Hatakeyama, Masahiro; Murakami, Takeshi; Suematsu, Kenichi; Terao, Kenji
2016-03-01
Novel projection electron microscope optics have been developed and integrated into a new inspection system named EBEYE-V30 ("Model EBEYE" is an EBARA's model code) , and the resulting system shows promise for application to half-pitch (hp) 16-nm node extreme ultraviolet lithography (EUVL) patterned mask inspection. To improve the system's inspection throughput for 11-nm hp generation defect detection, a new electron-sensitive area image sensor with a high-speed data processing unit, a bright and stable electron source, and an image capture area deflector that operates simultaneously with the mask scanning motion have been developed. A learning system has been used for the mask inspection tool to meet the requirements of hp 11-nm node EUV patterned mask inspection. Defects are identified by the projection electron microscope system using the "defectivity" from the characteristics of the acquired image. The learning system has been developed to reduce the labor and costs associated with adjustment of the detection capability to cope with newly-defined mask defects. We describe the integration of the developed elements into the inspection tool and the verification of the designed specification. We have also verified the effectiveness of the learning system, which shows enhanced detection capability for the hp 11-nm node.
Microstrip Antenna Generates Circularly Polarized Beam
NASA Technical Reports Server (NTRS)
Huang, J.
1986-01-01
Circular microstrip antenna excited with higher order transverse magnetic (TM) modes generates circularly polarized, conical radiation patterns. Found both theoretically and experimentally that peak direction of radiation pattern is varied within wide angular range by combination of mode selection and loading substrate with materials of different dielectric constants.
ERIC Educational Resources Information Center
de Mestre, Neville
2008-01-01
This article presents a hands-on experiment that covers many areas of high school mathematics. Included are the notions of patterns, proof, triangular numbers and various aspects of problem solving. The problem involves the arrangements of a school of fish using split peas or buttons to represent the fish. (Contains 4 figures.)
Fernandes, Jolene S; Sternberg, Paul W
2007-01-01
Regulation of spatio-temporal gene expression in diverse cell and tissue types is a critical aspect of development. Progression through Caenorhabditis elegans vulval development leads to the generation of seven distinct vulval cell types (vulA, vulB1, vulB2, vulC, vulD, vulE, and vulF), each with its own unique gene expression profile. The mechanisms that establish the precise spatial patterning of these mature cell types are largely unknown. Dissection of the gene regulatory networks involved in vulval patterning and differentiation would help us understand how cells generate a spatially defined pattern of cell fates during organogenesis. We disrupted the activity of 508 transcription factors via RNAi and assayed the expression of ceh-2, a marker for vulB fate during the L4 stage. From this screen, we identified the tailless ortholog nhr-67 as a novel regulator of gene expression in multiple vulval cell types. We find that one way in which nhr-67 maintains cell identity is by restricting inappropriate cell fusion events in specific vulval cells, namely vulE and vulF. nhr-67 exhibits a dynamic expression pattern in the vulval cells and interacts with three other transcriptional regulators cog-1 (Nkx6.1/6.2), lin-11 (LIM), and egl-38 (Pax2/5/8) to generate the composite expression patterns of their downstream targets. We provide evidence that egl-38 regulates gene expression in vulB1, vulC, vulD, vulE, as well as vulF cells. We demonstrate that the pairwise interactions between these regulatory genes are complex and vary among the seven cell types. We also discovered a striking regulatory circuit that affects a subset of the vulval lineages: cog-1 and nhr-67 inhibit both one another and themselves. We postulate that the differential levels and combinatorial patterns of lin-11, cog-1, and nhr-67 expression are a part of a regulatory code for the mature vulval cell types. PMID:17465684
Efficient generation of holographic news ticker in holographic 3DTV
NASA Astrophysics Data System (ADS)
Kim, Seung-Cheol; Kim, Eun-Soo
2009-08-01
News ticker is used to show breaking news or news headlines in conventional 2-D broadcasting system. For the case of the breaking news, the fast creation is need, because the information should be sent quickly. In addition, if holographic 3- D broadcasting system is started in the future, news ticker will remain. On the other hands, some approaches for generation of CGH patterns have been suggested like the ray-tracing method and look-up table (LUT) method. However, these methods have some drawbacks that needs much time or needs huge memory size for look-up table. Recently, a novel LUT (N-LUT) method for fast generation of CGH patterns of 3-D objects with a dramatically reduced LUT without the loss of computational speed was proposed. Therefore, we proposed the method to efficiently generate the holographic news ticker in holographic 3DTV or 3-D movies using N-LUT method. The proposed method is largely consisted of five steps: construction of the LUT for each character, extraction of characters in news ticker, generation and shift of the CGH pattern for news ticker using the LUT for each character, composition of hologram pattern for 3-D video and hologram pattern for news ticker and reconstruct the holographic 3D video with news ticker. To confirm the proposed method, moving car in front of the castle is used as a 3D video and the words 'HOLOGRAM CAPTION GENERATOR' is used as a news ticker. From this simulation results confirmed the feasibility of the proposed method in fast generation of CGH patterns for holographic captions.
Emergent Archetype Hydrological-Biogeochemical Response Patterns in Heterogeneous Catchments
NASA Astrophysics Data System (ADS)
Jawitz, J. W.; Gall, H. E.; Rao, P.
2013-12-01
What can spatiotemporally integrated patterns observed in stream hydrologic and biogeochemical signals generated in response to transient hydro-climatic and anthropogenic forcing tell us about the interactions between spatially heterogeneous soil-mediated hydrological and biogeochemical processes? We seek to understand how the spatial structure of solute sources coupled with hydrologic responses affect observed concentration-discharge (C-Q) patterns. These patterns are expressions of the spatiotemporal structure of solute loads exported from managed catchments, and their likely ecological consequences manifested in receiving water bodies (e.g., wetlands, rivers, lakes, and coastal waters). We investigated the following broad questions: (1) How does the correlation between flow-generating areas and biogeochemical source areas across a catchment evolve under stochastic hydro-climatic forcing? (2) What are the feasible hydrologic and biogeochemical responses that lead to the emergence of the observed archetype C-Q patterns? and; (3) What implications do these coupled dynamics have for catchment monitoring and implementation of management practices? We categorize the observed temporal signals into three archetypical C-Q patterns: dilution; accretion, and constant concentration. We introduce a parsimonious stochastic model of heterogeneous catchments, which act as hydrologic and biogeochemical filters, to examine the relationship between spatial heterogeneity and temporal history of solute export signals. The core concept of the modeling framework is considering the types and degree of spatial correlation between solute source zones and flow generating zones, and activation of different portions of the catchments during rainfall events. Our overarching hypothesis is that each of the archetype C-Q patterns can be generated by explicitly linking landscape-scale hydrologic responses and spatial distributions of solute source properties within a catchment. The model
Physical Interactions Involving Preschoolers and Kindergartners in a Childcare Center
ERIC Educational Resources Information Center
Fleck, Bethany; Chavajay, Pablo
2009-01-01
This naturalistic observational study described the similarities and differences in physical interactions involving preschoolers and kindergartners within the context of a US childcare facility. It examined patterns of touch involving the children across center and circle activities within the course of their day. Results indicated that…
Wu, Hsiao-Huei; Choi, Sera; Levitt, Pat
2016-01-01
Introduction Serotonin (5-HT) is an important neuromodulator, but recently has been shown to be involved in neurodevelopment. Although previous studies have demonstrated that the placenta is a major source of forebrain 5-HT during early forebrain development, the processes of how 5-HT production, metabolism, and transport from placenta to fetus are regulated are unknown. As an initial step in determining the mechanisms involved, we investigated the expression patterns of genes critical for 5-HT system function in mouse extraembryonic tissues. Methods Mid- through late gestation expression of 5-HT system-related enzymes, Tph1, Ddc, Maoa, and 5-HT transporters, Sert/Slc6a4, Oct3/Slc22a3, Vmat2/Slc18a2, and 5-HT in placenta and yolk sac were examined, with cell type-specific resolution, using multiplex fluorescent in situ hybridization to co-localize transcripts and immunocytochemistry to co-localize the corresponding proteins and neurotransmitter. Results Tph1 and Ddc are found in the syncytiotrophoblast I (SynT-I) and sinusoidal trophoblast giant cells (S-TGC), whereas Maoa is expressed in SynT-I, syncytiotrophoblast II (SynT-II) and S-TGC. Oct3 expression is observed in the SynT-II only, while Vmat2 is mainly expressed in S-TGC. Surprisingly, there were comparatively high expression of Tph1, Ddc, and Maoa in the yolk sac visceral endoderm. Discussion In addition to trophoblast cells, visceral endoderm cells in the yolk sac may contribute to fetal 5-HT production. The findings raise the possibility of a more complex regulation of 5-HT access to the fetus through the differential roles of trophoblasts that surround maternal and fetal blood space and of yolk sac endoderm prior to normal degeneration. PMID:27238716
Hybrid strategies for nanolithography and chemical patterning
NASA Astrophysics Data System (ADS)
Srinivasan, Charan
Remarkable technological advances in photolithography have extended patterning to the sub-50-nm regime. However, because photolithography is a top-down approach, it faces substantial technological and economic challenges in maintaining the downward scaling trends of feature sizes below 30 nm. Concurrently, fundamental research on chemical self-assembly has enabled the path to access molecular length scales. The key to the success of photolithography is its inherent economies of scale, which justify the large capital investment for its implementation. In this thesis research, top-down and bottom-up approaches have been combined synergistically, and these hybrid strategies have been employed in applications that do not have the economies of scale found in semiconductor chip manufacturing. The specific instances of techniques developed here include molecular-ruler lithography and a series of nanoscale chemical patterning methods. Molecular-ruler lithography utilizes self-assembled multilayered films as a sidewall spacer on initial photolithographically patterned gold features (parent) to place a second-generation feature (daughter) in precise proximity to the parent. The parent-daughter separation, which is on the nanometer length scale, is defined by the thickness of the molecular-ruler resist. Analogous to protocols followed in industry to evaluate lithographic performance, electrical test-pad structures were designed to interrogate the nanostructures patterned by molecular-ruler nanolithography, failure modes creating electrical shorts were mapped to each lithographic step, and subsequent lithographic optimization was performed to pattern nanoscale devices with excellent electrical performance. The optimized lithographic processes were applied to generate nanoscale devices such as nanowires and thin-film transistors (TFTs). Metallic nanowires were patterned by depositing a tertiary generation material in the nanogap and surrounding micron-scale regions, and then
Real-time biomimetic Central Pattern Generators in an FPGA for hybrid experiments
Ambroise, Matthieu; Levi, Timothée; Joucla, Sébastien; Yvert, Blaise; Saïghi, Sylvain
2013-01-01
This investigation of the leech heartbeat neural network system led to the development of a low resources, real-time, biomimetic digital hardware for use in hybrid experiments. The leech heartbeat neural network is one of the simplest central pattern generators (CPG). In biology, CPG provide the rhythmic bursts of spikes that form the basis for all muscle contraction orders (heartbeat) and locomotion (walking, running, etc.). The leech neural network system was previously investigated and this CPG formalized in the Hodgkin–Huxley neural model (HH), the most complex devised to date. However, the resources required for a neural model are proportional to its complexity. In response to this issue, this article describes a biomimetic implementation of a network of 240 CPGs in an FPGA (Field Programmable Gate Array), using a simple model (Izhikevich) and proposes a new synapse model: activity-dependent depression synapse. The network implementation architecture operates on a single computation core. This digital system works in real-time, requires few resources, and has the same bursting activity behavior as the complex model. The implementation of this CPG was initially validated by comparing it with a simulation of the complex model. Its activity was then matched with pharmacological data from the rat spinal cord activity. This digital system opens the way for future hybrid experiments and represents an important step toward hybridization of biological tissue and artificial neural networks. This CPG network is also likely to be useful for mimicking the locomotion activity of various animals and developing hybrid experiments for neuroprosthesis development. PMID:24319408
Patterns of Generative Discourse in Online Discussions during the Field Experience
ERIC Educational Resources Information Center
Lafferty, Karen Elizabeth; Kopcha, Theodore J.
2016-01-01
This study examined how online discussion of the classroom challenges that preservice teachers face during the field experience can lead to problem solving and knowledge generation. Drawing upon Horn and Little's (2010) descriptions of generative discourse, the study examined how a community of preservice teachers, their university supervisors,…
Pratt, M W; Danso, H A; Arnold, M L; Norris, J E; Filyer, R
2001-02-01
Mothers, fathers, and their adolescent children participated in two studies investigating the relations between Erikson's concept of generativityin adulthood and patterns of parenting. Study 1 involved 77 mothers and 48 fathers of 1st-year university students; Study 2 was part of an investigation of socialization processes in 35 families with an adolescent, aged 14-18. Parental generative concern was assessed by the Loyola Generativity Scale (LGS) of McAdams and de St. Aubin (1992) in each study. In both studies, mothers demonstrated positive relations between scores on the LGS and an authoritative style of parenting, as well as between generativity and more positive, optimistic views of adolescent development. In Study 2, these more positive views in turn mediated some aspects of autonomy-fostering practices used with the adolescent. Variations in fathers' levels of generative concern were less consistently related to these indices of parenting, however.
Rhinn, Muriel; Schuhbaur, Brigitte; Niederreither, Karen; Dollé, Pascal
2011-01-01
Retinoic acid (RA), an active vitamin A metabolite, is a key signaling molecule in vertebrate embryos. Morphogenetic RA gradients are thought to be set up by tissue-specific actions of retinaldehyde dehydrogenases (RALDHs) and catabolizing enzymes. According to the species, two enzymatic pathways (β-carotene cleavage and retinol oxidation) generate retinaldehyde, the substrate of RALDHs. Placental species depend on maternal retinol transferred to the embryo. The retinol-to-retinaldehyde conversion was thought to be achieved by several redundant enzymes; however, a random mutagenesis screen identified retinol dehydrogenase 10 [Rdh10Trex allele; Sandell LL, et al. (2007) Genes Dev 21:1113–1124] as responsible for a homozygous lethal phenotype with features of RA deficiency. We report here the production and characterization of unique murine Rdh10 loss-of-function alleles generated by gene targeting. We show that although Rdh10−/− mutants die at an earlier stage than Rdh10Trex mutants, their molecular patterning defects do not reflect a complete state of RA deficiency. Furthermore, we were able to correct most developmental abnormalities by administering retinaldehyde to pregnant mothers, thereby obtaining viable Rdh10−/− mutants. This demonstrates the rescue of an embryonic lethal phenotype by simple maternal administration of the missing retinoid compound. These results underscore the importance of maternal retinoids in preventing congenital birth defects, and lead to a revised model of the importance of RDH10 and RALDHs in controlling embryonic RA distribution. PMID:21930923
Annoni, J.; Pegna, A.
1997-01-01
OBJECTIVE—To test the hypothesis that, during random motor generation, the spatial contingencies inherent to the task would induce additional preferences in normal subjects, shifting their performances farther from randomness. By contrast, perceptual or executive dysfunction could alter these task related biases in patients with brain damage. METHODS—Two groups of patients, with right and left focal brain lesions, as well as 25 right handed subjects matched for age and handedness were asked to execute a random choice motor task—namely, to generate a random series of 180 button presses from a set of 10 keys placed vertically in front of them. RESULTS—In the control group, as in the left brain lesion group, motor generation was subject to deviations from theoretical expected randomness, similar to those when numbers are generated mentally, as immediate repetitions (successive presses on the same key) are avoided. However, the distribution of button presses was also contingent on the topographic disposition of the keys: the central keys were chosen more often than those placed at extreme positions. Small distances were favoured, particularly with the left hand. These patterns were influenced by implicit strategies and task related contingencies. By contrast, right brain lesion patients with frontal involvement tended to show a more square distribution of key presses—that is, the number of key presses tended to be more equally distributed. The strategies were also altered by brain lesions: the number of immediate repetitions was more frequent when the lesion involved the right frontal areas yielding a random generation nearer to expected theoretical randomness. The frequency of adjacent key presses was increased by right anterior and left posterior cortical as well as by right subcortical lesions, but decreased by left subcortical lesions. CONCLUSIONS—Depending on the side of the lesion and the degree of cortical-subcortical involvement, the
Stochastic nonlinear dynamics pattern formation and growth models
Yaroslavsky, Leonid P
2007-01-01
Stochastic evolutionary growth and pattern formation models are treated in a unified way in terms of algorithmic models of nonlinear dynamic systems with feedback built of a standard set of signal processing units. A number of concrete models is described and illustrated by numerous examples of artificially generated patterns that closely imitate wide variety of patterns found in the nature. PMID:17908341
Dynamic Rainfall Patterns and the Simulation of Changing Scenarios: A behavioral watershed response
NASA Astrophysics Data System (ADS)
Chu, M.; Guzman, J.; Steiner, J. L.; Hou, C.; Moriasi, D.
2015-12-01
Rainfall is one of the fundamental drivers that control hydrologic responses including runoff production and transport phenomena that consequently drive changes in aquatic ecosystems. Quantifying the hydrologic responses to changing scenarios (e.g., climate, land use, and management) using environmental models requires a realistic representation of probable rainfall in its most sensible spatio-temporal dimensions matching that of the phenomenon under investigation. Downscaling projected rainfall from global circulation models (GCMs) is the most common practice in deriving rainfall datasets to be used as main inputs to hydrologic models which in turn are used to assess the impacts of climate changes on ecosystems. Downscaling assumes that local climate is a combination of large-scale climatic/atmospheric conditions and local conditions. However, the representation of the latter is generally beyond the capacity of current GCMs. The main objective of this study was to develop and implement a synthetic rainfall generator to downscale expected rainfall trends to 1 x 1 km rainfall daily patterns that mimic the dynamic propagation of probability distribution functions (pdf) derived from historic rainfall data (rain-gauge or radar estimated). Future projections were determined based on actual and expected changes in the pdf and stochastic processes to account for variability. Watershed responses in terms of streamflow and nutrients loads were evaluated using synthetically generated rainfall patterns and actual data. The framework developed in this study will allow practitioners to generate rainfall datasets that mimic the temporal and spatial patterns exclusive to their study area under full disclosure of the uncertainties involved. This is expected to provide significantly more accurate environmental models than is currently available and would provide practitioners with ways to evaluate the spectrum of systemic responses to changing scenarios.
Religious Homogamy and Marital Quality: Historical and Generational Patterns, 1980-1997
ERIC Educational Resources Information Center
Myers, Scott M.
2006-01-01
Despite significant social changes in the past 50 years, research continues to find a strong and enduring link between religious homogamy and marital quality. Yet, research has not explicitly examined whether this link has changed over time or over generations. To address historical and generational trends, I use national, longitudinal data…
Curtin, Shaun J; Xiong, Yer; Michno, Jean-Michel; Campbell, Benjamin W; Stec, Adrian O; Čermák, Tomas; Starker, Colby; Voytas, Daniel F; Eamens, Andrew L; Stupar, Robert M
2018-06-01
Processing of double-stranded RNA precursors into small RNAs is an essential regulator of gene expression in plant development and stress response. Small RNA processing requires the combined activity of a functionally diverse group of molecular components. However, in most of the plant species, there are insufficient mutant resources to functionally characterize each encoding gene. Here, mutations in loci encoding protein machinery involved in small RNA processing in soya bean and Medicago truncatula were generated using the CRISPR/Cas9 and TAL-effector nuclease (TALEN) mutagenesis platforms. An efficient CRISPR/Cas9 reagent was used to create a bi-allelic double mutant for the two soya bean paralogous Double-stranded RNA-binding2 (GmDrb2a and GmDrb2b) genes. These mutations, along with a CRISPR/Cas9-generated mutation of the M. truncatula Hua enhancer1 (MtHen1) gene, were determined to be germ-line transmissible. Furthermore, TALENs were used to generate a mutation within the soya bean Dicer-like2 gene. CRISPR/Cas9 mutagenesis of the soya bean Dicer-like3 gene and the GmHen1a gene was observed in the T 0 generation, but these mutations failed to transmit to the T 1 generation. The irregular transmission of induced mutations and the corresponding transgenes was investigated by whole-genome sequencing to reveal a spectrum of non-germ-line-targeted mutations and multiple transgene insertion events. Finally, a suite of combinatorial mutant plants were generated by combining the previously reported Gmdcl1a, Gmdcl1b and Gmdcl4b mutants with the Gmdrb2ab double mutant. Altogether, this study demonstrates the synergistic use of different genome engineering platforms to generate a collection of useful mutant plant lines for future study of small RNA processing in legume crops. © 2017 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.
Peter, Isabelle S.; Davidson, Eric H.
2014-01-01
The development of multicellular organisms involves the partitioning of the organism into territories of cells of specific structure and function. The information for spatial patterning processes is directly encoded in the genome. The genome determines its own usage depending on stage and position, by means of interactions that constitute gene regulatory networks (GRNs). The GRN driving endomesoderm development in sea urchin embryos illustrates different regulatory strategies by which developmental programs are initiated, orchestrated, stabilized or excluded to define the pattern of specified territories in the developing embryo. PMID:19378258
Patterns of motor activity in the isolated nerve cord of the octopus arm.
Gutfreund, Yoram; Matzner, Henry; Flash, Tamar; Hochner, Binyamin
2006-12-01
The extremely flexible octopus arm provides a unique opportunity for studying movement control in a highly redundant motor system. We describe a novel preparation that allows analysis of the peripheral nervous system of the octopus arm and its interaction with the muscular and mechanosensory elements of the arm's intrinsic muscular system. First we examined the synaptic responses in muscle fibers to identify the motor pathways from the axial nerve cord of the arm to the surrounding musculature. We show that the motor axons project to the muscles via nerve roots originating laterally from the arm nerve cord. The motor field of each nerve is limited to the region where the nerve enters the arm musculature. The same roots also carry afferent mechanosensory information from the intrinsic muscle to the axial nerve cord. Next, we characterized the pattern of activity generated in the dorsal roots by electrically stimulating the axial nerve cord. The evoked activity, although far reaching and long lasting, cannot alone account for the arm extension movements generated by similar electrical stimulation. The mismatch between patterns of activity in the isolated cord and in an intact arm may stem from the involvement of mechanosensory feedback in natural arm extension.
Schrade, Stefan O; Nager, Yannik; Wu, Amy R; Gassert, Roger; Ijspeert, Auke
2017-07-01
Robotic lower limb exoskeletons are becoming increasingly popular in therapy and recreational use. However, most exoskeletons are still rather limited in their locomotion speed and the activities of daily live they can perform. Furthermore, they typically do not allow for a dynamic adaptation to the environment, as they are often controlled with predefined reference trajectories. Inspired by human leg stiffness modulation during walking, variable stiffness actuators increase flexibility without the need for more complex controllers. Actuation with adaptable stiffness is inspired by the human leg stiffness modulation during walking. However, this actuation principle also introduces the stiffness setpoint as an additional degree of freedom that needs to be coordinated with the joint trajectories. As a potential solution to this issue a bio-inspired controller based on a central pattern generator (CPG) is presented in this work. It generates coordinated joint torques and knee stiffness modulations to produce flexible and dynamic gait patterns for an exoskeleton with variable knee stiffness actuation. The CPG controller is evaluated and optimized in simulation using a model of the exoskeleton. The CPG controller produced stable and smooth gait for walking speeds from 0.4 m/s up to 1.57 m/s with a torso stabilizing force that simulated the use of crutches, which are commonly needed by exoskeleton users. Through the CPG, the knee stiffness intrinsically adapted to the frequency and phase of the gait, when the speed was changed. Additionally, it adjusted to changes in the environment in the form of uneven terrain by reacting to ground contact forces. This could allow future exoskeletons to be more adaptive to various environments, thus making ambulation more robust.
Human spinal locomotor control is based on flexibly organized burst generators.
Danner, Simon M; Hofstoetter, Ursula S; Freundl, Brigitta; Binder, Heinrich; Mayr, Winfried; Rattay, Frank; Minassian, Karen
2015-03-01
Constant drive provided to the human lumbar spinal cord by epidural electrical stimulation can cause local neural circuits to generate rhythmic motor outputs to lower limb muscles in people paralysed by spinal cord injury. Epidural spinal cord stimulation thus allows the study of spinal rhythm and pattern generating circuits without their configuration by volitional motor tasks or task-specific peripheral feedback. To reveal spinal locomotor control principles, we studied the repertoire of rhythmic patterns that can be generated by the functionally isolated human lumbar spinal cord, detected as electromyographic activity from the legs, and investigated basic temporal components shared across these patterns. Ten subjects with chronic, motor-complete spinal cord injury were studied. Surface electromyographic responses to lumbar spinal cord stimulation were collected from quadriceps, hamstrings, tibialis anterior, and triceps surae in the supine position. From these data, 10-s segments of rhythmic activity present in the four muscle groups of one limb were extracted. Such samples were found in seven subjects. Physiologically adequate cycle durations and relative extension- and flexion-phase durations similar to those needed for locomotion were generated. The multi-muscle activation patterns exhibited a variety of coactivation, mixed-synergy and locomotor-like configurations. Statistical decomposition of the electromyographic data across subjects, muscles and samples of rhythmic patterns identified three common temporal components, i.e. basic or shared activation patterns. Two of these basic patterns controlled muscles to contract either synchronously or alternatingly during extension- and flexion-like phases. The third basic pattern contributed to the observed muscle activities independently from these extensor- and flexor-related basic patterns. Each bifunctional muscle group was able to express both extensor- and flexor-patterns, with variable ratios across the
Molecules and mechanisms involved in the generation and migration of cortical interneurons
Hernández-Miranda, Luis R; Parnavelas, John G; Chiara, Francesca
2010-01-01
The GABA (γ-aminobutyric acid)-containing interneurons of the neocortex are largely derived from the ganglionic eminences in the subpallium. Numerous studies have previously defined the migratory paths travelled by these neurons from their origins to their destinations in the cortex. We review here results of studies that have identified many of the genes expressed in the subpallium that are involved in the specification of the subtypes of cortical interneurons, and the numerous transcription factors, motogenic factors and guidance molecules that are involved in their migration. PMID:20360946
ERIC Educational Resources Information Center
Vieira, Margarida Baganha
2017-01-01
The number of first-generation students entering higher education has increased over the years. Unfortunately, their retention rates are lower than their peers (Cahalan & Perna, 2015; Robb et al., 2012). The Portuguese comprise the largest immigrant population in southern New England, many of whom are first-generation students (MAPS, 2016).…
Breathing and Singing: Objective Characterization of Breathing Patterns in Classical Singers
Salomoni, Sauro; van den Hoorn, Wolbert; Hodges, Paul
2016-01-01
Singing involves distinct respiratory kinematics (i.e. movements of rib cage and abdomen) to quiet breathing because of different demands on the respiratory system. Professional classical singers often advocate for the advantages of an active control of the abdomen on singing performance. This is presumed to prevent shortening of the diaphragm, elevate the rib cage, and thus promote efficient generation of subglottal pressure during phonation. However, few studies have investigated these patterns quantitatively and inter-subject variability has hindered the identification of stereotypical patterns of respiratory kinematics. Here, seven professional classical singers and four untrained individuals were assessed during quiet breathing, and when singing both a standard song and a piece of choice. Several parameters were extracted from respiratory kinematics and airflow, and principal component analysis was used to identify typical patterns of respiratory kinematics. No group differences were observed during quiet breathing. During singing, both groups adapted to rhythmical constraints with decreased time of inspiration and increased peak airflow. In contrast to untrained individuals, classical singers used greater percentage of abdominal contribution to lung volume during singing and greater asynchrony between movements of rib cage and abdomen. Classical singers substantially altered the coordination of rib cage and abdomen during singing from that used for quiet breathing. Despite variations between participants, principal component analysis revealed consistent pre-phonatory inward movements of the abdominal wall during singing. This contrasted with untrained individuals, who demonstrated synchronous respiratory movements during all tasks. The inward abdominal movements observed in classical singers elevates intra-abdominal pressure and may increase the length and the pressure-generating capacity of rib cage expiratory muscles for potential improvements in voice
Breathing and Singing: Objective Characterization of Breathing Patterns in Classical Singers.
Salomoni, Sauro; van den Hoorn, Wolbert; Hodges, Paul
2016-01-01
Singing involves distinct respiratory kinematics (i.e. movements of rib cage and abdomen) to quiet breathing because of different demands on the respiratory system. Professional classical singers often advocate for the advantages of an active control of the abdomen on singing performance. This is presumed to prevent shortening of the diaphragm, elevate the rib cage, and thus promote efficient generation of subglottal pressure during phonation. However, few studies have investigated these patterns quantitatively and inter-subject variability has hindered the identification of stereotypical patterns of respiratory kinematics. Here, seven professional classical singers and four untrained individuals were assessed during quiet breathing, and when singing both a standard song and a piece of choice. Several parameters were extracted from respiratory kinematics and airflow, and principal component analysis was used to identify typical patterns of respiratory kinematics. No group differences were observed during quiet breathing. During singing, both groups adapted to rhythmical constraints with decreased time of inspiration and increased peak airflow. In contrast to untrained individuals, classical singers used greater percentage of abdominal contribution to lung volume during singing and greater asynchrony between movements of rib cage and abdomen. Classical singers substantially altered the coordination of rib cage and abdomen during singing from that used for quiet breathing. Despite variations between participants, principal component analysis revealed consistent pre-phonatory inward movements of the abdominal wall during singing. This contrasted with untrained individuals, who demonstrated synchronous respiratory movements during all tasks. The inward abdominal movements observed in classical singers elevates intra-abdominal pressure and may increase the length and the pressure-generating capacity of rib cage expiratory muscles for potential improvements in voice
Sénécal, Karine; Thys, Kristof; Vears, Danya F; Van Assche, Kristof; Knoppers, Bartha M; Borry, Pascal
2016-01-01
The development of next-generation sequencing (NGS) technologies are revolutionizing medical practice, facilitating more accurate, sophisticated and cost-effective genetic testing. NGS is already being implemented in the clinic assisting diagnosis and management of disorders with a strong heritable component. Although considerable attention has been paid to issues regarding return of incidental or secondary findings, matters of consent are less well explored. This is particularly important for the use of NGS in minors. Recent guidelines addressing genomic testing and screening of children and adolescents have suggested that as ‘young children' lack decision-making capacity, decisions about testing must be conducted by a surrogate, namely their parents. This prompts consideration of the age at which minors can provide lawful consent to health-care interventions, and consequently NGS performed for diagnostic purposes. Here, we describe the existing legal approaches regarding the rights of minors to consent to health-care interventions, including how laws in the 28 Member States of the European Union and in Canada consider competent minors, and then apply this to the context of NGS. There is considerable variation in the rights afforded to minors across countries. Many legal systems determine that minors would be allowed, or may even be required, to make decisions about interventions such as NGS. However, minors are often considered as one single homogeneous population who always require parental consent, rather than recognizing there are different categories of ‘minors' and that capacity to consent or to be involved in discussions and decision-making process is a spectrum rather than a hurdle. PMID:27302841
Sénécal, Karine; Thys, Kristof; Vears, Danya F; Van Assche, Kristof; Knoppers, Bartha M; Borry, Pascal
2016-11-01
The development of next-generation sequencing (NGS) technologies are revolutionizing medical practice, facilitating more accurate, sophisticated and cost-effective genetic testing. NGS is already being implemented in the clinic assisting diagnosis and management of disorders with a strong heritable component. Although considerable attention has been paid to issues regarding return of incidental or secondary findings, matters of consent are less well explored. This is particularly important for the use of NGS in minors. Recent guidelines addressing genomic testing and screening of children and adolescents have suggested that as 'young children' lack decision-making capacity, decisions about testing must be conducted by a surrogate, namely their parents. This prompts consideration of the age at which minors can provide lawful consent to health-care interventions, and consequently NGS performed for diagnostic purposes. Here, we describe the existing legal approaches regarding the rights of minors to consent to health-care interventions, including how laws in the 28 Member States of the European Union and in Canada consider competent minors, and then apply this to the context of NGS. There is considerable variation in the rights afforded to minors across countries. Many legal systems determine that minors would be allowed, or may even be required, to make decisions about interventions such as NGS. However, minors are often considered as one single homogeneous population who always require parental consent, rather than recognizing there are different categories of 'minors' and that capacity to consent or to be involved in discussions and decision-making process is a spectrum rather than a hurdle.
Gayman, Amy M; Fraser-Thomas, Jessica; Spinney, Jamie E L; Stone, Rachael C; Baker, Joseph
2017-01-01
Given the dramatic demographic change underway in most industrialized nations, the health of older adults is a major concern, particularly given the prevalence of sedentary behaviours and physical inactivity among ageing populations. Researchers have suggested sport participation in later life promotes other health-related behaviours, however, these relationships are poorly understood. It is possible for individuals to be classified as sufficiently active and still spend most of their day involved in sedentary pursuits. Moreover, there is little information on older sport participants' use of time compared to leisurely active or inactive peers and whether type of physical activity involvement is associated with differences in older adults' behaviour patterns. With this in mind, data from 1,723 respondents (65 years and older) who completed the sport module of the 2010 Canadian General Social Survey-Time Use were used to investigate the influence of physical activity involvement (competitive sport vs. non-competitive sport vs. physically active leisure vs. inactivity) on time spent in leisure-time physical activity and sedentary behaviours. Results indicated that competitive sport participants spent less time engaging in sedentary behaviours compared to the physically active leisure or inactive respondents; however, sport participants (both competitive and non-competitive) also spent less time engaging in leisure-time physical activities than the physically active leisure group. Implications of these findings to assumptions related to the activity levels of older sport participants, suggestions for future research, and considerations for sport-related interventions aimed at enhancing health in older adulthood are discussed.
Rominger, Christian; Papousek, Ilona; Perchtold, Corinna M; Weber, Bernhard; Weiss, Elisabeth M; Fink, Andreas
2018-02-13
This study investigated EEG activity in the upper alpha band during the well-known Picture Completion Task of the Torrance Test of Creative Thinking (TTCT), a widely used creative ideation task in the figural domain. The application of a sophisticated computerized version of the TTCT facilitating the online assessment and digitalizing of participant's drawings allowed to separate two central stages of the creative ideation process (i.e., idea generation and idea elaboration). During idea generation, the participants' task was to generate an initial draft of an original and creative completion of the presented abstract lines and figures of the TTCT. During idea elaboration, the participants were required to mentally improve the originality of the initially generated idea/draft. Creative ideation in this figural task was generally associated with comparatively strong desynchronization of upper alpha power over parietal and occipital sites, indicating high visual/figural processing demands. Interestingly, the stage of idea elaboration was accompanied by a relative increase of upper alpha power at parietal and occipital sites compared to the stage of idea generation, indicating heightened top-down processing demands. Furthermore, task performance was associated with relative increases of upper alpha power at frontal sites and relative decreases at centro-temporal sites from the stage of idea generation to idea elaboration. This association suggests the importance of increased inhibitory control over stimulus-based bottom-up information and motor imagery in order to achieve more creative outputs. Taken together these findings add to the relevant literature in that they a) extend research on the relationship between EEG alpha activity and creativity to the figural domain, and b) support a multistage view of creative ideation, involving cognitive control and mental imagery as important components of creativity. Copyright © 2018 Elsevier Ltd. All rights reserved.
Migliori, Amy D; Smith, Douglas E; Arya, Gaurav
2014-12-12
Many viruses utilize molecular motors to package their genomes into preformed capsids. A striking feature of these motors is their ability to generate large forces to drive DNA translocation against entropic, electrostatic, and bending forces resisting DNA confinement. A model based on recently resolved structures of the bacteriophage T4 motor protein gp17 suggests that this motor generates large forces by undergoing a conformational change from an extended to a compact state. This transition is proposed to be driven by electrostatic interactions between complementarily charged residues across the interface between the N- and C-terminal domains of gp17. Here we use atomistic molecular dynamics simulations to investigate in detail the molecular interactions and residues involved in such a compaction transition of gp17. We find that although electrostatic interactions between charged residues contribute significantly to the overall free energy change of compaction, interactions mediated by the uncharged residues are equally if not more important. We identify five charged residues and six uncharged residues at the interface that play a dominant role in the compaction transition and also reveal salt bridging, van der Waals, and solvent hydrogen-bonding interactions mediated by these residues in stabilizing the compact form of gp17. The formation of a salt bridge between Glu309 and Arg494 is found to be particularly crucial, consistent with experiments showing complete abrogation in packaging upon Glu309Lys mutation. The computed contributions of several other residues are also found to correlate well with single-molecule measurements of impairments in DNA translocation activity caused by site-directed mutations. Copyright © 2014 Elsevier Ltd. All rights reserved.
Automatic two- and three-dimensional mesh generation based on fuzzy knowledge processing
NASA Astrophysics Data System (ADS)
Yagawa, G.; Yoshimura, S.; Soneda, N.; Nakao, K.
1992-09-01
This paper describes the development of a novel automatic FEM mesh generation algorithm based on the fuzzy knowledge processing technique. A number of local nodal patterns are stored in a nodal pattern database of the mesh generation system. These nodal patterns are determined a priori based on certain theories or past experience of experts of FEM analyses. For example, such human experts can determine certain nodal patterns suitable for stress concentration analyses of cracks, corners, holes and so on. Each nodal pattern possesses a membership function and a procedure of node placement according to this function. In the cases of the nodal patterns for stress concentration regions, the membership function which is utilized in the fuzzy knowledge processing has two meanings, i.e. the “closeness” of nodal location to each stress concentration field as well as “nodal density”. This is attributed to the fact that a denser nodal pattern is required near a stress concentration field. What a user has to do in a practical mesh generation process are to choose several local nodal patterns properly and to designate the maximum nodal density of each pattern. After those simple operations by the user, the system places the chosen nodal patterns automatically in an analysis domain and on its boundary, and connects them smoothly by the fuzzy knowledge processing technique. Then triangular or tetrahedral elements are generated by means of the advancing front method. The key issue of the present algorithm is an easy control of complex two- or three-dimensional nodal density distribution by means of the fuzzy knowledge processing technique. To demonstrate fundamental performances of the present algorithm, a prototype system was constructed with one of object-oriented languages, Smalltalk-80 on a 32-bit microcomputer, Macintosh II. The mesh generation of several two- and three-dimensional domains with cracks, holes and junctions was presented as examples.
Characteristics of tuberculosis patients who generate secondary cases.
Rodrigo, T; Caylà, J A; García de Olalla, P; Galdós-Tangüis, H; Jansà, J M; Miranda, P; Brugal, T
1997-08-01
To determine the characteristics of smear positive tuberculosis (TB) patients who generate secondary TB cases. Those smear positive TB patients detected by the Barcelona Tuberculosis Program between 1990-1993, and for whom contact studies had been performed, were studied. We analyzed the predictive role of the variables: age, sex, intravenous drug use (IVDU), the presence of the acquired immune deficiency syndrome (AIDS), human immunodeficiency virus (HIV) infection, radiology pattern, district of residence, history of imprisonment, alcoholism, smoking, history of TB, treatment compliance and the number of secondary cases generated. Statistical analysis was based on the logistic regression model, calculating the odds ratios (OR) with 95% confidence intervals (CI). Of the 1079 patients studied, 78 (7.2%) had generated only one secondary case, and 30 (2.8%) two or more. The variables associated with generating two or more secondary cases were: IVDU (P < 0.001; OR = 4.06; CI: 1.80-9.15), cavitary radiology pattern (P = 0.002; OR = 3.69; CI: 1.62-8.43), and age (P = 0.016; OR = 0.98; CI: 0.96-0.99). When we examined those who had generated one or more secondary cases, the following variables were significant: IVDU (P = 0.043; OR = 1.75; CI: 1.02-3.02), cavitary radiology pattern (P < 0.001; OR = 3.07; CI: 1.98-4.77) and age (P < 0.001; OR = 0.98; CI: 0.97-0.99). The study of the contacts of smear positive TB patients allows us to detect an important number of secondary cases. Young adults, those with cavitary radiology pattern, and IVDU are more likely to generate secondary cases.
Patterning by area selective oxidation
Nam, Chang-Yong; Kamcev, Jovan; Black, Charles T.; Grubbs, Robert
2015-12-29
Technologies are described for methods for producing a pattern of a material on a substrate. The methods may comprise receiving a patterned block copolymer on a substrate. The patterned block copolymer may include a first polymer block domain and a second polymer block domain. The method may comprise exposing the patterned block copolymer to a light effective to oxidize the first polymer block domain in the patterned block copolymer. The method may comprise applying a precursor to the block copolymer. The precursor may infuse into the oxidized first polymer block domain and generate the material. The method may comprise applying a removal agent to the block copolymer. The removal agent may be effective to remove the first polymer block domain and the second polymer block domain from the substrate, and may not be effective to remove the material in the oxidized first polymer block domain.
Motion patterns in acupuncture needle manipulation.
Seo, Yoonjeong; Lee, In-Seon; Jung, Won-Mo; Ryu, Ho-Sun; Lim, Jinwoong; Ryu, Yeon-Hee; Kang, Jung-Won; Chae, Younbyoung
2014-10-01
In clinical practice, acupuncture manipulation is highly individualised for each practitioner. Before we establish a standard for acupuncture manipulation, it is important to understand completely the manifestations of acupuncture manipulation in the actual clinic. To examine motion patterns during acupuncture manipulation, we generated a fitted model of practitioners' motion patterns and evaluated their consistencies in acupuncture manipulation. Using a motion sensor, we obtained real-time motion data from eight experienced practitioners while they conducted acupuncture manipulation using their own techniques. We calculated the average amplitude and duration of a sampled motion unit for each practitioner and, after normalisation, we generated a true regression curve of motion patterns for each practitioner using a generalised additive mixed modelling (GAMM). We observed significant differences in rotation amplitude and duration in motion samples among practitioners. GAMM showed marked variations in average regression curves of motion patterns among practitioners but there was strong consistency in motion parameters for individual practitioners. The fitted regression model showed that the true regression curve accounted for an average of 50.2% of variance in the motion pattern for each practitioner. Our findings suggest that there is great inter-individual variability between practitioners, but remarkable intra-individual consistency within each practitioner. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://group.bmj.com/group/rights-licensing/permissions.
Generation of kisspeptin-responsive GnRH neurons from human pluripotent stem cells.
Poliandri, Ariel; Miller, Duncan; Howard, Sasha; Nobles, Muriel; Ruiz-Babot, Gerard; Harmer, Stephen; Tinker, Andrew; McKay, Tristan; Guasti, Leonardo; Dunkel, Leo
2017-05-15
GnRH neurons are fundamental for reproduction in all vertebrates, integrating all reproductive inputs. The inaccessibility of human GnRH-neurons has been a major impediment to studying the central control of reproduction and its disorders. Here, we report the efficient generation of kisspeptin responsive GnRH-secreting neurons by directed differentiation of human Embryonic Stem Cells and induced-Pluripotent Stem Cells derived from a Kallman Syndrome patient and a healthy family member. The protocol involves the generation of intermediate Neural Progenitor Cells (NPCs) through long-term Bone morphogenetic protein 4 inhibition, followed by terminal specification of these NPCs in media containing Fibroblast Growth Factor 8 and a NOTCH inhibitor. The resulting GnRH-expressing and -secreting neurons display a neuroendocrine gene expression pattern and present spontaneous calcium transients that can be stimulated by kisspeptin. These in vitro generated GnRH expressing cells provide a new resource for studying the molecular mechanisms underlying the development and function of GnRH neurons. Copyright © 2017 Elsevier B.V. All rights reserved.
Angiographic prevalence and pattern of coronary artery disease in women.
Ezhumalai, Babu; Jayaraman, Balachander
2014-01-01
There are not many studies describing the prevalence and pattern of "coronary artery disease" (CAD) in women undergoing "coronary angiography" (CAG). Hence, uncertainty thrives with regard to the angiographic prevalence and pattern of CAD in women. Our objective was to study the prevalence and pattern of CAD among women undergoing CAG. Data of 500 women who underwent CAG for suspected CAD over 3 years were retrospectively analyzed. They were classified into young group (age < 55 years) and elderly group (age ≥ 55 years). Angiographic profile of "left main disease" (LMD) was also studied. There was greater prevalence of obstructive CAD especially double vessel disease and triple vessel disease in elderly group while normal coronaries were more prevalent in young group. There was equal distribution of non-significant lesions and intermediate lesions between the two groups. The prevalence of LMD is 3.4%, obstructive CAD is 45.4%, and multivessel disease is 28%. The prevalence of LMD and multivessel disease is 31.4%. The pattern of involvement of coronary arteries was same between the two groups; left anterior descending artery is the most commonly affected vessel. Chronic total occlusion mostly involved right coronary artery. Bifurcation lesion involving distal left main coronary artery is the most prevalent pattern of LMD. There has been a change with regard to clinical presentation and onset of risk factors for CAD at young age, but the load of atherosclerotic burden and pattern of involvement of coronary arteries have not changed in women. Copyright © 2014 Cardiological Society of India. Published by Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Lu, Jinying; Ren, Chunxiao; Pan, Yi; Nechitailo, Galina S.; Liu, Min
Lycopene content is a most vital trait of tomatoes due to the role of lycopene in reducing the risk of some kinds of cancers. In this experiment, we gained a high lycopene (hl) tomato (named HY-2), after seven generations of self-cross selection, from seeds Russian MNP-1 carried in Russia MIR space station for six years. HPLC result showed that the lycopene content was 1.6 times more than that in Russian MNP-1 (the wild type). Microarray analysis presented the general profile of differential expressed genes at the tomato developmental stage of 7DPB (days post breaker). One hundred and forty three differential expression genes were identified according to the following criterion: the average changes were no less than 1.5 folds with q-value (similar to FDR) less than 0.05 or changes were no less than 1.5 folds in all three biological replications. Most of the differential expressed genes were mainly involved in metabolism, response to stimulus, biosynthesis, development and regulation. Particularly, we discussed the genes involved in protein metabolism, response to unfolded protein, carotenoid biosynthesis and photosynthesis that might be related to the fruit development and the accumulation of lycopene. What's more, we conducted QRT-PCR validation of five key genes (Fps, CrtL-b, CrtR-b, Zep and Nxs) in the lycopene biosynthesis pathway through time courses and that provided the direct molecular evidence for the hl phenotype. Our results demonstrate that long-term space flight, as a rarely used tool, can positively cause some beneficial mutations in the seeds and thus to help to generate a high quality variety, combined with ground selections.
Distinct Trends of DNA Methylation Patterning in the Innate and Adaptive Immune Systems
Schuyler, Ronald P.; Merkel, Angelika; Raineri, Emanuele; Altucci, Lucia; Vellenga, Edo; Martens, Joost H.A.; Pourfarzad, Farzin; Kuijpers, Taco W.; Burden, Frances; Farrow, Samantha; Downes, Kate; Ouwehand, Willem H.; Clarke, Laura; Datta, Avik; Lowy, Ernesto; Flicek, Paul; Frontini, Mattia; Stunnenberg, Hendrik G.; Martín-Subero, José I.; Gut, Ivo; Heath, Simon
2018-01-01
Summary DNA methylation and the localization and post-translational modification of nucleosomes are interdependent factors that contribute to the generation of distinct phenotypes from genetically identical cells. With 112 whole-genome bisulfite sequencing datasets from the BLUEPRINT Epigenome Project, we analyzed the global development of DNA methylation patterns during lineage commitment and maturation of a range of immune system effector cells and the cancers that arise from them. We show clear trends in methylation patterns that are distinct in the innate and adaptive arms of the human immune system, both globally and in relation to consistently positioned nucleosomes. Most notable are a progressive loss of methylation in developing lymphocytes and the consistent occurrence of non-CG methylation in specific cell types. Cancer samples from the two lineages are further polarized, suggesting the involvement of distinct lineage-specific epigenetic mechanisms. We anticipate broad utility for this resource as a basis for further comparative epigenetic analyses. PMID:27851971
Distinct Trends of DNA Methylation Patterning in the Innate and Adaptive Immune Systems.
Schuyler, Ronald P; Merkel, Angelika; Raineri, Emanuele; Altucci, Lucia; Vellenga, Edo; Martens, Joost H A; Pourfarzad, Farzin; Kuijpers, Taco W; Burden, Frances; Farrow, Samantha; Downes, Kate; Ouwehand, Willem H; Clarke, Laura; Datta, Avik; Lowy, Ernesto; Flicek, Paul; Frontini, Mattia; Stunnenberg, Hendrik G; Martín-Subero, José I; Gut, Ivo; Heath, Simon
2016-11-15
DNA methylation and the localization and post-translational modification of nucleosomes are interdependent factors that contribute to the generation of distinct phenotypes from genetically identical cells. With 112 whole-genome bisulfite sequencing datasets from the BLUEPRINT Epigenome Project, we analyzed the global development of DNA methylation patterns during lineage commitment and maturation of a range of immune system effector cells and the cancers that arise from them. We show clear trends in methylation patterns that are distinct in the innate and adaptive arms of the human immune system, both globally and in relation to consistently positioned nucleosomes. Most notable are a progressive loss of methylation in developing lymphocytes and the consistent occurrence of non-CG methylation in specific cell types. Cancer samples from the two lineages are further polarized, suggesting the involvement of distinct lineage-specific epigenetic mechanisms. We anticipate broad utility for this resource as a basis for further comparative epigenetic analyses. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.
Shera, Christopher A; Cooper, Nigel P
2013-04-01
At low stimulus levels, basilar-membrane (BM) mechanical transfer functions in sensitive cochleae manifest a quasiperiodic rippling pattern in both amplitude and phase. Analysis of the responses of active cochlear models suggests that the rippling is a mechanical interference pattern created by multiple internal reflection within the cochlea. In models, the interference arises when reverse-traveling waves responsible for stimulus-frequency otoacoustic emissions (SFOAEs) reflect off the stapes on their way to the ear canal, launching a secondary forward-traveling wave that combines with the primary wave produced by the stimulus. Frequency-dependent phase differences between the two waves then create the rippling pattern measurable on the BM. Measurements of BM ripples and SFOAEs in individual chinchilla ears demonstrate that the ripples are strongly correlated with the acoustic interference pattern measured in ear-canal pressure, consistent with a common origin involving the generation of SFOAEs. In BM responses to clicks, the ripples appear as temporal fine structure in the response envelope (multiple lobes, waxing and waning). Analysis of the ripple spacing and response phase gradients provides a test for the role of fast- and slow-wave modes of reverse energy propagation within the cochlea. The data indicate that SFOAE delays are consistent with reverse slow-wave propagation but much too long to be explained by fast waves.
Laser induced Erasable Patterns in a N* Liquid Crystal on an Iron Doped Lithium Niobate (Postprint)
2017-10-12
be applied selectively to erase these patterns. Thus, a promising method is reported to generate reconfigurable patterns, photonic motives , and...erase these patterns. Thus, a promising method is reported to generate reconfigurable patterns, photonic motives , and touch sensitive devices in a...release (PA): distribution unlimited. loss of the patterns inscribed. Possible motives are not limited to graphics. It should be also possible to write
van Strien, Maarten J; Slager, Cornelis T J; de Vries, Bauke; Grêt-Regamey, Adrienne
2016-06-01
Many studies have assessed the effect of landscape patterns on spatial ecological processes by simulating these processes in computer-generated landscapes with varying composition and configuration. To generate such landscapes, various neutral landscape models have been developed. However, the limited set of landscape-level pattern variables included in these models is often inadequate to generate landscapes that reflect real landscapes. In order to achieve more flexibility and variability in the generated landscapes patterns, a more complete set of class- and patch-level pattern variables should be implemented in these models. These enhancements have been implemented in Landscape Generator (LG), which is a software that uses optimization algorithms to generate landscapes that match user-defined target values. Developed for participatory spatial planning at small scale, we enhanced the usability of LG and demonstrated how it can be used for larger scale ecological studies. First, we used LG to recreate landscape patterns from a real landscape (i.e., a mountainous region in Switzerland). Second, we generated landscape series with incrementally changing pattern variables, which could be used in ecological simulation studies. We found that LG was able to recreate landscape patterns that approximate those of real landscapes. Furthermore, we successfully generated landscape series that would not have been possible with traditional neutral landscape models. LG is a promising novel approach for generating neutral landscapes and enables testing of new hypotheses regarding the influence of landscape patterns on ecological processes. LG is freely available online.
A selection criterion for patterns in reaction–diffusion systems
2014-01-01
Background Alan Turing’s work in Morphogenesis has received wide attention during the past 60 years. The central idea behind his theory is that two chemically interacting diffusible substances are able to generate stable spatial patterns, provided certain conditions are met. Ever since, extensive work on several kinds of pattern-generating reaction diffusion systems has been done. Nevertheless, prediction of specific patterns is far from being straightforward, and a great deal of interest in deciphering how to generate specific patterns under controlled conditions prevails. Results Techniques allowing one to predict what kind of spatial structure will emerge from reaction–diffusion systems remain unknown. In response to this need, we consider a generalized reaction diffusion system on a planar domain and provide an analytic criterion to determine whether spots or stripes will be formed. Our criterion is motivated by the existence of an associated energy function that allows bringing in the intuition provided by phase transitions phenomena. Conclusions Our criterion is proved rigorously in some situations, generalizing well-known results for the scalar equation where the pattern selection process can be understood in terms of a potential. In more complex settings it is investigated numerically. Our work constitutes a first step towards rigorous pattern prediction in arbitrary geometries/conditions. Advances in this direction are highly applicable to the efficient design of Biotechnology and Developmental Biology experiments, as well as in simplifying the analysis of morphogenetic models. PMID:24476200
Importance Sampling of Word Patterns in DNA and Protein Sequences
Chan, Hock Peng; Chen, Louis H.Y.
2010-01-01
Abstract Monte Carlo methods can provide accurate p-value estimates of word counting test statistics and are easy to implement. They are especially attractive when an asymptotic theory is absent or when either the search sequence or the word pattern is too short for the application of asymptotic formulae. Naive direct Monte Carlo is undesirable for the estimation of small probabilities because the associated rare events of interest are seldom generated. We propose instead efficient importance sampling algorithms that use controlled insertion of the desired word patterns on randomly generated sequences. The implementation is illustrated on word patterns of biological interest: palindromes and inverted repeats, patterns arising from position-specific weight matrices (PSWMs), and co-occurrences of pairs of motifs. PMID:21128856
Patterns of Gender Development
Martin, Carol Lynn; Ruble, Diane N.
2013-01-01
A comprehensive theory of gender development must describe and explain long-term developmental patterning and changes and how gender is experienced in the short term. This review considers multiple views on gender patterning, illustrated with contemporary research. First, because developmental research involves understanding normative patterns of change with age, several theoretically important topics illustrate gender development: how children come to recognize gender distinctions and understand stereotypes, and the emergence of prejudice and sexism. Second, developmental researchers study the stability of individual differences over time, which elucidates developmental processes. We review stability in two domains—sex segregation and activities/interests. Finally, a new approach advances understanding of developmental patterns, based on dynamic systems theory. Dynamic systems theory is a metatheoretical framework for studying stability and change, which developed from the study of complex and nonlinear systems in physics and mathematics. Some major features and examples show how dynamic approaches have been and could be applied in studying gender development. PMID:19575615
Patterns of gender development.
Martin, Carol Lynn; Ruble, Diane N
2010-01-01
A comprehensive theory of gender development must describe and explain long-term developmental patterning and changes and how gender is experienced in the short term. This review considers multiple views on gender patterning, illustrated with contemporary research. First, because developmental research involves understanding normative patterns of change with age, several theoretically important topics illustrate gender development: how children come to recognize gender distinctions and understand stereotypes, and the emergence of prejudice and sexism. Second, developmental researchers study the stability of individual differences over time, which elucidates developmental processes. We review stability in two domains-sex segregation and activities/interests. Finally, a new approach advances understanding of developmental patterns, based on dynamic systems theory. Dynamic systems theory is a metatheoretical framework for studying stability and change, which developed from the study of complex and nonlinear systems in physics and mathematics. Some major features and examples show how dynamic approaches have been and could be applied in studying gender development.
Light-Directed Particle Patterning by Evaporative Optical Marangoni Assembly.
Varanakkottu, Subramanyan Namboodiri; Anyfantakis, Manos; Morel, Mathieu; Rudiuk, Sergii; Baigl, Damien
2016-01-13
Controlled particle deposition on surfaces is crucial for both exploiting collective properties of particles and their integration into devices. Most available methods depend on intrinsic properties of either the substrate or the particles to be deposited making them difficult to apply to complex, naturally occurring or industrial formulations. Here we describe a new strategy to pattern particles from an evaporating drop, regardless of inherent particle characteristics and suspension composition. We use light to generate Marangoni surface stresses resulting in flow patterns that accumulate particles at predefined positions. Using projected images, we generate a broad variety of complex patterns, including multiple spots, lines and letters. Strikingly, this method, which we call evaporative optical Marangoni assembly (eOMA), allows us to pattern particles regardless of their size or surface properties, in model suspensions as well as in complex, real-world formulations such as commercial coffee.
Rural Practitioners' Involvement in Response to Intervention
ERIC Educational Resources Information Center
Cahill, Susan M.; McGuire, Beatriz; Krumdick, Nathaniel D.; Lee, Michelle M.
2015-01-01
Objective: This study describes perceived levels of involvement in school-based Response to Intervention (RtI) initiatives as reported by occupational therapy (OT) practitioners from different types of communities (i.e., rural, suburban, and urban). In addition, it identifies differences among practice patterns of rural OT practitioners, compared…
A new method for discovering behavior patterns among animal movements
Wang, Y.; Luo, Ze; Takekawa, John Y.; Prosser, Diann J.; Xiong, Y.; Newman, S.; Xiao, X.; Batbayar, N.; Spragens, Kyle A.; Balachandran, S.; Yan, B.
2016-01-01
Advanced satellite tracking technologies enable biologists to track animal movements at fine spatial and temporal scales. The resultant data present opportunities and challenges for understanding animal behavioral mechanisms. In this paper, we develop a new method to elucidate animal movement patterns from tracking data. Here, we propose the notion of continuous behavior patterns as a concise representation of popular migration routes and underlying sequential behaviors during migration. Each stage in the pattern is characterized in terms of space (i.e., the places traversed during movements) and time (i.e. the time spent in those places); that is, the behavioral state corresponding to a stage is inferred according to the spatiotemporal and sequential context. Hence, the pattern may be interpreted predictably. We develop a candidate generation and refinement framework to derive all continuous behavior patterns from raw trajectories. In the framework, we first define the representative spots to denote the underlying potential behavioral states that are extracted from individual trajectories according to the similarity of relaxed continuous locations in certain distinct time intervals. We determine the common behaviors of multiple individuals according to the spatiotemporal proximity of representative spots and apply a projection-based extension approach to generate candidate sequential behavior sequences as candidate patterns. Finally, the candidate generation procedure is combined with a refinement procedure to derive continuous behavior patterns. We apply an ordered processing strategy to accelerate candidate refinement. The proposed patterns and discovery framework are evaluated through conceptual experiments on both real GPS-tracking and large synthetic datasets.
A new method for discovering behavior patterns among animal movements.
Wang, Yuwei; Luo, Ze; Takekawa, John; Prosser, Diann; Xiong, Yan; Newman, Scott; Xiao, Xiangming; Batbayar, Nyambayar; Spragens, Kyle; Balachandran, Sivananinthaperumal; Yan, Baoping
Advanced satellite tracking technologies enable biologists to track animal movements at fine spatial and temporal scales. The resultant data present opportunities and challenges for understanding animal behavioral mechanisms. In this paper, we develop a new method to elucidate animal movement patterns from tracking data. Here, we propose the notion of continuous behavior patterns as a concise representation of popular migration routes and underlying sequential behaviors during migration. Each stage in the pattern is characterized in terms of space (i.e., the places traversed during movements) and time (i.e. the time spent in those places); that is, the behavioral state corresponding to a stage is inferred according to the spatiotemporal and sequential context. Hence, the pattern may be interpreted predictably. We develop a candidate generation and refinement framework to derive all continuous behavior patterns from raw trajectories. In the framework, we first define the representative spots to denote the underlying potential behavioral states that are extracted from individual trajectories according to the similarity of relaxed continuous locations in certain distinct time intervals. We determine the common behaviors of multiple individuals according to the spatiotemporal proximity of representative spots and apply a projection-based extension approach to generate candidate sequential behavior sequences as candidate patterns. Finally, the candidate generation procedure is combined with a refinement procedure to derive continuous behavior patterns. We apply an ordered processing strategy to accelerate candidate refinement. The proposed patterns and discovery framework are evaluated through conceptual experiments on both real GPS-tracking and large synthetic datasets.
A new method for discovering behavior patterns among animal movements
Wang, Yuwei; Luo, Ze; Takekawa, John; Prosser, Diann; Xiong, Yan; Newman, Scott; Xiao, Xiangming; Batbayar, Nyambayar; Spragens, Kyle; Balachandran, Sivananinthaperumal; Yan, Baoping
2016-01-01
Advanced satellite tracking technologies enable biologists to track animal movements at fine spatial and temporal scales. The resultant data present opportunities and challenges for understanding animal behavioral mechanisms. In this paper, we develop a new method to elucidate animal movement patterns from tracking data. Here, we propose the notion of continuous behavior patterns as a concise representation of popular migration routes and underlying sequential behaviors during migration. Each stage in the pattern is characterized in terms of space (i.e., the places traversed during movements) and time (i.e. the time spent in those places); that is, the behavioral state corresponding to a stage is inferred according to the spatiotemporal and sequential context. Hence, the pattern may be interpreted predictably. We develop a candidate generation and refinement framework to derive all continuous behavior patterns from raw trajectories. In the framework, we first define the representative spots to denote the underlying potential behavioral states that are extracted from individual trajectories according to the similarity of relaxed continuous locations in certain distinct time intervals. We determine the common behaviors of multiple individuals according to the spatiotemporal proximity of representative spots and apply a projection-based extension approach to generate candidate sequential behavior sequences as candidate patterns. Finally, the candidate generation procedure is combined with a refinement procedure to derive continuous behavior patterns. We apply an ordered processing strategy to accelerate candidate refinement. The proposed patterns and discovery framework are evaluated through conceptual experiments on both real GPS-tracking and large synthetic datasets. PMID:27217810
Pattern centric design based sensitive patterns and process monitor in manufacturing
NASA Astrophysics Data System (ADS)
Hsiang, Chingyun; Cheng, Guojie; Wu, Kechih
2017-03-01
monitor method, most of optical inspection nuisances can be filtered out at contour to design discrepancy measurement. Daily analysis results are stored at database as reference to compare with incoming data. Defective pattern library contains existing and known systematic defect patterns which help to catch and identify new pattern defects or process impacts. On the other hand, this defect pattern library provides extra valuable information for mask, pattern and defects verification, inspection care area generation, further OPC fix and process enhancement and investigation.
Three-dimensional spatiotemporal focusing of holographic patterns
Hernandez, Oscar; Papagiakoumou, Eirini; Tanese, Dimitrii; Fidelin, Kevin; Wyart, Claire; Emiliani, Valentina
2016-01-01
Two-photon excitation with temporally focused pulses can be combined with phase-modulation approaches, such as computer-generated holography and generalized phase contrast, to efficiently distribute light into two-dimensional, axially confined, user-defined shapes. Adding lens-phase modulations to 2D-phase holograms enables remote axial pattern displacement as well as simultaneous pattern generation in multiple distinct planes. However, the axial confinement linearly degrades with lateral shape area in previous reports where axially shifted holographic shapes were not temporally focused. Here we report an optical system using two spatial light modulators to independently control transverse- and axial-target light distribution. This approach enables simultaneous axial translation of single or multiple spatiotemporally focused patterns across the sample volume while achieving the axial confinement of temporal focusing. We use the system's capability to photoconvert tens of Kaede-expressing neurons with single-cell resolution in live zebrafish larvae. PMID:27306044
Hibbs, Shayna D; Rankin, Kristin M; DeSisto, Carla; Collins, James W
2018-05-30
Few studies have examined contributions of paternal factors to birth outcomes. Weathering is a pattern of increasing rates of adverse birth outcome with increasing maternal age. This study evaluates for an association between paternal involvement and weathering in the context of preterm birth (PTB, <37 weeks) among non-Hispanic African-American and non-Hispanic White women with and without lifelong exposure to neighborhood poverty. Using the Illinois transgenerational dataset with appended US census income information of infants (1989-1991) and their mothers (1956-1976), we compared infants of women by degree of paternal involvement: married, unmarried with father named on birth certificate, and unnamed father. Data were stratified by maternal residence in higher or lower income neighborhoods at both the time of mothers' birth and infants' birth, estimating maternal lifelong economic context. We computed race-specific PTB rates according to maternal age, lifelong neighborhood income, and paternal involvement. We calculated Mantel-Haenszel chi-square tests of linear trend from contingency tables to evaluate weathering. Among African-Americans (n = 39,991) with unnamed fathers and lifelong residence in lower income neighborhoods, PTB rate was lowest among teens at 18.8%, compared to 21.5% for 30-35 year-old mothers (p for linear trend <0.05). Among African-Americans with unnamed fathers and lifelong residence in higher income neighborhoods, PTB rate among teens was 16%, compared to 25% for 30-35 year-old mothers (p = 0.21). Among married African-Americans with lifelong residence in lower income neighborhoods, PTB rate among teens was 16.4%, compared to 12.5% for 30-35 year-old mothers (p = 0.79). Among married African-Americans with lifelong residence in higher income neighborhoods, PTB rate among teens was 20%, compared to 11.4% for 30-35 year-old mothers (p = 0.40). White mothers (n = 31,981) did not demonstrate weathering, regardless of
Layout decomposition of self-aligned double patterning for 2D random logic patterning
NASA Astrophysics Data System (ADS)
Ban, Yongchan; Miloslavsky, Alex; Lucas, Kevin; Choi, Soo-Han; Park, Chul-Hong; Pan, David Z.
2011-04-01
Self-aligned double pattering (SADP) has been adapted as a promising solution for sub-30nm technology nodes due to its lower overlay problem and better process tolerance. SADP is in production use for 1D dense patterns with good pitch control such as NAND Flash memory applications, but it is still challenging to apply SADP to 2D random logic patterns. The favored type of SADP for complex logic interconnects is a two mask approach using a core mask and a trim mask. In this paper, we first describe layout decomposition methods of spacer-type double patterning lithography, then report a type of SADP compliant layouts, and finally report SADP applications on Samsung 22nm SRAM layout. For SADP decomposition, we propose several SADP-aware layout coloring algorithms and a method of generating lithography-friendly core mask patterns. Experimental results on 22nm node designs show that our proposed layout decomposition for SADP effectively decomposes any given layouts.
Time rescaling and pattern formation in biological evolution.
Igamberdiev, Abir U
2014-09-01
Biological evolution is analyzed as a process of continuous measurement in which biosystems interpret themselves in the environment resulting in changes of both. This leads to rescaling of internal time (heterochrony) followed by spatial reconstructions of morphology (heterotopy). The logical precondition of evolution is the incompleteness of biosystem's internal description, while the physical precondition is the uncertainty of quantum measurement. The process of evolution is based on perpetual changes in interpretation of information in the changing world. In this interpretation the external biospheric gradients are used for establishment of new features of organization. It is concluded that biological evolution involves the anticipatory epigenetic changes in the interpretation of genetic symbolism which cannot generally be forecasted but can provide canalization of structural transformations defined by the existing organization and leading to predictable patterns of form generation. Copyright © 2014 Elsevier Ireland Ltd. All rights reserved.
ROLE OF NMDA, NICOTINIC, AND GABA RECEPTORS IN THE STEADY STATE VISUAL EVOKED POTENTIAL IN RATS.
This manuscript characterizes the receptor pathways involved in pattern-evoked potential generation in rats
" NMDA and nicotinic acetylcholine receptors appear to be involved in the generation of the steady-state pattern evoked response in vivo.
" The pattern evok...
3D Printed, Microgroove Pattern-Driven Generation of Oriented Ligamentous Architectures.
Park, Chan Ho; Kim, Kyoung-Hwa; Lee, Yong-Moo; Giannobile, William V; Seol, Yang-Jo
2017-09-08
Specific orientations of regenerated ligaments are crucially required for mechanoresponsive properties and various biomechanical adaptations, which are the key interplay to support mineralized tissues. Although various 2D platforms or 3D printing systems can guide cellular activities or aligned organizations, it remains a challenge to develop ligament-guided, 3D architectures with the angular controllability for parallel, oblique or perpendicular orientations of cells required for biomechanical support of organs. Here, we show the use of scaffold design by additive manufacturing for specific topographies or angulated microgroove patterns to control cell orientations such as parallel (0°), oblique (45°) and perpendicular (90°) angulations. These results demonstrate that ligament cells displayed highly predictable and controllable orientations along microgroove patterns on 3D biopolymeric scaffolds. Our findings demonstrate that 3D printed topographical approaches can regulate spatiotemporal cell organizations that offer strong potential for adaptation to complex tissue defects to regenerate ligament-bone complexes.
Falgairolle, Melanie; Puhl, Joshua G; Pujala, Avinash; Liu, Wenfang; O’Donovan, Michael J
2017-01-01
Motoneurons are traditionally viewed as the output of the spinal cord that do not influence locomotor rhythmogenesis. We assessed the role of motoneuron firing during ongoing locomotor-like activity in neonatal mice expressing archaerhopsin-3 (Arch), halorhodopsin (eNpHR), or channelrhodopsin-2 (ChR2) in Choline acetyltransferase neurons (ChAT+) or Arch in LIM-homeodomain transcription factor Isl1+ neurons. Illumination of the lumbar cord in mice expressing eNpHR or Arch in ChAT+ or Isl1+ neurons, depressed motoneuron discharge, transiently decreased the frequency, and perturbed the phasing of the locomotor-like rhythm. When the light was turned off motoneuron firing and locomotor frequency both transiently increased. These effects were not due to cholinergic neurotransmission, persisted during partial blockade of gap junctions and were mediated, in part, by AMPAergic transmission. In spinal cords expressing ChR2, illumination increased motoneuron discharge and transiently accelerated the rhythm. We conclude that motoneurons provide feedback to the central pattern generator (CPG) during drug-induced locomotor-like activity. DOI: http://dx.doi.org/10.7554/eLife.26622.001 PMID:28671548
All dispenser printed flexible 3D structured thermoelectric generators
NASA Astrophysics Data System (ADS)
Cao, Z.; Shi, J. J.; Torah, R. N.; Tudor, M. J.; Beeby, S. P.
2015-12-01
This work presents a vertically fabricated 3D thermoelectric generator (TEG) by dispenser printing on flexible polyimide substrate. This direct-write technology only involves printing of electrodes, thermoelectric active materials and structure material, which needs no masks to transfer the patterns onto the substrate. The dimension for single thermoelectric element is 2 mm × 2 mm × 0.5 mm while the distance between adjacent cubes is 1.2 mm. The polymer structure layer was used to support the electrodes which are printed to connect the top ends of the thermoelectric material and ensure the flexibility as well. The advantages and the limitations of the dispenser printed 3D TEGs will also be evaluated in this paper. The proposed method is potential to be a low-cost and scalable fabrication solution for TEGs.
Insights into the mechanisms underlying colonic motor patterns
Dinning, Phil G.; Brookes, Simon J.; Costa, Marcello
2016-01-01
Abstract In recent years there have been significant technical and methodological advances in our ability to record the movements of the gastrointestinal tract. This has led to significant changes in our understanding of the different types of motor patterns that exist in the gastrointestinal tract (particularly the large intestine) and in our understanding of the mechanisms underlying their generation. Compared with other tubular smooth muscle organs, a rich variety of motor patterns occurs in the large intestine. This reflects a relatively autonomous nervous system in the gut wall, which has its own unique population of sensory neurons. Although the enteric nervous system can function independently of central neural inputs, under physiological conditions bowel motility is influenced by the CNS: if spinal pathways are disrupted, deficits in motility occur. The combination of high resolution manometry and video imaging has improved our knowledge of the range of motor patterns and provided some insight into the neural and mechanical factors underlying propulsion of contents. The neural circuits responsible for the generation of peristalsis and colonic migrating motor complexes have now been identified to lie within the myenteric plexus and do not require inputs from the mucosa or submucosal ganglia for their generation, but can be modified by their activity. This review will discuss the recent advances in our understanding of the different patterns of propagating motor activity in the large intestine of mammals and how latest technologies have led to major changes in our understanding of the mechanisms underlying their generation. PMID:26990133
Pattern separation in the hippocampus
Yassa, Michael A.; Stark, Craig E. L.
2011-01-01
The ability to discriminate among similar experiences is a critical feature of episodic memory. This ability has long been hypothesized to require the hippocampus, with computational models suggesting it is dependent on pattern separation. However, empirical data for the hippocampus’ role in pattern separation was not available until recently. This review summarizes data from electrophysiological recordings, lesion studies, immediate early gene imaging, transgenic mouse models, as well as human functional neuroimaging that provide convergent evidence for the involvement of particular hippocampal subfields in this key process. We discuss the impact of aging and adult neurogenesis on pattern separation, as well as highlight several challenges to linking across species and approaches and suggest future directions for investigation. PMID:21788086
Spatial pattern and ecological process in the coffee agroforestry system.
Perfecto, Ivette; Vandermeer, John
2008-04-01
The coffee agroforestry system provides an ideal platform for the study of spatial ecology. The uniform pattern of the coffee plants and shade trees allows for the study of pattern generation through intrinsic biological forces rather than extrinsic habitat patchiness. Detailed studies, focusing on a key mutualism between an ant (Azteca instabilis) and a scale insect (Coccus viridis), conducted in a 45-ha plot in a coffee agroforestry system have provided insights into (1) the quantitative evaluation of spatial pattern of the scale insect Coccus viridis on coffee bushes, (2) the mechanisms for the generation of patterns through the combination of local satellite ant nest formation and regional control from natural enemies, and (3) the consequences of the spatial pattern for the stability of predator-prey (host-parasitoid) systems, for a key coccinelid beetle preying on the scale insects and a phorid fly parasitoid parasitizing the ant.
Periodic and aperiodic flow patterns around an airfoil with leading-edge protuberances
NASA Astrophysics Data System (ADS)
Cai, Chang; Zuo, Zhigang; Maeda, Takao; Kamada, Yasunari; Li, Qing'an; Shimamoto, Kensei; Liu, Shuhong
2017-11-01
Recently leading-edge protuberances have attracted great attention as a passive method for separation control. In this paper, the effect of multiple leading-edge protuberances on the performance of a two-dimensional airfoil is investigated through experimental measurement of aerodynamic forces, surface tuft visualization, and numerical simulation. In contrast to the sharp stall of the baseline airfoil with large hysteresis effect during AOA (angle of attack) increasing and decreasing, the stall process of the modified airfoil with leading-edge protuberances is gentle and stable. Flow visualization revealed that the flow past each protuberance is periodic and symmetric at small AOAs. Streamwise vortices are generated on the shoulders of the protuberance, leading to a larger separation around the valley sections and a longer attachment along the peak sections. When some critical AOA is exceeded, aperiodic and asymmetric flow patterns occur on the protuberances at different spanwise positions, with leading-edge separation on some of the valley sections and non-stalled condition elsewhere. A combined mechanism, involving both the compartmentalization effect of the slender momentum-enhanced attached flows on the protuberance peaks and the downwash effect of the local stalled region with low circulation, is proposed to explain the generation of the aperiodic flow patterns. The influence of the number of protuberances is also investigated, which shows similar aperiodic flow patterns. The distance between the neighboring local stalled valley sections is found to be in the range of 4-7 times the protuberance wavelength. According to the proposed mechanism, it is speculated that the distance between the neighboring local stalled valley sections is inclined to increase with a smaller protuberance amplitude or at a larger AOA.
To and From Campus: Changing Student Transportation Patterns.
ERIC Educational Resources Information Center
Fink, Ira Stephen
A thorough study of changing student transportation patterns is justified on the grounds that (1) campuses are major traffic generators; (2) there is increased concern over the need to develop transportation systems that pose less ecological threat to the urban environment; (3) transportation patterns of students, faculty, and staff are changing…
Patterns for Designing Children's Centers. A Report.
ERIC Educational Resources Information Center
Osmon, Fred Linn
This book summarizes the issues involved in the design of a children's center. A children's center is defined as an away from home, group child care program for 2-4 year olds. The material is organized into 35 chapters or "patterns." A pattern is a package of design requirements whose solution is focused on a distinct part of the physical…
Liu, Chenwei; Shea, Nancy; Rucker, Sally; Harvey, Linda; Russo, Paul; Saul, Richard; Lopez, Mary F; Mikulskis, Alvydas; Kuzdzal, Scott; Golenko, Eva; Fishman, David; Vonderheid, Eric; Booher, Susan; Cowen, Edward W; Hwang, Sam T; Whiteley, Gordon R
2007-11-01
Proteomic patterns as a potential diagnostic technology has been well established for several cancer conditions and other diseases. The use of machine learning techniques such as decision trees, neural networks, genetic algorithms, and other methods has been the basis for pattern determination. Cancer is known to involve signaling pathways that are regulated through PTM of proteins. These modifications are also detectable with high confidence using high-resolution MS. We generated data using a prOTOF mass spectrometer on two sets of patient samples: ovarian cancer and cutaneous t-cell lymphoma (CTCL) with matched normal samples for each disease. Using the knowledge of mass shifts caused by common modifications, we built models using peak pairs and compared this to a conventional technique using individual peaks. The results for each disease showed that a small number of peak pairs gave classification equal to or better than the conventional technique that used multiple individual peaks. This simple peak picking technique could be used to guide identification of important peak pairs involved in the disease process.
Tan, Paulo; Alant, Erna
2018-01-01
This study employed an A-B singled subject design to explore the extent to which a peer-mediated intervention supported a first-grade student with autism's usage both in purpose and frequency of a speech-generating device (SGD) during mathematics activities. The intervention involved teaching a peer without a disability to encourage the student with autism to use the SGD during partnered mathematics activities. Our analysis involved visual and descriptive examination of trends and patterns over time, and comparison of means between and within phases. We found during the course of this study that (1) the student with autism's level of overall communication, which included the relevancy of these communicative behaviors, increased; (2) the student with autism's level of spontaneous communication acts increased; and (3) the peer became more independent with supporting the student with autism's communication. Implications for future research and practice are provided.
Heterochrony and patterns of cranial suture closure in hystricognath rodents
Wilson, Laura A B; Sánchez-Villagra, Marcelo R
2009-01-01
Sutures, joints that allow one bone to articulate with another through intervening fibrous connective tissue, serve as major sites of bone expansion during postnatal craniofacial growth in the vertebrate skull and represent an aspect of cranial ontogeny which may exhibit functional and phylogenetic correlates. Suture evolution among hystricognath rodents, an ecologically diverse group represented here by 26 species, is examined using sequence heterochrony methods, i.e. event pairing and parsimov. Although minor nuances in suture closure sequence exist between species, the overall sequence was found to be conserved both across the hystricognath group and, to an increasing degree, within selected clades. At species level, suture closure pattern exhibited a significant positive correlation with patterns previously reported for hominoids. Patterns for most clades revealed the first sutures to close are those contacting the exoccipital, interparietal, and palatine bones. Heterochronic shifts were found along 19 of 35 branches within the hystricognath phylogeny. The number of shifts per node ranged from one to seven events and, overall, involved 21 of 34 suture sites. The topology generated by parsimony analyses of the event pair matrix yielded only one grouping that was congruent with the evolutionary relationships, compiled from morphological and molecular studies, taken as framework. Sutures contacting the exoccipital displayed the highest levels of most complete closure across all species. Level of suture closure is negatively correlated with cranial length (P < 0.05). Differing life history and locomotory strategies are coupled in part with differing suture closure patterns among several species. PMID:19245501
How the tooth got its stripes: patterning via strain-cued motility
Cox, Brian N.
2013-01-01
We hypothesize that a population of migrating cells can form patterns when changes in local strains owing to relative cell motions induce changes in cell motility. That the mechanism originates in competing rates of motion distinguishes it from mechanisms involving strain energy gradients, e.g. those generated by surface energy effects or eigenstrains among cells, and diffusion–reaction mechanisms involving chemical signalling factors. The theory is tested by its ability to reproduce the morphological characteristics of enamel in the mouse incisor. Dental enamel is formed during amelogenesis by a population of ameloblasts that move about laterally within an expanding curved sheet, subject to continuously evolving spatial and temporal gradients in strain. Discrete-cell simulations of this process compute the changing strain environment of all cells and predict cell trajectories by invoking simple rules for the motion of an individual cell in response to its strain environment. The rules balance a tendency for cells to enhance relative sliding motion against a tendency to maintain uniform cell–cell separation. The simulations account for observed waviness in the enamel microstructure, the speed and shape of the ‘commencement front’ that separates domains of migrating secretory-stage ameloblasts from those that are not yet migrating, the initiation and sustainment of layered, fracture-resistant decussation patterns (cross-plied microstructure) and the transition from decussating inner enamel to non-decussating outer enamel. All these characteristics can be correctly predicted with the use of a single scalar adjustable parameter. PMID:23614945
Lacquaniti, F.; Grasso, R.; Zago, M.
1999-08-01
Despite the fact that locomotion may differ widely in mammals, common principles of kinematic control are at work. These reflect common mechanical and neural constraints. The former are related to the need to maintain balance and to limit energy expenditure. The latter are related to the organization of the central pattern-generating networks.
Microfluidics for genome-wide studies involving next generation sequencing
Murphy, Travis W.; Lu, Chang
2017-01-01
Next-generation sequencing (NGS) has revolutionized how molecular biology studies are conducted. Its decreasing cost and increasing throughput permit profiling of genomic, transcriptomic, and epigenomic features for a wide range of applications. Microfluidics has been proven to be highly complementary to NGS technology with its unique capabilities for handling small volumes of samples and providing platforms for automation, integration, and multiplexing. In this article, we review recent progress on applying microfluidics to facilitate genome-wide studies. We emphasize on several technical aspects of NGS and how they benefit from coupling with microfluidic technology. We also summarize recent efforts on developing microfluidic technology for genomic, transcriptomic, and epigenomic studies, with emphasis on single cell analysis. We envision rapid growth in these directions, driven by the needs for testing scarce primary cell samples from patients in the context of precision medicine. PMID:28396707
RANDOM PULSE GENERATOR PRODUCING FIDUCIAL MARKS
Nielsen, W.F.
1960-02-01
The apparatus for automatically applying a fiducial marking, having a nonrepetitive pattern, to a plurality of simultaneously made records comprises, in series, a bypass filter, a trigger circuit, and a pulse generator, with printing means connected to and controlled by the pulse generator for simultaneously making the visible fiducial marks on a plurality of simultaneously produced records.
Fendrich, M; Mackesy-Amiti, M E; Goldstein, P; Spunt, B; Brownstein, H
1995-09-01
We evaluated substance involvement among incarcerated juvenile offenders convicted of murder of manslaughter. Patterns of substance involvement among juvenile offenders were compared with patterns found in older offenders. Irrespective of age group, close to one-third of all homicide perpetrators reported that they were affected by alcohol prior to the offense. In every age group, alcohol was the substance showing the highest rate of "regular" lifetime use and the highest rate of ingestion in the week preceding the homicide. In many respects, the reported substance use patterns in the 16-17-year-old age group were closer to the patterns demonstrated by the oldest (36+) age group than they were to the adjacent 18-20-year-old group. Juvenile offenders were generally less substance involved than all but the oldest group of offenders. Almost all of the juveniles who were substance involved prior to the homicide attributed the homicide to the effects of those substances. Narrative accounts suggest that substances (almost always alcohol) escalated impulsive, spontaneous violent outbursts. Implications for the interpretation of self-reports about substance use provided by murderers are also discussed.
Wu, Shuanghua; Lei, Jianjun; Chen, Guoju; Chen, Hancai; Cao, Bihao; Chen, Changming
2017-01-01
Chinese kale, a vegetable of the cruciferous family, is a popular crop in southern China and Southeast Asia due to its high glucosinolate content and nutritional qualities. However, there is little research on the molecular genetics and genes involved in glucosinolate metabolism and its regulation in Chinese kale. In this study, we sequenced and characterized the transcriptomes and expression profiles of genes expressed in 11 tissues of Chinese kale. A total of 216 million 150-bp clean reads were generated using RNA-sequencing technology. From the sequences, 98,180 unigenes were assembled for the whole plant, and 49,582~98,423 unigenes were assembled for each tissue. Blast analysis indicated that a total of 80,688 (82.18%) unigenes exhibited similarity to known proteins. The functional annotation and classification tools used in this study suggested that genes principally expressed in Chinese kale, were mostly involved in fundamental processes, such as cellular and molecular functions, the signal transduction, and biosynthesis of secondary metabolites. The expression levels of all unigenes were analyzed in various tissues of Chinese kale. A large number of candidate genes involved in glucosinolate metabolism and its regulation were identified, and the expression patterns of these genes were analyzed. We found that most of the genes involved in glucosinolate biosynthesis were highly expressed in the root, petiole, and in senescent leaves. The expression patterns of ten glucosinolate biosynthetic genes from RNA-seq were validated by quantitative RT-PCR in different tissues. These results provided an initial and global overview of Chinese kale gene functions and expression activities in different tissues. PMID:28228764