Sample records for phosphorus-ion implanted synthetic

  1. Phosphorus-defect interactions during thermal annealing of ion implanted silicon

    NASA Astrophysics Data System (ADS)

    Keys, Patrick Henry

    Ion implantation of dopant atoms into silicon generates nonequilibrium levels of crystal defects that can lead to the detrimental effects of transient enhanced diffusion (TED), incomplete dopant activation, and p-n junction leakage. In order to control these effects, it is vital to have a clear understanding of dopant-defect interactions and develop models that account for these interactions. This research focuses on experimentally investigating and modeling the clustering of phosphorus dopant atoms with silicon interstitials. Damage recovery of 40keV Si+ implants in phosphorus doped wells is experimentally analyzed. The effects of background phosphorus concentration, self implant dose, and anneal temperature are investigated. Phosphorus concentrations ranging from 2.0 x 1017 to 4.0 x 1019 cm-3 and Si+ doses ranging from 5.0 x 1013 cm-2 to 2.0 x 1014 cm-2 are studied during 650-800°C anneals. A dramatic reduction in the number of interstitials bound in {311} defects with increasing phosphorus background concentration is observed. It is suggested that the reduction of interstitials in {311} defects at high phosphorus concentrations is due to the formation of phosphorus-interstitial clusters (PICs). The critical concentration for clustering (approximately 1.0 x 1019 cm-3 at 750°C) is strongly temperature dependent and in close agreement with the kink concentration of phosphorus diffusion. Information gained from these "well experiments" is applied to the study of direct phosphorus implantation. An experimental study is conducted on 40keV phosphorus implanted to a dose of 1.0 x 1014 cm-2 during 650-800°C anneals. Electrically inactive PICs are shown to form at concentrations below the solid solubility limit due to high interstitial supersaturations. Data useful for developing a model to accurately predict phosphorus diffusion under nonequilibrium conditions are extracted from the experimental results. A cluster-mediated diffusion model is developed using the

  2. Ion implantation of solar cell junctions without mass analysis

    NASA Technical Reports Server (NTRS)

    Fitzgerald, D.; Tonn, D. G.

    1981-01-01

    This paper is a summary of an investigation to determine the feasibility of producing solar cells by means of ion implantation without the use of mass analysis. Ion implants were performed using molecular and atomic phosphorus produced by the vaporization of solid red phosphorus and ionized in an electron bombardment source. Solar cell junctions were ion implanted by mass analysis of individual molecular species and by direct unanalyzed implants from the ion source. The implant dose ranged from 10 to the 14th to 10 to the 16th atoms/sq cm and the energy per implanted atom ranged from 5 KeV to 40 KeV in this study.

  3. Optimization of single keV ion implantation for the construction of single P-donor devices

    NASA Astrophysics Data System (ADS)

    Yang, Changyi; Jamieson, David N.; Hopf, Toby; Andresen, Soren E.; Hearne, Sean M.; Hudson, Fay E.; Pakes, Christopher I.; Mitic, Mladen; Gauja, Eric; Tamanyan, Grigori; Dzurak, Andrew S.; Prawer, Steven; Clark, Robert G.

    2005-02-01

    We report recent progress in single keV ion implantation and online detection for the controlled implantation of single donors in silicon. When integrated with silicon nanofabrication technology this forms the "top down" strategy for the construction of prototype solid state quantum computer devices based on phosphorus donors in silicon. We have developed a method of single ion implantation and online registration that employs detector electrodes adjacent to the area into which the donors are to be implanted. The implantation sites are positioned with nanometer accuracy using an electron beam lithography patterned PMMA mask. Control of the implantation depth of 20 nm is achieved by tuning the phosphorus ion energy to 14 keV. The counting of single ion implantation in each site is achieved by the detection of e-/h+ pairs produced by the implanted phosphorus ion in the substrate. The system is calibrated by use of Mn K-line x-rays (5.9 and 6.4 keV) and we find the ionization energy of the 14 keV phosphorus ions in silicon to be about 3.5-4.0 keV for implants through a 5 nm SiO2 surface layer. This paper describes the development of an improved PIN detector structure that provides more reliable performance of the earlier MOS structure. With the new structure, the energy noise threshold has been minimized to 1 keV or less. Unambiguous detection/counting of single keV ion implantation events were achieved with a confidence level greater than 98% with a reliable and reproducible fabrication process.

  4. Effects of phosphorus doping by plasma immersion ion implantation on the structural and optical characteristics of Zn{sub 0.85}Mg{sub 0.15}O thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saha, S.; Nagar, S.; Chakrabarti, S., E-mail: subho@ee.iitb.ac.in

    2014-08-11

    ZnMgO thin films deposited on 〈100〉 Si substrates by RF sputtering were annealed at 800, 900, and 1000 °C after phosphorus plasma immersion ion implantation. X-ray diffraction spectra confirmed the presence of 〈101{sup ¯}0〉 and 〈101{sup ¯}3〉 peaks for all the samples. However, in case of the annealed samples, the 〈0002〉 peak was also observed. Scanning electron microscopy images revealed the variation in surface morphology caused by phosphorus implantation. Implanted and non-implanted samples were compared to examine the effects of phosphorus implantation on the optical properties of ZnMgO. Optical characteristics were investigated by low-temperature (15 K) photoluminescence experiments. Inelastic exciton–exciton scattering andmore » localized, and delocalized excitonic peaks appeared at 3.377, 3.42, and 3.45 eV, respectively, revealing the excitonic effect resulting from phosphorus implantation. This result is important because inelastic exciton–exciton scattering leads to nonlinear emission, which can improve the performance of many optoelectronic devices.« less

  5. A role for ion implantation in quantum computing

    NASA Astrophysics Data System (ADS)

    Jamieson, David N.; Prawer, Steven; Andrienko, Igor; Brett, David A.; Millar, Victoria

    2001-04-01

    We propose to create arrays of phosphorus atoms in silicon for quantum computing using ion implantation. Since the implantation of the ions is essentially random, the yield of usefully spaced atoms is low and therefore some method of registering the passage of a single ion is required. This can be accomplished by implantation of the ions through a thin surface layer consisting of resist. Changes to the chemical and/or electrical properties of the resist will be used to mark the site of the buried ion. For chemical changes, the latent damage will be developed and the atomic force microscope (AFM) used to image the changes in topography. Alternatively, changes in electrical properties (which obviate the need for post-irradiation chemical etching) will be used to register the passage of the ion using scanning tunneling microscopy (STM), the surface current imaging mode of the AFM. We address the central issue of the contrast created by the passage of a single ion through resist layers of PMMA and C 60.

  6. Retention of ion-implanted-xenon in olivine: Dependence on implantation dose

    NASA Technical Reports Server (NTRS)

    Melcher, C. L.; Tombrello, T. A.; Burnett, D. S.

    1982-01-01

    The diffusion of Xe in olivine, a major mineral in both meteorites and lunar samples, was studied. Xe ions were implanted at 200 keV into single-crystal synthetic-forsterite targets and the depth profiles were measured by alpha particle backscattering before and after annealing for 1 hour at temperatures up to 1500 C. The fraction of implanted Xe retained following annealing was strongly dependent on the implantation dose. Maximum retention of 100% occurred for an implantion dose of 3 x 10 to the 15th power Xe ions/sq cm. Retention was less at lower doses, with (approximately more than or = 50% loss at one hundred trillion Xe ions/sq cm. Taking the diffusion coefficient at this dose as a lower limit, the minimum activation energy necessary for Xe retention in a 10 micrometer layer for ten million years was calculated as a function of metamorphic temperature.

  7. Impact of implanted phosphorus on the diffusivity of boron and its applicability to silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schrof, Julian, E-mail: julian.schrof@ise.fraunhofer.de; Müller, Ralph; Benick, Jan

    2015-07-28

    Boron diffusivity reduction in extrinsically doped silicon was investigated in the context of a process combination consisting of BBr{sub 3} furnace diffusion and preceding Phosphorus ion implantation. The implantation of Phosphorus leads to a substantial blocking of Boron during the subsequent Boron diffusion. First, the influences of ion implantation induced point defects as well as the initial P doping on B diffusivity were studied independently. Here, it was found that not the defects created during ion implantation but the P doping itself results in the observed B diffusion retardation. The influence of the initial P concentration was investigated in moremore » detail by varying the P implantation dose. A secondary ion mass spectrometry (SIMS) analysis of the BSG layer after the B diffusion revealed that the B diffusion retardation is not due to potential P content in the BSG layer but rather caused by the n-type doping of the crystalline silicon itself. Based on the observations the B diffusion retardation was classified into three groups: (i) no reduction of B diffusivity, (ii) reduced B diffusivity, and (iii) blocking of the B diffusion. The retardation of B diffusion can well be explained by the phosphorus doping level resulting in a Fermi level shift and pairing of B and P ions, both reducing the B diffusivity. Besides these main influences, there are probably additional transient phenomena responsible for the blocking of boron. Those might be an interstitial transport mechanism caused by P diffusion that reduces interstitial concentration at the surface or the silicon/BSG interface shift due to oxidation during the BBr{sub 3} diffusion process. Lifetime measurements revealed that the residual (non-blocked) B leads to an increased dark saturation current density in the P doped region. Nevertheless, electrical quality is on a high level and was further increased by reducing the B dose as well as by removing the first few nanometers of the silicon surface

  8. Impact of implanted phosphorus on the diffusivity of boron and its applicability to silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schrof, Julian; Müller, Ralph; Reedy, Robert C.

    2015-07-28

    Boron diffusivity reduction in extrinsically doped silicon was investigated in the context of a process combination consisting of BBr3 furnace diffusion and preceding Phosphorus ion implantation. The implantation of Phosphorus leads to a substantial blocking of Boron during the subsequent Boron diffusion. First, the influences of ion implantation induced point defects as well as the initial P doping on B diffusivity were studied independently. Here, it was found that not the defects created during ion implantation but the P doping itself results in the observed B diffusion retardation. The influence of the initial P concentration was investigated in more detailmore » by varying the P implantation dose. A secondary ion mass spectrometry (SIMS) analysis of the BSG layer after the B diffusion revealed that the B diffusion retardation is not due to potential P content in the BSG layer but rather caused by the n-type doping of the crystalline silicon itself. Based on the observations the B diffusion retardation was classified into three groups: (i) no reduction of B diffusivity, (ii) reduced B diffusivity, and (iii) blocking of the B diffusion. The retardation of B diffusion can well be explained by the phosphorus doping level resulting in a Fermi level shift and pairing of B and P ions, both reducing the B diffusivity. Besides these main influences, there are probably additional transient phenomena responsible for the blocking of boron. Those might be an interstitial transport mechanism caused by P diffusion that reduces interstitial concentration at the surface or the silicon/BSG interface shift due to oxidation during the BBr3 diffusion process. Lifetime measurements revealed that the residual (non-blocked) B leads to an increased dark saturation current density in the P doped region. Nevertheless, electrical quality is on a high level and was further increased by reducing the B dose as well as by removing the first few nanometers of the silicon surface after the

  9. Redistribution of phosphorus during Ni0.9Pt0.1-based silicide formation on phosphorus implanted Si substrates

    NASA Astrophysics Data System (ADS)

    Lemang, M.; Rodriguez, Ph.; Nemouchi, F.; Juhel, M.; Grégoire, M.; Mangelinck, D.

    2018-02-01

    Phosphorus diffusion and its distribution during the solid-state reactions between Ni0.9Pt0.1 and implanted Si substrates are studied. Silicidation is achieved through a first rapid thermal annealing followed by a selective etching and a direct surface annealing. The redistribution of phosphorus in silicide layers is investigated after the first annealing for different temperatures and after the second annealing. Phosphorus concentration profiles obtained thanks to time of flight secondary ion mass spectrometry and atom probe tomography characterizations for partial and total reactions of the deposited 7 nm thick Ni0.9Pt0.1 film are presented. Phosphorus segregation is observed at the Ni0.9Pt0.1 surface and at Ni2Si interfaces during Ni2Si formation and at the NiSi surface and the NiSi/Si interface after NiSi formation. The phosphorus is evidenced in low concentrations in the Ni2Si and NiSi layers. Once NiSi is formed, a bump in the phosphorus concentration is highlighted in the NiSi layer before the NiSi/Si interface. Based on these profiles, a model for the phosphorus redistribution is proposed to match this bump to the former Ni2Si/Si interface. It also aims to bind the phosphorus segregation and its low concentration in different silicides to a low solubility of phosphorus in Ni2Si and in NiSi and a fast diffusion of phosphorus at their grain boundaries. This model is also substantiated by a simulation using a finite difference method in one dimension.

  10. Homojunction silicon solar cells doping by ion implantation

    NASA Astrophysics Data System (ADS)

    Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric

    2017-10-01

    Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.

  11. Back-junction back-contact n-type silicon solar cell with diffused boron emitter locally blocked by implanted phosphorus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Müller, Ralph, E-mail: ralph.mueller@ise.fraunhofer.de; Schrof, Julian; Reichel, Christian

    2014-09-08

    The highest energy conversion efficiencies in the field of silicon-based photovoltaics have been achieved with back-junction back-contact (BJBC) silicon solar cells by several companies and research groups. One of the most complex parts of this cell structure is the fabrication of the locally doped p- and n-type regions, both on the back side of the solar cell. In this work, we introduce a process sequence based on a synergistic use of ion implantation and furnace diffusion. This sequence enables the formation of all doped regions for a BJBC silicon solar cell in only three processing steps. We observed that implantedmore » phosphorus can block the diffusion of boron atoms into the silicon substrate by nearly three orders of magnitude. Thus, locally implanted phosphorus can be used as an in-situ mask for a subsequent boron diffusion which simultaneously anneals the implanted phosphorus and forms the boron emitter. BJBC silicon solar cells produced with such an easy-to-fabricate process achieved conversion efficiencies of up to 21.7%. An open-circuit voltage of 674 mV and a fill factor of 80.6% prove that there is no significant recombination at the sharp transition between the highly doped emitter and the highly doped back surface field at the device level.« less

  12. High Mobility SiGe/Si Transistor Structures on Sapphire Substrates Using Ion Implantation

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Mueller, C. H.; Croke, E. T.

    2003-01-01

    High mobility n-type SiGe/Si transistor structures have been fabricated on sapphire substrates by ion implanting phosphorus ions into strained 100 Angstrom thick silicon channels for the first time. The strained Si channels were sandwiched between Si(sub 0.7)Ge(sub 0.3) layers, which, in turn, were deposited on Si(sub 0.7)Ge(sub 0.3) virtual substrates and graded SiGe buffer layers. After the molecular beam epitaxy (MBE) film growth process was completed, ion thick silicon channels implantation and post-annealing were used to introduce donors. The phosphorous ions were preferentially located in the Si channel at a peak concentration of approximately 1x10(exp 18)/cu cm. Room temperature electron mobilities exceeding 750 sq cm/V-sec at carrier densities of 1x10(exp 12)/sq cm were measured. Electron concentration appears to be the key factor that determines mobility, with the highest mobility observed for electron densities in the 1 - 2x10(exp 12)/sq cm range.

  13. Specific features of the current–voltage characteristics of SiO{sub 2}/4H-SiC MIS structures with phosphorus implanted into silicon carbide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mikhaylova, A. I., E-mail: m.aleksey.spb@gmail.com; Afanasyev, A. V.; Ilyin, V. A.

    The effect of phosphorus implantation into a 4H-SiC epitaxial layer immediately before the thermal growth of a gate insulator in an atmosphere of dry oxygen on the reliability of the gate insulator is studied. It is found that, together with passivating surface states, the introduction of phosphorus ions leads to insignificant weakening of the dielectric breakdown field and to a decrease in the height of the energy barrier between silicon carbide and the insulator, which is due to the presence of phosphorus atoms at the 4H-SiC/SiO{sub 2} interface and in the bulk of silicon dioxide.

  14. Electronic and Vibrational Spectra of InP Quantum Dots Formed by Sequential Ion Implantation

    NASA Technical Reports Server (NTRS)

    Hall, C.; Mu, R.; Tung, Y. S.; Ueda, A.; Henderson, D. O.; White, C. W.

    1997-01-01

    We have performed sequential ion implantation of indium and phosphorus into silica combined with controlled thermal annealing to fabricate InP quantum dots in a dielectric host. Electronic and vibrational spectra were measured for the as-implanted and annealed samples. The annealed samples show a peak in the infrared spectra near 320/cm which is attributed to a surface phonon mode and is in good agreement with the value calculated from Frolich's theory of surface phonon polaritons. The electronic spectra show the development of a band near 390 nm that is attributed to quantum confined InP.

  15. Effects of positive ion implantation into antireflection coating of silicon solar cells

    NASA Technical Reports Server (NTRS)

    Middleton, A. E.; Harpster, J. W.; Collis, W. J.; Kim, C. K.

    1971-01-01

    The state of technological development of Si solar cells for highest obtained efficiency and radiation resistance is summarized. The various theoretical analyses of Si solar cells are reviewed. It is shown that factors controlling blue response are carrier diffusion length, surface recombination, impurity concentration profile in surface region, high level of surface impurity concentration (degeneracy), reflection coefficient of oxide, and absorption coefficient of Si. The theory of ion implantation of charge into the oxide antireflection coating is developed and side effects are discussed. The experimental investigations were directed at determining whether the blue response of Si solar cells could be improved by phosphorus ion charges introduced into the oxide antireflection coating.

  16. [Biodegradable synthetic implant materials : clinical applications and immunological aspects].

    PubMed

    Witte, F; Calliess, T; Windhagen, H

    2008-02-01

    In the last decade biodegradable synthetic implant materials have been established for various clinical applications. Ceramic materials such as calcium phosphate, bioglass and polymers are now routinely used as degradable implants in the clinical practice. Additionally these materials are now also used as coating materials or as microspheres for controlled drug release and belong to a series of examples for applications as scaffolds for tissue engineering. Because immense local concentrations of degradation products are produced during biodegradation, this review deals with the question whether allergic immune reactions, which have been reported for classical metallic and organic implant materials, also play a role in the clinical routine for synthetic biodegradable materials. Furthermore, possible explanatory theories will be developed to clarify the lack of clinical reports on allergy or sensitization to biodegradable synthetic materials.

  17. Failure of Synthetic Implants: Strategies and Management.

    PubMed

    Jang, Yong Ju; Kim, Shin Ae; Alharethy, Sami

    2018-06-01

    Dorsal augmentation with synthetic implants is the most commonly performed rhinoplasty procedure, especially in the East-Asian region. However, as in all other surgical procedures, complications are inevitable. Complications that need to be managed surgically include displacement, deviation, suboptimal aesthetic outcome, extrusion, inflammation, infection, and changes in skin quality. Most complications can be easily managed with revision surgery. After the removal of the synthetic implant from the nasal dorsum, different dorsal implant materials such as dermofat, alloderm, or fascia-wrapped diced cartilage, conchal cartilage with perichondrial attachment, and costal cartilage are preferred. An irreversible change in the skin/soft tissue envelope poses a challenge that usually requires reconstructive surgery with a local flap. Therefore, early detection and prompt management of the complication are essential for minimizing the severity of the deformity and the complexity of the surgical procedures. Thieme Medical Publishers 333 Seventh Avenue, New York, NY 10001, USA.

  18. Ion implantation of indium gallium arsenide

    NASA Astrophysics Data System (ADS)

    Almonte, Marlene Isabel

    The ternary compound In0.53Ga0.47As, lattice-matched to Inp, is a semiconductor alloy of technological importance for numerous electronic and optoelectronic device applications. One of these applications includes photodiodes to be developed for the 1.3--1.55 mum wavelength range where silica fibers have their lowest optical loss. With a rapid increase in its use there is an essential need to understand the effects of ion implantation of this alloy semiconductor for implant isolation purposes in which highly resistive layers are required. Due to the small band gap (0.75 eV at 300K) of In0.53Ga0.47As, the estimated maximum resistivity is of the order of 1000 O-cm. Implant isolation can be achieved by the implantation of either inert noble gas ions or electrically active ions. Ion bombardment with inert species introduces defects which trap charge carriers. In the case of implant isolation by electrically active ions, the implanted impurities form an electronic level located close to the middle of the bandgap. Studies of the effects of implantation in In0.53Ga0.47 As due to damage by implantation of Ne+ ions and to compensation by implantation of Fe+ ions are reported in this thesis. The former only involves lattice damage related effects while the latter leads to damage and dopant induced compensation. From the Ne+ implantation results it appears that the damage related energy levels in In0.53 Ga0.47M produced by ion bombardment of chemically inactive species, are not sufficiently deep to lead to effective isolation. A higher resistivity of the order of 770 O-cm is achieved with Fe+ implantation, indicating that Fe introduces an energy level deep in the bandgap. The changes in the electrical properties of the layers are correlated to the lattice damage (damage induced effects) and/or the diffusion of the compensating dopants (dopant induced compensation). Structural characterization of the layers is performed with channeling Rutherford Backscattering Spectrometry

  19. Doping of two-dimensional MoS2 by high energy ion implantation

    NASA Astrophysics Data System (ADS)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  20. Evaluation of stabilization techniques for ion implant processing

    NASA Astrophysics Data System (ADS)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  1. Liquid metal ion source and alloy for ion emission of multiple ionic species

    DOEpatents

    Clark, Jr., William M.; Utlaut, Mark W.; Wysocki, Joseph A.; Storms, Edmund K.; Szklarz, Eugene G.; Behrens, Robert G.; Swanson, Lynwood W.; Bell, Anthony E.

    1987-06-02

    A liquid metal ion source and alloy for the simultaneous ion evaporation of arsenic and boron, arsenic and phosphorus, or arsenic, boron and phosphorus. The ionic species to be evaporated are contained in palladium-arsenic-boron and palladium-arsenic-boron-phosphorus alloys. The ion source, including an emitter means such as a needle emitter and a source means such as U-shaped heater element, is preferably constructed of rhenium and tungsten, both of which are readily fabricated. The ion sources emit continuous beams of ions having sufficiently high currents of the desired species to be useful in ion implantation of semiconductor wafers for preparing integrated circuit devices. The sources are stable in operation, experience little corrosion during operation, and have long operating lifetimes.

  2. Ion implanted dielectric elastomer circuits

    NASA Astrophysics Data System (ADS)

    O'Brien, Benjamin M.; Rosset, Samuel; Anderson, Iain A.; Shea, Herbert R.

    2013-06-01

    Starfish and octopuses control their infinite degree-of-freedom arms with panache—capabilities typical of nature where the distribution of reflex-like intelligence throughout soft muscular networks greatly outperforms anything hard, heavy, and man-made. Dielectric elastomer actuators show great promise for soft artificial muscle networks. One way to make them smart is with piezo-resistive Dielectric Elastomer Switches (DES) that can be combined with artificial muscles to create arbitrary digital logic circuits. Unfortunately there are currently no reliable materials or fabrication process. Thus devices typically fail within a few thousand cycles. As a first step in the search for better materials we present a preliminary exploration of piezo-resistors made with filtered cathodic vacuum arc metal ion implantation. DES were formed on polydimethylsiloxane silicone membranes out of ion implanted gold nano-clusters. We propose that there are four distinct regimes (high dose, above percolation, on percolation, low dose) in which gold ion implanted piezo-resistors can operate and present experimental results on implanted piezo-resistors switching high voltages as well as a simple artificial muscle inverter. While gold ion implanted DES are limited by high hysteresis and low sensitivity, they already show promise for a range of applications including hysteretic oscillators and soft generators. With improvements to implanter process control the promise of artificial muscle circuitry for soft smart actuator networks could become a reality.

  3. Effect of time varying phosphorus implantation on optoelectronics properties of RF sputtered ZnO thin-films

    NASA Astrophysics Data System (ADS)

    Murkute, Punam; Ghadi, Hemant; Saha, Shantanu; Chavan, Vinayak; Chakrabarti, Subhananda

    2018-03-01

    ZnO has potential application in the field of short wavelength devices like LED's, laser diodes, UV detectors etc, because of its wide band gap (3.34 eV) and high exciton binding energy (60 meV). ZnO possess N-type conductivity due to presence of defects arising from oxygen and zinc interstitial vacancies. In order to achieve P-type or intrinsic carrier concentration an implantation study is preferred. In this report, we have varied phosphorous implantation time and studied its effect on optical as well structural properties of RF sputtered ZnO thin-films. Implantation was carried out using Plasma Immersion ion implantation technique for 10 and 20 s. These films were further annealed at 900°C for 10 s in oxygen ambient to activate phosphorous dopants. Low temperature photoluminescence (PL) spectra measured two distinct peaks at 3.32 and 3.199 eV for 20 s implanted sample annealed at 900°C. Temperature dependent PL measurement shows slightly blue shift in peak position from 18 K to 300 K. 3.199 eV peak can be attributed to donoracceptor pair (DAP) emission and 3.32 eV peak corresponds to conduction-band-to-acceptor (eA0) transition. High resolution x-ray diffraction revels dominant (002) peak from all samples. Increasing implantation time resulted in low peak intensity suggesting a formation of implantation related defects. Compression in C-axis with implantation time indicates incorporation of phosphorus in the formed film. Improvement in surface quality was observed from 20 s implanted sample which annealed at 900°C.

  4. Low energy implantation of boron with decaborane ions

    NASA Astrophysics Data System (ADS)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  5. Nitrogen ion implantation into various materials using 28 GHz electron cyclotron resonance ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Chang Seouk; School of Mechanical Engineering, Pusan National University, Pusan 609-735; Lee, Byoung-Seob

    2016-02-15

    The installation of the 28 GHz electron cyclotron resonance ion source (ECRIS) ion implantation beamline was recently completed at the Korea Basic Science Institute. The apparatus contains a beam monitoring system and a sample holder for the ion implantation process. The new implantation system can function as a multipurpose tool since it can implant a variety of ions, ranging hydrogen to uranium, into different materials with precise control and with implantation areas as large as 1–10 mm{sup 2}. The implantation chamber was designed to measure the beam properties with a diagnostic system as well as to perform ion implantation withmore » an in situ system including a mass spectrometer. This advanced implantation system can be employed in novel applications, including the production of a variety of new materials such as metals, polymers, and ceramics and the irradiation testing and fabrication of structural and functional materials to be used in future nuclear fusion reactors. In this investigation, the first nitrogen ion implantation experiments were conducted using the new system. The 28 GHz ECRIS implanted low-energy, multi-charged nitrogen ions into copper, zinc, and cobalt substrates, and the ion implantation depth profiles were obtained. SRIM 2013 code was used to calculate the profiles under identical conditions, and the experimental and simulation results are presented and compared in this report. The depths and ranges of the ion distributions in the experimental and simulation results agree closely and demonstrate that the new system will enable the treatment of various substrates for advanced materials research.« less

  6. Compositional transformations in ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Abdul-Kader, A. M.; Turos, A.; Grambole, D.; Jagielski, J.; Piątkowska, A.; Madi, N. K.; Al-Maadeed, M.

    2005-10-01

    Changes of surface layer composition produced by ion bombardment of polyethylene and polypropylene samples were studied. These materials are under consideration for load bearing surfaces in biological and technical applications. To improve their tribological properties, surface layers are usually modified by ionizing radiation. Therefore, to study the mechanism of transformations induced by ion beam bombardment selected polymers were implanted with H, He and Ar ions to the fluences ranging from 1 × 1013 to 2 × 1016/cm2. RBS and NRA techniques were applied for sample analysis. Important hydrogen release was observed with increasing ion dose and was correlated with the ion stopping power. Another important effect observed was the rapid oxidation of samples, which apparently occurs after exposure of implanted samples to the air. Up to 10 at.% of oxygen can be incorporated in the implanted layer.

  7. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    NASA Astrophysics Data System (ADS)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W. H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 1016 cm-2) and sulfur (200 keV, 1014 cm-2) in silicon wafers using ``white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 1014 cm-2. Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular.

  8. Development of ion implanted gallium arsenide transistors

    NASA Technical Reports Server (NTRS)

    Hunsperger, R.; Baron, R.

    1972-01-01

    Techniques were developed for creating bipolar microwave transistors in GaAs by ion implantation doping. The electrical properties of doped layers produced by the implantation of the light ions Be, Mg, and S were studied. Be, Mg, and S are suitable for forming the relatively deep base-collector junction at low ion energies. The electrical characteristics of ion-implanted diodes of both the mesa and planar types were determined. Some n-p-n planar transistor structures were fabricated by implantation of Mg to form the base regions and Si to form the emitters. These devices were found to have reasonably good base-collector and emitter-base junctions, but the current gain beta was small. The low was attributable to radiative recombination in the base region, which was extremely wide.

  9. Broad beam ion implanter

    DOEpatents

    Leung, K.N.

    1996-10-08

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes. 6 figs.

  10. Broad beam ion implanter

    DOEpatents

    Leung, Ka-Ngo

    1996-01-01

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes.

  11. Laser-induced thermo-lens in ion-implanted optically-transparent polymer

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Ivanov, Victor G.; Hadjichristov, Georgi B.

    2009-10-01

    A strong laser-induced thermo-lens (LITL) effect is found in optically-transparent ion-implanted polymer upon irradiation by a cw laser with a power up to 100 mW (λ = 532 nm). The effect is observed in bulk polymethylmethacrylate (PMMA) implanted with silicon ions (Si+). A series of PMMA specimens is examined, subjected to low-energy (50 keV) Si+ implantation at various dosages in the range from 1014 to 1017 ions/cm2. The thermo-lensing is unambiguously attributed to the modification of the subsurface region of the polymer upon the ion implantation. Having a gradient refractive-index in-depth profile, the subsurface organic-carbonaceous layer produced in the polymer by ion implantation, is responsible for the LITL effect observed in reflection geometry. The LITL occurs due to optical absorption of the ion-implanted layer of a thickness of about 100 nm buried in a depth ~ 100 nm, and subsequent laser-induced change in the refractive index of the Si+-implanted PMMA. Being of importance as considering photonic applications of ion-implanted optically-transparent polymers, the LITL effect in Si+-implanted PMMA is studied as a function of the implant dose, the incident laser power and incidence angle, and is linked to the structure formed in this ion-implanted plastic.

  12. Optical properties of P ion implanted ZnO

    NASA Astrophysics Data System (ADS)

    Pong, Bao-Jen; Chou, Bo-Wei; Pan, Ching-Jen; Tsao, Fu-Chun; Chi, Gou-Chung

    2006-02-01

    Red and green emissions are observed from P ion implanted ZnO. Red emission at ~680 nm (1.82 eV) is associated with the donor-acceptor pair (DAP) transition, where the corresponding donor and acceptor are interstitial zinc (Zn i) and interstitial oxygen (O i), respectively. Green emission at ~ 516 nm (2.40 eV) is associated with the transition between the conduction band and antisite oxygen (O Zn). Green emission at ~516nm (2.403 eV) was observed for ZnO annealed at 800 oC under ambient oxygen, whereas, it was not visible when it was annealed in ambient nitrogen. Hence, the green emission is most likely not related to oxygen vacancies on ZnO sample, which might be related to the cleanliness of ZnO surface, a detailed study is in progress. The observed micro-strain is larger for N ion implanted ZnO than that for P ion implanted ZnO. It is attributed to the larger straggle of N ion implanted ZnO than that of P ion implanted ZnO. Similar phenomenon is also observed in Be and Mg ion implanted GaN.

  13. Self-organized surface ripple pattern formation by ion implantation

    NASA Astrophysics Data System (ADS)

    Hofsäss, Hans; Zhang, Kun; Bobes, Omar

    2016-10-01

    Ion induced ripple pattern formation on solid surfaces has been extensively studied in the past and the theories describing curvature dependent ion erosion as well as redistribution of recoil atoms have been very successful in explaining many features of the pattern formation. Since most experimental studies use noble gas ion irradiation, the incorporation of the ions into the films is usually neglected. In this work we show that the incorporation or implantation of non-volatile ions also leads to a curvature dependent term in the equation of motion of a surface height profile. The implantation of ions can be interpreted as a negative sputter yield; and therefore, the effect of ion implantation is opposite to the one of ion erosion. For angles up to about 50°, implantation of ions stabilizes the surface, whereas above 50°, ion implantation contributes to the destabilization of the surface. We present simulations of the curvature coefficients using the crater function formalism and we compare the simulation results to the experimental data on the ion induced pattern formation using non-volatile ions. We present several model cases, where the incorporation of ions is a crucial requirement for the pattern formation.

  14. Passivated contact formation using ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, David L.; Stradins, Pauls; Nemeth, William

    2018-05-29

    Methods for forming passivated contacts include implanting compound-forming ions into a substrate to about a first depth below a surface of the substrate, and implanting dopant ions into the substrate to about a second depth below the surface. The second depth may be shallower than the first depth. The methods also include annealing the substrate.

  15. Ion Implantation Studies of Titanium Metal Surfaces.

    DTIC Science & Technology

    1981-01-01

    sf.Th. 82-0 327 11,y 604.)___ _ 4 . TITLE (and Subtitle) S. TYPE OF REPORT & PERIOD COVERED Final Ion Implantation Studies of Titanium Metal Suf s 6 ...AD-A113 7ag GEORGIA INST OF TECH ATLANTA SCHOOL OF PHYSICS FIG 11/ 6 ION IMPLANTATION STUDOIES OF TITANIUM METAL SURtFACES. (U) 1901 J R STEVENSON. K...LL0 kpproved ror 82 4 ±s~rutic iui.~o 82r-~~ ION IMPLANTATION STUDIES OF TITANIUM METAL SURFACES SECURITY CLASSIFICATION OIOF THIS PAGE (0fen Date

  16. Modification of polyvinyl alcohol surface properties by ion implantation

    NASA Astrophysics Data System (ADS)

    Pukhova, I. V.; Kurzina, I. A.; Savkin, K. P.; Laput, O. A.; Oks, E. M.

    2017-05-01

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 1014, 1 × 1015 and 1 × 1016 ion/cm2 and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (sbnd Cdbnd O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  17. iNOS Activity Modulates Inflammation, Angiogenesis, and Tissue Fibrosis in Polyether-Polyurethane Synthetic Implants

    PubMed Central

    Cassini-Vieira, Puebla; Araújo, Fernanda Assis; da Costa Dias, Filipi Leles; Russo, Remo Castro; Andrade, Silvia Passos; Teixeira, Mauro Martins; Barcelos, Luciola Silva

    2015-01-01

    There is considerable interest in implantation techniques and scaffolds for tissue engineering and, for safety and biocompatibility reasons, inflammation, angiogenesis, and fibrosis need to be determined. The contribution of inducible nitric oxide synthase (iNOS) in the regulation of the foreign body reaction induced by subcutaneous implantation of a synthetic matrix was never investigated. Here, we examined the role of iNOS in angiogenesis, inflammation, and collagen deposition induced by polyether-polyurethane synthetic implants, using mice with targeted disruption of the iNOS gene (iNOS−/−) and wild-type (WT) mice. The hemoglobin content and number of vessels were decreased in the implants of iNOS−/− mice compared to WT mice 14 days after implantation. VEGF levels were also reduced in the implants of iNOS−/− mice. In contrast, the iNOS−/− implants exhibited an increased neutrophil and macrophage infiltration. However, no alterations were observed in levels of CXCL1 and CCL2, chemokines related to neutrophil and macrophage migration, respectively. Furthermore, the implants of iNOS−/− mice showed boosted collagen deposition. These data suggest that iNOS activity controls inflammation, angiogenesis, and fibrogenesis in polyether-polyurethane synthetic implants and that lack of iNOS expression increases foreign body reaction to implants in mice. PMID:26106257

  18. iNOS Activity Modulates Inflammation, Angiogenesis, and Tissue Fibrosis in Polyether-Polyurethane Synthetic Implants.

    PubMed

    Cassini-Vieira, Puebla; Araújo, Fernanda Assis; da Costa Dias, Filipi Leles; Russo, Remo Castro; Andrade, Silvia Passos; Teixeira, Mauro Martins; Barcelos, Luciola Silva

    2015-01-01

    There is considerable interest in implantation techniques and scaffolds for tissue engineering and, for safety and biocompatibility reasons, inflammation, angiogenesis, and fibrosis need to be determined. The contribution of inducible nitric oxide synthase (iNOS) in the regulation of the foreign body reaction induced by subcutaneous implantation of a synthetic matrix was never investigated. Here, we examined the role of iNOS in angiogenesis, inflammation, and collagen deposition induced by polyether-polyurethane synthetic implants, using mice with targeted disruption of the iNOS gene (iNOS(-/-)) and wild-type (WT) mice. The hemoglobin content and number of vessels were decreased in the implants of iNOS(-/-) mice compared to WT mice 14 days after implantation. VEGF levels were also reduced in the implants of iNOS(-/-) mice. In contrast, the iNOS(-/-) implants exhibited an increased neutrophil and macrophage infiltration. However, no alterations were observed in levels of CXCL1 and CCL2, chemokines related to neutrophil and macrophage migration, respectively. Furthermore, the implants of iNOS(-/-) mice showed boosted collagen deposition. These data suggest that iNOS activity controls inflammation, angiogenesis, and fibrogenesis in polyether-polyurethane synthetic implants and that lack of iNOS expression increases foreign body reaction to implants in mice.

  19. Method for ion implantation induced embedded particle formation via reduction

    DOEpatents

    Hampikian, Janet M; Hunt, Eden M

    2001-01-01

    A method for ion implantation induced embedded particle formation via reduction with the steps of ion implantation with an ion/element that will chemically reduce the chosen substrate material, implantation of the ion/element to a sufficient concentration and at a sufficient energy for particle formation, and control of the temperature of the substrate during implantation. A preferred embodiment includes the formation of particles which are nano-dimensional (<100 m-n in size). The phase of the particles may be affected by control of the substrate temperature during and/or after the ion implantation process.

  20. Pulsed source ion implantation apparatus and method

    DOEpatents

    Leung, K.N.

    1996-09-24

    A new pulsed plasma-immersion ion-implantation apparatus that implants ions in large irregularly shaped objects to controllable depth without overheating the target, minimizing voltage breakdown, and using a constant electrical bias applied to the target. Instead of pulsing the voltage applied to the target, the plasma source, for example a tungsten filament or a RF antenna, is pulsed. Both electrically conducting and insulating targets can be implanted. 16 figs.

  1. Pulsed source ion implantation apparatus and method

    DOEpatents

    Leung, Ka-Ngo

    1996-01-01

    A new pulsed plasma-immersion ion-implantation apparatus that implants ions in large irregularly shaped objects to controllable depth without overheating the target, minimizing voltage breakdown, and using a constant electrical bias applied to the target. Instead of pulsing the voltage applied to the target, the plasma source, for example a tungsten filament or a RF antenna, is pulsed. Both electrically conducting and insulating targets can be implanted.

  2. Reflectivity modification of polymethylmethacrylate by silicon ion implantation

    NASA Astrophysics Data System (ADS)

    Hadjichristov, Georgi B.; Ivanov, Victor; Faulques, Eric

    2008-05-01

    The effect of silicon ion implantation on the optical reflection of bulk polymethylmethacrylate (PMMA) was examined in the visible and near UV. A low-energy (30 and 50 keV) Si + beam at fluences in the range from 10 13 to 10 17 cm -2 was used for ion implantation of PMMA. The results show that a significant enhancement of the reflectivity from Si +-implanted PMMA occurs at appropriate implantation energy and fluence. The structural modifications of PMMA by the silicon ion implantation were characterized by means of photoluminescence and Raman spectroscopy. Formation of hydrogenated amorphous carbon (HAC) layer beneath the surface of the samples was established and the corresponding HAC domain size was estimated.

  3. Ion-implanted planar-buried-heterostructure diode laser

    DOEpatents

    Brennan, Thomas M.; Hammons, Burrell E.; Myers, David R.; Vawter, Gregory A.

    1991-01-01

    A Planar-Buried-Heterostructure, Graded-Index, Separate-Confinement-Heterostructure semiconductor diode laser 10 includes a single quantum well or multi-quantum well active stripe 12 disposed between a p-type compositionally graded Group III-V cladding layer 14 and an n-type compositionally graded Group III-V cladding layer 16. The laser 10 includes an ion implanted n-type region 28 within the p-type cladding layer 14 and further includes an ion implanted p-type region 26 within the n-type cladding layer 16. The ion implanted regions are disposed for defining a lateral extent of the active stripe.

  4. Fabrication of poly(vinyl carbazole) waveguides by oxygen ion implantation

    NASA Astrophysics Data System (ADS)

    Ghailane, Fatima; Manivannan, Gurusamy; Knystautas, Émile J.; Lessard, Roger A.

    1995-08-01

    Polymer waveguides were fabricated by ion implantation involving poly(vinyl carbazole) films. This material was implanted by oxygen ions (O ++ ) of energies ranging from 50 to 250 keV. The ion doses varied from 1010 to 1015 ions / cm2. The conventional prism-film coupler method was used to determine the waveguiding nature of the implanted and unimplanted films. The increase of the surface refractive index in the implanted layer has been studied by measuring the effective refractive index (neff) for different optical modes. Electron spectroscopy chemical analysis measurements were also performed to assess the effect of ion implantation on the polymer matrix.

  5. Mechanical stresses and amorphization of ion-implanted diamond

    NASA Astrophysics Data System (ADS)

    Khmelnitsky, R. A.; Dravin, V. A.; Tal, A. A.; Latushko, M. I.; Khomich, A. A.; Khomich, A. V.; Trushin, A. S.; Alekseev, A. A.; Terentiev, S. A.

    2013-06-01

    Scanning white light interferometry and Raman spectroscopy were used to investigate the mechanical stresses and structural changes in ion-implanted natural diamonds with different impurity content. The uniform distribution of radiation defects in implanted area was obtained by the regime of multiple-energy implantation of keV He+ ions. A modification of Bosia's et al. (Nucl. Instrum. Meth. B 268 (2010) 2991) method for determining the internal stresses and the density variation in an ion-implanted diamond layer was proposed that suggests measuring, in addition to the surface swelling of a diamond plate, the radius of curvature of the plate. It is shown that, under multiple-energy implantation of He+, mechanical stresses in the implanted layer may be as high as 12 GPa. It is shown that radiation damage reaches saturation for the implantation fluence characteristic of amorphization of diamond but is appreciably lower than the graphitization threshold.

  6. Development of vertical compact ion implanter for gemstones applications

    NASA Astrophysics Data System (ADS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  7. Cd ion implantation in AlN

    NASA Astrophysics Data System (ADS)

    Miranda, S. M. C.; Franco, N.; Alves, E.; Lorenz, K.

    2012-10-01

    AlN thin films were implanted with cadmium, to fluences of 1 × 1013 and 8 × 1014 at/cm2. The implanted samples were annealed at 950 °C under flowing nitrogen. Although implantation damage in AlN is known to be extremely stable the crystal could be fully recovered at low fluences. At high fluences the implantation damage was only partially removed. Implantation defects cause an expansion of the c-lattice parameter. For the high fluence sample the lattice site location of the ions was studied by Rutherford Backscattering/Channelling Spectrometry. Cd ions are found to be incorporated in substitutional Al sites in the crystal and no significant diffusion is seen upon thermal annealing. The observed high solubility limit and site stability are prerequisite for using Cd as p-type dopant in AlN.

  8. Enhancement of Ag nanoparticles concentration by prior ion implantation

    NASA Astrophysics Data System (ADS)

    Mu, Xiaoyu; Wang, Jun; Liu, Changlong

    2017-09-01

    Thermally grown SiO2 layer on Si substrates were singly or sequentially implanted with Zn or Cu and Ag ions at the same fluence of 2 × 1016/cm2. The profiles of implanted species, structure, and spatial distribution of the formed nanoparticles (NPs) have been characterized by the cross-sectional transmission electron microscope (XTEM) and Rutherford backscattering spectrometry (RBS). It is found that pre-implantation of Zn or Cu ions could suppress the self sputtering of Ag atoms during post Ag ion implantation, which gives rise to fabrication of Ag NPs with a high density. Moreover, it has also been demonstrated that the suppressing effect strongly depends on the applied energy and mobility of pre-implanted ions. The possible mechanism for the enhanced Ag NPs concentration has been discussed in combination with SRIM simulations. Both vacancy-like defects acting as the increased nucleation sites for Ag NPs and a high diffusivity of prior implanted ions in SiO2 play key roles in enhancing the deposition of Ag implants.

  9. Enhanced capacity of chemically bonded phosphorus/carbon composite as an anode material for potassium-ion batteries

    NASA Astrophysics Data System (ADS)

    Wu, Xuan; Zhao, Wei; Wang, Hong; Qi, Xiujun; Xing, Zheng; Zhuang, Quanchao; Ju, Zhicheng

    2018-02-01

    Potassium-ion batteries are attracting great attention as a promising alternative to lithium-ion batteries due to the abundance and low price of potassium. Herein, the phosphorus/carbon composite, obtained by a simple ball-milling of 20 wt% commercial red phosphorus and 80 wt% graphite, is studied as a novel anode for potassium-ion batteries. Considering the high theoretical specific capacity of phosphorus and formation of stable phosphorus-carbon bond, which can alleviate the volume expansion efficiently, the phosphorus/carbon composite exhibits a high charge capacity of 323.5 mA h g-1 after 50 cycles at a current density of 50 mA g-1 with moderate rate capability and cycling stability. By the X-ray diffraction analysis, the alloying-dealloying mechanism of phosphorus is proposed to form a KP phase. Meanwhile, prepotassiation treatment is conducted to improve the low initial coulomb efficiency.

  10. Chemically Bonded Phosphorus/Graphene Hybrid as a High Performance Anode for Sodium-Ion Batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Jiangxuan; Yu, Zhaoxin; Gordin, Mikhail

    2014-11-12

    Room temperature sodium-ion batteries are of great interest for high-energy-density energy storage systems because of low-cost, natural abundance of sodium. Here, we report a novel graphene nanosheets-wrapped phosphorus composite as an anode for high performance sodium-ion batteries though a facile ball-milling of red phosphorus and graphene nanosheets. Not only can the graphene nanosheets significantly improve the electrical conductivity, but they also serve as a buffer layer to accommodate the large volume change of phosphorus in the charge-discharge process. As a result, the graphene wrapped phosphorus composite anode delivers a high reversible capacity of 2077 mAh/g with excellent cycling stability (1700more » mAh/g after 60 cycles) and high Coulombic efficiency (>98%). This simple synthesis approach and unique nanostructure can potentially extend to other electrode materials with unstable solid electrolyte interphases in sodium-ion batteries.« less

  11. Evaluation of electron beam stabilization for ion implant processing

    NASA Astrophysics Data System (ADS)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  12. Implantation of sodium ions into germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Korol', V. M., E-mail: vkorol@ctsnet.ru; Kudriavtsev, Yu.

    The donor properties of Na atoms introduced by ion implantation into p-Ge with the resistivity 20-40 {Omega} cm are established for the first time. Na profiles implanted into Ge (the energies 70 and 77 keV and the doses (0.8, 3, 30) Multiplication-Sign 10{sup 14} cm{sup -2}) are studied. The doses and annealing temperatures at which the thermoprobe detects n-type conductivity on the sample surface are established. After implantation, the profiles exhibit an extended tail. The depth of the concentration maximum is in good agreement with the calculated mean projected range of Na ions R{sub p}. Annealing for 30 min atmore » temperatures of 250-700 Degree-Sign C brings about a redistribution of Na atoms with the formation of segregation peaks at a depth, which is dependent on the ion dose, and is accompanied by the diffusion of Na atoms to the surface with subsequent evaporation. After annealing at 700 Degree-Sign C less than 7% of the implanted ions remain in the matrix. The shape of the profile tail portions measured after annealing at temperatures 300-400 Degree-Sign C is indicative of the diffusion of a small fraction of Na atoms into the depth of the sample.« less

  13. Photosensitivity enhancement of PLZT ceramics by positive ion implantation

    DOEpatents

    Peercy, P.S.; Land, C.E.

    1980-06-13

    The photosensitivity of lead lanthanum zirconate titanate (PLZT) ceramic material used in high resolution, high contrast, and non-volatile photoferroelectric image storage and display devices is enhanced significantly by positive ion implantation of the PLZT near its surface. Ions that are implanted include H/sup +/, He/sup +/, Ar/sup +/, and a preferred co-implant of Ar/sup +/ and Ne/sup +/. The positive ion implantation advantageously serves to shift the band gap energy threshold of the PLZT material from near-uv light to visible blue light. As a result, photosensitivity enhancement is such that the positive ion implanted PLZT plate is sensitive even to sunlight and conventional room lighting, such as fluorescent and incandescent light sources. The method disclosed includes exposing the PLZT plate to these positive ions of sufficient density and with sufficient energy to provide an image. The PLZT material may have a lanthanum content ranging from 5 to 10%; a lead zirconate content ranging from 62 to 70 mole %; and a lead titanate content ranging from 38 to 30%. The region of ion implantation is in a range from 0.1 to 2 microns below the surface of the PLZT plate. Density of ions is in the range from 1 x 10/sup 12/ to 1 x 10/sup 17/ ions/cm/sup 2/ and having an energy in the range from 100 to 500 keV.

  14. Temperature-dependent phosphorous dopant activation in ZnO thin film deposited using plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Murkute, Punam; Ghadi, Hemant; Saha, Shantanu; Chavan, Vinayak; Chakrabarti, Subhananda

    2018-03-01

    High band gap (3.34 eV) and large exciton binding energy (60 meV) at room temperature facilitates ZnO as a useful candidate for optoelectronics devices. Presence of zinc interstitial and oxygen vacancies results in n-type ZnO film. Phosphorus implantation was carried out using plasma immersion ion implantation technique (2kV, 900W) for constant duration (50 s) on RF sputtered ZnO thin films (Sample A). For dopant activation, sample A was subjected to Rapid Thermal Annealing (RTA) at 700, 800, 900 and 1000°C for 10 s in Oxygen ambient (Sample B, C, D, E). Low temperature (18 K) photoluminescence measurement demonstrated strong donor bound exciton peak for sample A. Dominant donor to acceptor pair peak (DAP) was observed for sample D at around 3.22 eV with linewidth of 131.3 meV. High resolution x-ray diffraction measurement demonstrated (001) and (002) peaks for sample A. (002) peak with high intensity was observed from all annealed samples. Incorporation of phosphorus in ZnO films leads to peak shift towards higher 2θ angle indicate tensile strain in implanted samples. Scanning electron microscopy images reveals improvement in grain size distribution along with reduction of implantation related defects. Raman spectra measured A1(LO) peak at around 576 cm-1 for sample A. Low intensity E2 (high) peak was observed for sample D indicating formation of (PZn+2VZn) complexes. From room temperature Hall measurement, sample D measured 1.17 x 1018 cm -3 carrier concentration with low resistivity of 0.464 Ω.

  15. Optical reflectivity study of silicon ion implanted poly(methyl methacrylate)

    NASA Astrophysics Data System (ADS)

    Hadjichristov, Georgi B.; Stefanov, Ivan L.; Florian, Bojana I.; Blaskova, Gergana D.; Ivanov, Victor G.; Faulques, Eric

    2009-11-01

    The optical reflectivity (both specular and off-specular) of poly(methyl methacrylate) (PMMA) implanted with silicon ions (Si +) at energy of 50 keV, is studied in the spectral range 0.25-25 μm. The effect from the Si + implantation on the reflectivity of two PMMA materials is examined in the dose range from 10 14 to 10 17 ions/cm 2 and is linked to the structure formed in this ion implanted plastic. As compared to the pristine PMMA, an enhancement of the reflectivity of Si + implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation. The ion-produced subsurface organic interface is also probed by laser-induced thermo-lens.

  16. Physical and Tribological Characteristics of Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Heidger, S.; Korenyi-Both, A. L.; Jayne, D. T.; Herrera-Fierro, P.; Shogrin, B.; Wilbur, P. J.; Wu, R. L. C.; Garscadden, A.; Barnes, P. N.

    1994-01-01

    Unidirectional sliding friction experiments were conducted with a natural, polished diamond pin in contact with both as-deposited and carbon-ion-implanted diamond films in ultrahigh vacuum. Diamond films were deposited on silicon, silicon carbide, and silicon nitride by microwave-plasma-assisted chemical vapor deposition. The as-deposited diamond films were impacted with carbon ions at an accelerating energy of 60 keV and a current density of 50 micron A/cm(exp 2) for approximately 6 min, resulting in a dose of 1.2 x 10(exp 17) carbon ions/cm(exp 2). The results indicate that the carbon ion implantation produced a thin surface layer of amorphous, nondiamond carbon. The nondiamond carbon greatly decreased both friction and wear of the diamond films. The coefficients of friction for the carbon-ion-implanted, fine-grain diamond films were less than 0.1, factors of 20 to 30 lower than those for the as-deposited, fine-grain diamond films. The coefficients of friction for the carbon-ion-implanted, coarse-grain diamond films were approximately 0.35, a factor of five lower than those for the as-deposited, coarse-grain diamond films. The wear rates for the carbon-ion-implanted, diamond films were on the order of 10(exp -6) mm(exp 3)/Nm, factors of 30 to 80 lower than that for the as-deposited diamond films, regardless of grain size. The friction of the carbon-ion-implanted diamond films was greatly reduced because the amorphous, nondiamond carbon, which had a low shear strength, was restricted to the surface layers (less than 0.1 micron thick) and because the underlying diamond materials retained their high hardness. In conclusion, the carbon-ion-implanted, fine-grain diamond films can be used effectively as wear resistant, self-lubricating coatings for ceramics, such as silicon nitride and silicon carbide, in ultrahigh vacuum.

  17. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    PubMed

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  18. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    NASA Astrophysics Data System (ADS)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  19. Photosensitivity enhancement of PLZT ceramics by positive ion implantation

    DOEpatents

    Land, Cecil E.; Peercy, Paul S.

    1983-01-01

    The photosensitivity of lead lanthanum zirconate titanate (PLZT) ceramic material used in high resolution, high contrast, and non-volatile photoferroelectric image storage and display devices is enhanced significantly by positive ion implantation of the PLZT near its surface. Implanted ions include H.sup.+, He.sup.+, Ne.sup.+, Ar.sup.+, as well as chemically reactive ions from Fe, Cr, and Al. The positive ion implantation advantageously serves to shift the absorption characteristics of the PLZT material from near-UV light to visible light. As a result, photosensitivity enhancement is such that the positive ion implanted PLZT plate is sensitive even to sunlight and conventional room lighting, such as fluorescent and incandescent light sources. The method disclosed includes exposing the PLZT plate to the positive ions at sufficient density, from 1.times.10.sup.12 to 1.times.10.sup.17, and with sufficient energy, from 100 to 500 KeV, to provide photosensitivity enhancement. The PLZT material may have a lanthanum content ranging from 5 to 10%, a lead zirconate content of 62 to 70 mole %, and a lead titanate content of 38 to 30%. The ions are implanted at a depth of 0.1 to 2 microns below the surface of the PLZT plate.

  20. A synthetic bioactive resorbable graft for predictable implant reconstruction: part one.

    PubMed

    Valen, Maurice; Ganz, Scott D

    2002-01-01

    Animal studies were conducted to evaluate the cell response and chemical potentiality of a synthetic bioactive resorbable graft (SBRG) made of nonceramic cluster particulate of low-temperature HA material. The study evaluated bone-bridging of the SBRG particulates in 1-mm wide implant channels of 5 x 8 mm long roughened titanium interface in 6 dogs and compared results to the same implant channels left empty as controls at 6- and 12-week intervals. Resorption rate capacity and cell response were evaluated with an assessment of the chemical characterization of the synthetic nonceramic material next to the titanium implant interfaces. Results of the animal studies were compared with human histologic biopsies of the SBRG for bone quality, density, and bone growth into defect sites concurrent with resorption time of the graft. One human biopsy consisted of a graft mixture of the SBRG and dense bovine-derived HA, compared under the electron microscope, including histology by H and E staining. Part 1 of this paper presents evidence of the predictability and efficacy of the SBRG osteoconductive, particulate chemical potentiality to aid in the regeneration of lost bone anatomy next to titanium implant interfaces. Recent technological innovations in computer hardware and software have given clinicians the tools to determine 3-dimensional quality and density of bone, including anatomical discrepancies, which can aid in the diagnosis and treatment planning for grafting procedures. When teeth are extracted, the surrounding bone and soft tissue are challenged as a result of the natural resorptive process. The diminished structural foundation for prosthetic reconstruction, with or without implants, can be compromised. A synthetic bioactive resorbable graft material having osteoconductive biochemical and biomechanical qualities similar to the host bone provides the means to improve compromised bone topography for ridge preservation, ridge augmentation, or to enhance the bony site

  1. Quantum well intermixing of indium gallium arsenide(phosphorus)/indium phosphorus heterostructures

    NASA Astrophysics Data System (ADS)

    Haysom, Joan E.

    This thesis studies several aspects of the interdiffusion of InGaAs(P)/InP quantum well (QW) heterostructures, from the fundamental defect mechanisms, through optimization of processing parameters, to novel device applications. Conclusions from each of these areas have been drawn which further the scientific understanding and the manufacturability of the technique. The thermal stability of a series of different wafers is studied to highlight how poor quality of growth can cause increased interdiffusion, and to review the requirements for achieving repeatable annealing. Purposeful and controlled interdiffusion is accomplished through the introduction of excess defects into layers above the QWs, which during a subsequent anneal, diffuse through the QWs and enhance interdiffusion of atoms of the QWs with atoms of the barriers. These excess defects are introduced using two different techniques, via growth at low temperatures (LT) using chemical beam epitaxy (CBE), and via implantation of phosphorus ions. The CBE LT growth technique is new, and reported for the first time in this thesis. Characterization of the as-grown layers leads us to believe that they have an excess of phosphorus. The diffusion rate of the mobile defects which cause the intermixing is also measured, and the interdiffusion is shown to occur predominantly on the group-V sublattice. Due to many similarities between this and the results of the implantation technique, it is proposed that these mobile defects are the same for both intermixing approaches, and that the behaviour can be explained by a phosphorus interstitial mechanism. Annealing recipes for the implantation-induced technique are optimized, and the sample-to-sample reproducibility of the blueshift for this method was found to be quite good (standard deviations of ˜6 meV on blueshifts of ˜70 meV). The lateral selectivity and refractive index changes are characterized, and used in combination to create novel buried waveguide devices.

  2. Surface insulating properties of titanium implanted alumina ceramics by plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Zhu, Mingdong; Song, Falun; Li, Fei; Jin, Xiao; Wang, Xiaofeng; Wang, Langping

    2017-09-01

    The insulating property of the alumina ceramic in vacuum under high voltage is mainly limited by its surface properties. Plasma immersion ion implantation (PIII) is an effective method to modify the surface chemical and physical properties of the alumina ceramic. In order to improve the surface flashover voltage of the alumina ceramic in vacuum, titanium ions with an energy of about 20 keV were implanted into the surface of the alumina ceramic using the PIII method. The surface properties of the as-implanted samples, such as the chemical states of the titanium, morphology and surface resistivity, were characterized by X-ray photoelectron spectroscopy, scanning electron microscope and electrometer, respectively. The surface flashover voltages of the as-implanted alumina samples were measured by a vacuum surface flashover experimental system. The XPS spectra revealed that a compound of Ti, TiO2 and Al2O3 was formed in the inner surface of the alumina sample. The electrometer results showed that the surface resistivity of the implanted alumina decreased with increased implantation time. In addition, after the titanium ion implantation, the maximum hold-off voltage of alumina was increased to 38.4 kV, which was 21.5% higher than that of the unimplanted alumina ceramic.

  3. Method of fabricating optical waveguides by ion implantation doping

    DOEpatents

    Appleton, Bill R.; Ashley, Paul R.; Buchal, Christopher J.

    1989-01-01

    A method for fabricating high-quality optical waveguides in optical quality oxide crystals by ion implantation doping and controlled epitaxial recrystallization is provided. Masked LiNbO.sub.3 crystals are implanted with high concentrations of Ti dopant at ion energies of about 350 keV while maintaining the crystal near liquid nitrogen temperature. Ion implantation doping produces an amorphous, Ti-rich nonequilibrium phase in the implanted region. Subsequent thermal annealing in a water-saturated oxygen atmosphere at up to 1000.degree. C. produces solid-phase epitaxial regrowth onto the crystalline substrate. A high-quality single crystalline layer results which incorporates the Ti into the crystal structure at much higher concentrations than is possible by standard diffusion techniques, and this implanted region has excellent optical waveguides properties.

  4. Method of fabricating optical waveguides by ion implantation doping

    DOEpatents

    Appleton, B.R.; Ashley, P.R.; Buchal, C.J.

    1987-03-24

    A method for fabricating high-quality optical waveguides in optical quality oxide crystals by ion implantation doping and controlled epitaxial recrystallization is provided. Masked LiNbO/sub 3/ crystals are implanted with high concentrations of Ti dopant at ion energies of about 360 keV while maintaining the crystal near liquid nitrogen temperature. Ion implantation doping produces an amorphous, Ti-rich nonequilibrium phase in the implanted region. Subsequent thermal annealing in a water-saturated oxygen atmosphere at up to 1000/degree/C produces solid-phase epitaxial regrowth onto the crystalline substrate. A high-quality crystalline layer results which incorporates the Ti into the crystal structure at much higher concentrations than is possible by standard diffusion techniques, and this implanted region has excellent optical waveguiding properties.

  5. N and Cr ion implantation of natural ruby surfaces and their characterization

    NASA Astrophysics Data System (ADS)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan; Magudapathy, P.; Panigrahi, B. K.; Nayak, B. B.; Mishra, B. K.

    2016-04-01

    Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV-Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 1017 N-ions/cm2 fluence, formation of colour centres (F+, F2, F2+ and F22+) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  6. Production technology for high efficiency ion implanted solar cells

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, A. R.; Minnucci, J. A.; Greenwald, A. C.; Josephs, R. H.

    1978-01-01

    Ion implantation is being developed for high volume automated production of silicon solar cells. An implanter designed for solar cell processing and able to properly implant up to 300 4-inch wafers per hour is now operational. A machine to implant 180 sq m/hr of solar cell material has been designed. Implanted silicon solar cells with efficiencies exceeding 16% AM1 are now being produced and higher efficiencies are expected. Ion implantation and transient processing by pulsed electron beams are being integrated with electrostatic bonding to accomplish a simple method for large scale, low cost production of high efficiency solar cell arrays.

  7. A simple ion implanter for material modifications in agriculture and gemmology

    NASA Astrophysics Data System (ADS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  8. High-intensity low energy titanium ion implantation into zirconium alloy

    NASA Astrophysics Data System (ADS)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  9. Ion implantation of highly corrosive electrolyte battery components

    DOEpatents

    Muller, Rolf H.; Zhang, Shengtao

    1997-01-01

    A method of producing corrosion resistant electrodes and other surfaces in corrosive batteries using ion implantation is described. Solid electrically conductive material is used as the ion implantation source. Battery electrode grids, especially anode grids, can be produced with greatly increased corrosion resistance for use in lead acid, molten salt, end sodium sulfur.

  10. Formation of Porous Germanium Layers by Silver-Ion Implantation

    NASA Astrophysics Data System (ADS)

    Stepanov, A. L.; Vorob'ev, V. V.; Nuzhdin, V. I.; Valeev, V. F.; Osin, Yu. N.

    2018-04-01

    We propose a method for the formation of porous germanium ( P-Ge) layers containing silver nanoparticles by means of high-dose implantation of low-energy Ag+ ions into single-crystalline germanium ( c-Ge). This is demonstrated by implantation of 30-keV Ag+ ions into a polished c-Ge plate to a dose of 1.5 × 1017 ion/cm2 at an ion beam-current density of 5 μA/cm2. Examination by high-resolution scanning electron microscopy (SEM), atomic-force microscopy (AFM), X-ray diffraction (XRD), energy-dispersive X-ray (EDX) microanalysis, and reflection high-energy electron diffraction (RHEED) showed that the implantation of silver ions into c-Ge surface led to the formation of a P-Ge layer with spongy structure comprising a network of interwoven nanofibers with an average diameter of ˜10-20 nm Ag nanoparticles on the ends of fibers. It is also established that the formation of pores during Ag+ ion implantation is accompanied by effective sputtering of the Ge surface.

  11. Ion implantation of highly corrosive electrolyte battery components

    DOEpatents

    Muller, R.H.; Zhang, S.

    1997-01-14

    A method of producing corrosion resistant electrodes and other surfaces in corrosive batteries using ion implantation is described. Solid electrically conductive material is used as the ion implantation source. Battery electrode grids, especially anode grids, can be produced with greatly increased corrosion resistance for use in lead acid, molten salt, and sodium sulfur. 6 figs.

  12. Method and apparatus for plasma source ion implantation

    DOEpatents

    Conrad, J.R.

    1988-08-16

    Ion implantation into surfaces of three-dimensional targets is achieved by forming an ionized plasma about the target within an enclosing chamber and applying a pulse of high voltage between the target and the conductive walls of the chamber. Ions from the plasma are driven into the target object surfaces from all sides simultaneously without the need for manipulation of the target object. Repetitive pulses of high voltage, typically 20 kilovolts or higher, causes the ions to be driven deeply into the target. The plasma may be formed of a neutral gas introduced into the evacuated chamber and ionized therein with ionizing radiation so that a constant source of plasma is provided which surrounds the target object during the implantation process. Significant increases in the surface hardness and wear characteristics of various materials are obtained with ion implantation in this manner. 7 figs.

  13. Method and apparatus for plasma source ion implantation

    DOEpatents

    Conrad, John R.

    1988-01-01

    Ion implantation into surfaces of three-dimensional targets is achieved by forming an ionized plasma about the target within an enclosing chamber and applying a pulse of high voltage between the target and the conductive walls of the chamber. Ions from the plasma are driven into the target object surfaces from all sides simultaneously without the need for manipulation of the target object. Repetitive pulses of high voltage, typically 20 kilovolts or higher, causes the ions to be driven deeply into the target. The plasma may be formed of a neutral gas introduced into the evacuated chamber and ionized therein with ionizing radiation so that a constant source of plasma is provided which surrounds the target object during the implantation process. Significant increases in the surface hardness and wear characteristics of various materials are obtained with ion implantation in this manner.

  14. Optical characterization of poly(methyl methacrylate) implanted with low energy ions

    NASA Astrophysics Data System (ADS)

    Gupta, Renu; Kumar, Vijay; Goyal, Parveen Kumar; Kumar, Shyam

    2012-12-01

    The samples of poly(methyl methacrylate) (PMMA) were subjected to 100 keV N+ and Ar+ ion implantation up to a maximum fluence of 2 × 1016 ions/cm2. The effect of ion implantation on the optical energy gap and the refractive index has been studied through UV-visible spectroscopy. The results clearly indicate a decrease in the values of optical energy gap and an increase in the values of refractive index as an effect of ion implantation corresponding to both of the ions. It has also been observed that the changes induced by the implanted ions are more pronounced for N+ ions in comparison to Ar+ ions. This variation has been correlated with the calculated ranges of these ions in PMMA polymer using Stopping and Range of Ions in Matter (SRIM) code. Finally, an attempt has been made to correlate all the observed changes with the induced structural changes as revealed through Raman spectroscopy.

  15. Application of ion implantation in tooling industry

    NASA Astrophysics Data System (ADS)

    Straede, Christen A.

    1996-06-01

    In papers published during the last half of the 1980s it is often stated that the application of ion beams to non-semiconductor purposes seems ready for full-scale industrial exploitation. However, progress with respect to commercialisation of ion implantation has been slower than predicted, although the process is quite clearly building up niche markets, especially in the tooling industry. It is the main purpose of this paper to discuss the implementation of the process in the tooling market, and to describe strategies used to ensure its success. The basic idea has been to find niches where ion implantation out-performs other processes both technically and in prices. For instance, it has been clearly realised that one should avoid competing with physical vapour deposition or other coating techniques in market areas where they perform excellently, and instead find niches where the advantages of the ion implantation technique can be fully utilised. The paper will present typical case stories in order to illustrate market niches where the technique has its greatest successes and potential.

  16. More-reliable SOS ion implantations

    NASA Technical Reports Server (NTRS)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  17. Simultaneous recovery of phosphorus and potassium as magnesium potassium phosphate from synthetic sewage sludge effluent.

    PubMed

    Nakao, Satoshi; Nishio, Takayuki; Kanjo, Yoshinori

    2017-10-01

    Bench-scale experiments were performed to investigate simultaneous recovery of phosphorus and potassium from synthetic sewage sludge effluent as crystals of magnesium potassium phosphate (MPP or struvite-(K), MgKPO 4 ·6H 2 O). The optimal pH of MPP formation was 11.5. A phosphorus level of at least 3 mM and K:P molar ratio over 3 were necessary to form MPP, which showed higher content rate of phosphorus and potassium in precipitate. MPP crystallization was confirmed by analysing the precipitates using a scanning electron microscope-energy dispersive X-ray spectroscopy (SEM-EDX) apparatus and an X-ray Diffractometer (XRD). Inhibition of MPP crystallization by iron and aluminium was confirmed by precipitation experiments and SEM-EDX analysis. Potassium ratio against magnesium in precipitate decreased for iron concentrations greater than over 0.2 mM and aluminium concentrations over 0.05 mM.

  18. Ion Implantation Doping of Inertial Confinement Fusion Targets

    DOE PAGES

    Shin, S. J.; Lee, J. R. I.; van Buuren, T.; ...

    2017-12-19

    Controlled doping of inertial confinement fusion (ICF) targets is needed to enable nuclear diagnostics of implosions. Here in this study, we demonstrate that ion implantation with a custom-designed carousel holder can be used for azimuthally uniform doping of ICF fuel capsules made from a glow discharge polymer (GDP). Particular emphasis is given to the selection of the initial wall thickness of GDP capsules as well as implantation and postimplantation annealing parameters in order to minimize capsule deformation during a postimplantation thermal treatment step. In contrast to GDP, ion-implanted high-density carbon exhibits excellent thermal stability and ~100% implantation efficiency for themore » entire range of ion doses studied (2 × 10 14 to 1 × 10 16 cm -2) and for annealing temperatures up to 700°C. Lastly, we demonstrate a successful doping of planar Al targets with isotopes of Kr and Xe to doses of ~10 17 cm -2.« less

  19. Ion Implantation Doping of Inertial Confinement Fusion Targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, S. J.; Lee, J. R. I.; van Buuren, T.

    Controlled doping of inertial confinement fusion (ICF) targets is needed to enable nuclear diagnostics of implosions. Here in this study, we demonstrate that ion implantation with a custom-designed carousel holder can be used for azimuthally uniform doping of ICF fuel capsules made from a glow discharge polymer (GDP). Particular emphasis is given to the selection of the initial wall thickness of GDP capsules as well as implantation and postimplantation annealing parameters in order to minimize capsule deformation during a postimplantation thermal treatment step. In contrast to GDP, ion-implanted high-density carbon exhibits excellent thermal stability and ~100% implantation efficiency for themore » entire range of ion doses studied (2 × 10 14 to 1 × 10 16 cm -2) and for annealing temperatures up to 700°C. Lastly, we demonstrate a successful doping of planar Al targets with isotopes of Kr and Xe to doses of ~10 17 cm -2.« less

  20. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Kai; Wang, Yibo; Li, Zhuguo, E-mail: lizg@sjtu.edu.cn

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enrichedmore » region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.« less

  1. Comparison of monomode KTiOPO4 waveguide formed by C3+ ion implantation and Rb+ ion exchange

    NASA Astrophysics Data System (ADS)

    Cui, Xiao-Jun; Wang, Liang-Ling

    2017-02-01

    In this work, we report on the formation and characterization of monomode KTiOPO4 waveguide at 1539 nm by 6.0 MeV C3+ ion implantation with the dose of 2×1015 ions/cm2 and Rb+-K+ ion exchange, respectively. The relative intensity of light as a function of effective refractive index of TM modes at 633 nm and 1539 nm for KTiOPO4 waveguide formed by two different methods were compared with the prism coupling technique. The refractive index (nz) profile for the ion implanted waveguide was reconstructed by reflectivity calculation method, and one for the ion exchanged waveguide was by inverse Wentzel-Kramers-Brillouin. The nuclear energy loss versus penetration depth of the C3+ ions implantation into KTiOPO4 was simulated using the Stopping Range of Ions in Matter software. The Rutherford Backscattering Spectrometry spectrum of KTiOPO4 waveguide was analyzed after ions exchanged. The results showed that monomode waveguide at 1539 nm can be formed by ion implantation and Rb+ -K+ ion exchange, respectively.

  2. Improved retention of phosphorus donors in germanium using a non-amorphizing fluorine co-implantation technique

    NASA Astrophysics Data System (ADS)

    Monmeyran, Corentin; Crowe, Iain F.; Gwilliam, Russell M.; Heidelberger, Christopher; Napolitani, Enrico; Pastor, David; Gandhi, Hemi H.; Mazur, Eric; Michel, Jürgen; Agarwal, Anuradha M.; Kimerling, Lionel C.

    2018-04-01

    Co-doping with fluorine is a potentially promising method for defect passivation to increase the donor electrical activation in highly doped n-type germanium. However, regular high dose donor-fluorine co-implants, followed by conventional thermal treatment of the germanium, typically result in a dramatic loss of the fluorine, as a result of the extremely large diffusivity at elevated temperatures, partly mediated by the solid phase epitaxial regrowth. To circumvent this problem, we propose and experimentally demonstrate two non-amorphizing co-implantation methods; one involving consecutive, low dose fluorine implants, intertwined with rapid thermal annealing and the second, involving heating of the target wafer during implantation. Our study confirms that the fluorine solubility in germanium is defect-mediated and we reveal the extent to which both of these strategies can be effective in retaining large fractions of both the implanted fluorine and, critically, phosphorus donors.

  3. Use of Synthetic Osteochondral Implants to Treat Bilateral Shoulder Osteochondritis Dissecans in a Dog.

    PubMed

    Danielski, Alan; Farrell, Michael

    2018-06-20

     An 8-month-old American Bulldog was presented for assessment of bilateral thoracic limb lameness. Computed tomographic imaging revealed large, deep osteochondritis dissecans lesions in both humeral heads.  The osteochondritis dissecans lesions were debrided and the exposed subchondral defects were prepared to receive synthetic grafts. Circular implants consisting of a surface layer of polycarbonate urethane and a deep layer of lattice-type titanium were implanted into the osteochondral defects to reconstruct the articular surface topography. Follow-up clinical examination 1.5, 3 and 9months postoperatively revealed a lack of signs of shoulder pain and resolution of thoracic limb lameness. Nine-month follow-up radiographs showed radiographic evidence of osteointegration of both implants.  Synthetic osteochondral implantation in the caudocentral aspect of the humeral head appeared technically feasible and effective in resolving lameness caused by humeral head osteochondritis dissecans. Schattauer GmbH Stuttgart.

  4. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  5. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    NASA Astrophysics Data System (ADS)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  6. Molecular recognition of organic ammonium ions in solution using synthetic receptors

    PubMed Central

    Späth, Andreas

    2010-01-01

    Summary Ammonium ions are ubiquitous in chemistry and molecular biology. Considerable efforts have been undertaken to develop synthetic receptors for their selective molecular recognition. The type of host compounds for organic ammonium ion binding span a wide range from crown ethers to calixarenes to metal complexes. Typical intermolecular interactions are hydrogen bonds, electrostatic and cation–π interactions, hydrophobic interactions or reversible covalent bond formation. In this review we discuss the different classes of synthetic receptors for organic ammonium ion recognition and illustrate the scope and limitations of each class with selected examples from the recent literature. The molecular recognition of ammonium ions in amino acids is included and the enantioselective binding of chiral ammonium ions by synthetic receptors is also covered. In our conclusion we compare the strengths and weaknesses of the different types of ammonium ion receptors which may help to select the best approach for specific applications. PMID:20502608

  7. Multiple Ion Implantation Effects on Wear and Wet Ability of Polyethylene Based Polymers

    NASA Astrophysics Data System (ADS)

    Torrisi, L.; Visco, A. M.; Campo, N.

    2004-10-01

    Polyethylene based polymers were ion implanted with multiple irradiations of different ions (N+, Ar+ and Kr+) at energies between 30 keV and 300 keV and doses ranging between 1013 and 1016 ions/cm2. The ion implantation dehydrogenises the polyethylene inducing cross-link effects in the residual polymer carbons. At high doses the irradiated surface show properties similar to graphite surfaces. The depth of the modified layers depends on the ion range in polyethylene at the incident ion energy. The chemical modification depends on the implanted doses and on the specie of the incident ions. A "pin-on-disc" machine was employed to measure the polymer wear against AISI-316 L stainless steel. A "contact-angle-test" machine was employed to measure the wet ability of the polymer surface for 1 μl pure water drop. Measurements demonstrate that the multiple ion implantation treatments decrease the surface wear and the surface wetting and produce a more resistant polymer surface. The properties of the treated surfaces improves the polymer functionality for many bio-medical applications, such as those relative to the polyethylene friction discs employed in knee and hip prosthesis joints. The possibility to use multiply ion implantations of polymers with traditional ion implanters and with laser ion sources producing plasmas is investigated.

  8. Red Phosphorus Nanodots on Reduced Graphene Oxide as a Flexible and Ultra-Fast Anode for Sodium-Ion Batteries.

    PubMed

    Liu, Yihang; Zhang, Anyi; Shen, Chenfei; Liu, Qingzhou; Cao, Xuan; Ma, Yuqiang; Chen, Liang; Lau, Christian; Chen, Tian-Chi; Wei, Fei; Zhou, Chongwu

    2017-06-27

    Sodium-ion batteries offer an attractive option for potential low cost and large scale energy storage due to the earth abundance of sodium. Red phosphorus is considered as a high capacity anode for sodium-ion batteries with a theoretical capacity of 2596 mAh/g. However, similar to silicon in lithium-ion batteries, several limitations, such as large volume expansion upon sodiation/desodiation and low electronic conductance, have severely limited the performance of red phosphorus anodes. In order to address the above challenges, we have developed a method to deposit red phosphorus nanodots densely and uniformly onto reduced graphene oxide sheets (P@RGO) to minimize the sodium ion diffusion length and the sodiation/desodiation stresses, and the RGO network also serves as electron pathway and creates free space to accommodate the volume variation of phosphorus particles. The resulted P@RGO flexible anode achieved 1165.4, 510.6, and 135.3 mAh/g specific charge capacity at 159.4, 31878.9, and 47818.3 mA/g charge/discharge current density in rate capability test, and a 914 mAh/g capacity after 300 deep cycles in cycling stability test at 1593.9 mA/g current density, which marks a significant performance improvement for red phosphorus anodes for sodium-ion chemistry and flexible power sources for wearable electronics.

  9. Heavy doping of CdTe single crystals by Cr ion implantation

    NASA Astrophysics Data System (ADS)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  10. Study on ion implantation conditions in fabricating compressively strained Si/relaxed Si1-xCx heterostructures using the defect control by ion implantation technique

    NASA Astrophysics Data System (ADS)

    Arisawa, You; Sawano, Kentarou; Usami, Noritaka

    2017-06-01

    The influence of ion implantation energies on compressively strained Si/relaxed Si1-xCx heterostructures formed on Ar ion implanted Si substrates was investigated. It was found that relaxation ratio can be enhanced over 100% at relatively low implantation energies, and compressive strain in the topmost Si layer is maximized at 45 keV due to large lattice mismatch. Cross-sectional transmission electron microscope images revealed that defects are localized around the hetero-interface between the Si1-xCx layer and the Ar+-implanted Si substrate when the implantation energy is 45 keV, which decreases the amount of defects in the topmost Si layer and the upper part of the Si1-xCx buffer layer.

  11. Long-range effect of ion implantation of Raex and Hardox steels

    NASA Astrophysics Data System (ADS)

    Budzyński, P.; Kamiński, M.; Droździel, A.; Wiertel, M.

    2016-09-01

    Ion implantation involves introduction of ionized atoms of any element (nitrogen) to metals thanks to the high kinetic energy that they acquired in the electric field. The distribution of nitrogen ions implanted at E = 65 keV energy and D = 1.1017 N+ /cm2 fluence in the steel sample and vacancies produced by them was calculated using the SRIM program. This result was confirmed by RBS measurements. The initial maximum range of the implanted nitrogen ions is ∼⃒0.17 μm. This value is relatively small compared to the influence of nitriding on the thickness surface layer of modified steel piston rings. Measurements of the friction coefficient during the pin-on-disc tribological test were performed under dry friction conditions. The friction coefficient of the implanted sample increased to values characteristic of an unimplanted sample after ca. 1500 measurement cycles. The depth of wear trace is ca. 2.4 μm. This implies that the thickness of the layer modified by the implantation process is ∼⃒2.4 μm and exceeds the initial range of the implanted ions by an order of magnitude. This effect, referred to as a long-range implantation effect, is caused by migration of vacancies and nitrogen atoms into the sample. This phenomenon makes ion implantation a legitimate process of modification of the surface layer in order to enhance the tribological properties of critical components of internal combustion engines such as steel piston rings.

  12. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    NASA Astrophysics Data System (ADS)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  13. Ion implantation for manufacturing bent and periodically bent crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bellucci, Valerio; Camattari, Riccardo; Guidi, Vincenzo, E-mail: guidi@fe.infn.it

    2015-08-10

    Ion implantation is proposed to produce self-standing bent monocrystals. A Si sample 0.2 mm thick was bent to a radius of curvature of 10.5 m. The sample curvature was characterized by interferometric measurements; the crystalline quality of the bulk was tested by X-ray diffraction in transmission geometry through synchrotron light at ESRF (Grenoble, France). Dislocations induced by ion implantation affect only a very superficial layer of the sample, namely, the damaged region is confined in a layer 1 μm thick. Finally, an elective application of a deformed crystal through ion implantation is here proposed, i.e., the realization of a crystalline undulator to producemore » X-ray beams.« less

  14. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    NASA Astrophysics Data System (ADS)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-07-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 1017 ions/cm2. The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, CrxCy phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties.

  15. Less-Costly Ion Implantation of Solar Cells

    NASA Technical Reports Server (NTRS)

    Fitzgerald, D. J.

    1984-01-01

    Experiments point way toward more relaxed controls over ion-implanation dosage and uniformity in solar-cell fabrication. Data indicate cell performance, measured by output current density at fixed voltage, virtually same whether implant is particular ion species or broad-beam mixture of several species.

  16. Effects of Phosphorus Implantation on the Activation of Magnesium Doped in GaN

    NASA Astrophysics Data System (ADS)

    Liu, Kuan-Ting; Chang, Shoou-Jinn; Wu, Sean

    2009-08-01

    The effects of phosphorus implantation on the activation of magnesium doped in GaN at different dopant concentration ratios have been systematically investigated. Hall effect measurements show that P implantation improves the hole concentration, and that this improvement is dependent on P/Mg dopant concentration ratio and annealing conditions. This phenomenon is attributable to the reduction in self-compensation that results from the formation of deep donors and the enhanced Mg atom activation, which is in reasonable agreement with the optical properties observed by photoluminescence measurements. In addition, a new photoluminescence peak resulting from P-related transitions is also observed, evidently owing to the recombination of electrons from the shallow native donors with holes previously captured by isoelectronic P traps.

  17. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    NASA Astrophysics Data System (ADS)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  18. Development of the ion source for cluster implantation

    NASA Astrophysics Data System (ADS)

    Kulevoy, T. V.; Seleznev, D. N.; Kozlov, A. V.; Kuibeda, R. P.; Kropachev, G. N.; Alexeyenko, O. V.; Dugin, S. N.; Oks, E. M.; Gushenets, V. I.; Hershcovitch, A.; Jonson, B.; Poole, H. J.

    2014-02-01

    Bernas ion source development to meet needs of 100s of electron-volt ion implanters for shallow junction production is in progress in Institute for Theoretical and Experimental Physics. The ion sources provides high intensity ion beam of boron clusters under self-cleaning operation mode. The last progress with ion source operation is presented. The mechanism of self-cleaning procedure is described.

  19. Erbium ion implantation into different crystallographic cuts of lithium niobate

    NASA Astrophysics Data System (ADS)

    Nekvindova, P.; Svecova, B.; Cajzl, J.; Mackova, A.; Malinsky, P.; Oswald, J.; Kolistsch, A.; Spirkova, J.

    2012-02-01

    Single crystals like lithium niobate are frequently doped with optically active rare-earth or transition-metal ions for a variety of applications in optical devices such as solid-state lasers, amplifiers or sensors. To exploit the potential of the Er:LiNbO 3, one must ensure high intensity of the 1.5 μm luminescence as an inevitable prerequisite. One of the important factors influencing the luminescence properties of a lasing ion is the crystal field of the surrounding, which is inevitably determined by the crystal structure of the pertinent material. From that point it is clear that it cannot be easy to affect the resulting luminescence properties - intensity or position of the luminescence band - without changing the structure of the substrate. However, there is a possibility to utilise a potential of the ion implantation of the lasing ions, optionally accompanied with a sensitising one, that can, besides the doping, also modify the structure of the treated area od the crystal. This effect can be eventually enhanced by a post-implantation annealing that may help to recover the damaged structure and hence to improve the desired luminescence. In this paper we are going to report on our experiments with ion-implantation technique followed with subsequent annealing could be a useful way to influence the crystal field of LN. Optically active Er:LiNbO 3 layers were fabricated by medium energy implantation under various experimental conditions. The Er + ions were implanted at energies of 330 and 500 keV with fluences ranging from 1.0 × 10 15 to 1.0 × 10 16 ion cm -2 into LiNbO 3 single-crystal cuts of both common and special orientations. The as-implanted samples were annealed in air and oxygen at two different temperatures (350 and 600 °C) for 5 h. The depth concentration profiles of the implanted erbium were measured by Rutherford Backscattering Spectroscopy (RBS) using 2 MeV He + ions. The photoluminescence spectra of the samples were measured to determine the

  20. Application of TXRF for ion implanter dose matching experiments

    NASA Astrophysics Data System (ADS)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  1. The Effect of Low Energy Nitrogen Ion Implantation on Graphene Nanosheets

    NASA Astrophysics Data System (ADS)

    Mishra, Mukesh; Alwarappan, Subbiah; Kanjilal, Dinakar; Mohanty, Tanuja

    2018-03-01

    Herein, we report the effect 50 keV nitrogen ion implantation at varying fluence on the optical properties of graphene nanosheets (number of layers < 5). Initially, graphene nanosheets synthesized by the direct liquid exfoliation of graphite layers were deposited on a cleaned Si-substrate by drop cast method. These graphene nanosheets are implanted with 50 keV nitrogen-ion beam at six different fluences. Raman spectroscopic results show that the D, D' and G peak get broadened up to the nitrogen ion fluence of 1 × 1015 ions/cm2, while 2D peak of graphene nanosheets disappeared for nitrogen-ions have fluence more than 1014 ions/cm2. However, further increase of fluence causes the indistinguishable superimposition of D, D' and G peaks. Surface contact potential value analysis for ion implanted graphene nanosheets shows the increase in defect concentration from 1.15 × 1012 to 1.98 × 1014 defects/cm2 with increasing the nitrogen ion fluence, which resembles the Fermi level shift towards conduction band. XRD spectra confirmed that the crystallinity of graphene nanosheets was found to tamper with increasing fluence. These results revealed that the limit of nitrogen ion implantation resistant on the vibrational behaviors for graphene nanosheets was 1015 ions/cm2 that opens up the scope of application of graphene nanosheets in device fabrication for ion-active environment and space applications.

  2. Ion-implanted epitaxially grown ZnSe

    NASA Technical Reports Server (NTRS)

    Chernow, F.

    1975-01-01

    The use of ZnSe to obtain efficient, short wavelength injection luminescence was investigated. It was proposed that shorter wavelength emission and higher efficiency be achieved by employing a p-i-n diode structure rather than the normal p-n diode structure. The intervening i layer minimizes concentration quenching effects and the donor-acceptor pair states leading to long wavelength emission. The surface p layer was formed by ion implantation; implantation of the i layer rather than the n substrate permits higher, uncompensated p-type doping. An ion implanted p-n junction in ZnSe is efficiency-limited by high electron injection terminating in nonradiative recombination at the front surface, and by low hole injection resulting from the inability to obtain high conductivity p-type surface layers. While the injection ratio in p-n junctions was determined by the radio of majority carrier concentrations, the injection ratio in p-i-n structures was determined by the mobility ratios and/or space charge neutrality requirements in the i layer.

  3. Microfabrication Method using a Combination of Local Ion Implantation and Magnetorheological Finishing

    NASA Astrophysics Data System (ADS)

    Han, Jin; Kim, Jong-Wook; Lee, Hiwon; Min, Byung-Kwon; Lee, Sang Jo

    2009-02-01

    A new microfabrication method that combines localized ion implantation and magnetorheological finishing is proposed. The proposed technique involves two steps. First, selected regions of a silicon wafer are irradiated with gallium ions by using a focused ion beam system. The mechanical properties of the irradiated regions are altered as a result of the ion implantation. Second, the wafer is processed by using a magnetorheological finishing method. During the finishing process, the regions not implanted with ion are preferentially removed. The material removal rate difference is utilized for microfabrication. The mechanisms of the proposed method are discussed, and applications are presented.

  4. Semiconductor Ion Implanters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    MacKinnon, Barry A.; Ruffell, John P.

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion. Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intelmore » product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.« less

  5. Ion beam sputter modification of the surface morphology of biological implants

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Banks, B. A.

    1976-01-01

    The surface chemistry and texture of materials used for biological implants may significantly influence their performance and biocompatibility. Recent interest in the microscopic control of implant surface texture has led to the evaluation of ion beam sputtering as a potentially useful surface roughening technique. Ion sources, similar to electron bombardment ion thrusters designed for propulsive applications, are used to roughen the surfaces of various biocompatible alloys or polymer materials. These materials are typically used for dental implants, orthopedic prostheses, vascular prostheses, and artificial heart components. Masking techniques and resulting surface textures are described along with progress concerning evaluation of the biological response to the ion beam sputtered surfaces.

  6. Ion-beam-sputter modification of the surface morphology of biological implants

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Banks, B. A.

    1977-01-01

    The surface chemistry and texture of materials used for biological implants may significantly influence their performance and biocompatibility. Recent interest in the microscopic control of implant surface texture has led to the evaluation of ion-beam sputtering as a potentially useful surface roughening technique. Ion sources, similar to electron-bombardment ion thrusters designed for propulsive applications, are used to roughen the surfaces of various biocompatible alloys or polymer materials. These materials are typically used for dental implants, orthopedic prostheses, vascular prostheses, and artificial heart components. Masking techniques and resulting surface textures are described along with progress concerning evaluation of the biological response to the ion-beam-sputtered surfaces.

  7. Modification of Wetting Properties of PMMA by Immersion Plasma Ion Implantation

    NASA Astrophysics Data System (ADS)

    Mireault, N.; Ross, G. G.

    Advancing and receding contact angles below 5° have been obtained on PMMA surfaces with the implantation of argon and oxygen ions. The ion implantations were performed by means of the Immersion Plasma Ion Implantation (IPII) technique, a hybrid between ion beams and immersion plasmas. Characterization of treated PMMA surfaces by means of XPS and its combination with chemical derivatization (CD-XPS) have revealed the depletion of oxygen and the creation of dangling bonds, together with the formation of new chemical functions such as -OOH, -COOH and C=C. These observations provide a good explanation for the strong increase of the wetting properties of the PMMA surfaces.

  8. Low-temperature positron annihilation study of B+-ion implanted PMMA

    NASA Astrophysics Data System (ADS)

    Kavetskyy, T. S.; Tsmots, V. M.; Voloshanska, S. Ya.; Šauša, O.; Nuzhdin, V. I.; Valeev, V. F.; Osin, Y. N.; Stepanov, A. L.

    2014-08-01

    Temperature dependent positron annihilation lifetime spectroscopy (PALS) measurements in the range of 50-300 K are carried out to study positronium formation in 40 KeV B+-ion implanted polymethylmethacrylate (B:PMMA) with two ion doses of 3.13 × 1015 and 3.75 × 1016 ions/cm2. The investigated samples show the various temperature trends of ortho-positronium (o-Ps) lifetime τ3 and intensity I3 in PMMA before and after ion implantation. Two transitions in the vicinity of ˜150 and ˜250 K, ascribed to γ and β transitions, respectively, are observed in the PMMA and B:PMMA samples in consistent with reference data for pristine sample. The obtained results are compared with room temperature PALS study of PMMA with different molecular weight (Mw) which known from literature. It is found that B+-ion implantation leads to decreasing Mw in PMMA at lower ion dose. At higher ion dose the local destruction of polymeric structure follows to broadening of lifetime distribution (hole size distribution).

  9. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source.

    PubMed

    Pilz, W; Laufer, P; Tajmar, M; Böttger, R; Bischoff, L

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi 2 + ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  10. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    NASA Astrophysics Data System (ADS)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  11. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He + ion implantation

    NASA Astrophysics Data System (ADS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-05-01

    He + ion implanted collagen-coated tubes with a fluence of 1 × 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2. Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 × 10 13, 1 × 10 15 and 1 × 10 16 ions/cm 2. Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and was inhibited with fluences of 1 × 10 13, 1 × 10 15 and 1 × 10 16 ions/cm 2. Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 × 10 13 ions/cm 2. On the 1 × 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface.

  12. Plasma immersion ion implantation for reducing metal ion release

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Diaz, C.; Garcia, J. A.; Maendl, S.

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment.more » Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.« less

  13. X-ray photoelectron study of Si+ ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Tsvetkova, T.; Balabanov, S.; Bischoff, L.; Krastev, V.; Stefanov, P.; Avramova, I.

    2010-11-01

    X-ray photoelectron spectroscopy was used to characterize different polymer materials implanted with low energy Si+ ions (E=30 keV, D= 1.1017 cm-2). Two kinds of polymers were studied - ultra-high-molecular-weight poly-ethylene (UHMWPE), and poly-methyl-methacrylate (PMMA). The non-implanted polymer materials show the expected variety of chemical bonds: carbon-carbon, carbon being three- and fourfold coordinated, and carbon-oxygen in the case of PMMA samples. The X-ray photoelectron and Raman spectra show that Si+ ion implantation leads to the introduction of additional disorder in the polymer material. The X-ray photoelectron spectra of the implanted polymers show that, in addition to already mentioned bonds, silicon creates new bonds with the host elements - Si-C and Si-O, together with additional Si dangling bonds as revealed by the valence band study of the implanted polymer materials.

  14. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    NASA Astrophysics Data System (ADS)

    Nikolaev, A. G.; Yushkov, G. Yu.; Oks, E. M.; Oztarhan, A.; Akpek, A.; Hames-Kocabas, E.; Urkac, E. S.; Brown, I. G.

    2014-08-01

    Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal-gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the "inverse" concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material.

  15. Improved cell viability and hydroxyapatite growth on nitrogen ion-implanted surfaces

    NASA Astrophysics Data System (ADS)

    Shafique, Muhammad Ahsan; Murtaza, G.; Saadat, Shahzad; Uddin, Muhammad K. H.; Ahmad, Riaz

    2017-08-01

    Stainless steel 306 is implanted with various doses of nitrogen ions using a 2 MV pelletron accelerator for the improvement of its surface biomedical properties. Raman spectroscopy reveals incubation of hydroxyapatite (HA) on all the samples and it is found that the growth of incubated HA is greater in higher ion dose samples. SEM profiles depict uniform growth and greater spread of HA with higher ion implantation. Human oral fibroblast response is also found consistent with Raman spectroscopy and SEM results; the cell viability is found maximum in samples treated with the highest (more than 300%) dose. XRD profiles signified greater peak intensity of HA with ion implantation; a contact angle study revealed hydrophilic behavior of all the samples but the treated samples were found to be lesser hydrophilic compared to the control samples. Nitrogen implantation yields greater bioactivity, improved surface affinity for HA incubation and improved hardness of the surface.

  16. System OptimizatIon of the Glow Discharge Optical Spectroscopy Technique Used for Impurity Profiling of ION Implanted Gallium Arsenide.

    DTIC Science & Technology

    1980-12-01

    AFIT/GEO/EE/80D-1 I -’ SYSTEM OPTIMIZATION OF THE GLOW DISCHARGE OPTICAL SPECTROSCOPY TECHNIQUE USED FOR IMPURITY PROFILING OF ION IMPLANTED GALLIUM ...EE/80D-1 (\\) SYSTEM OPTIMIZATION OF THE GLOW DISCHARGE OPTICAL SPECTROSCOPY TECHNIQUE USED FOR IMPURITY PROFILING OF ION IMPLANTED GALLIUM ARSENIDE...semiconductors, specifically annealed and unan- nealed ion implanted gallium arsenide (GaAs). Methods to improve the sensitivity of the GDOS system have

  17. Photoreflectance Study of Boron Ion-Implanted (100) Cadmium Telluride

    NASA Technical Reports Server (NTRS)

    Amirtharaj, P. M.; Odell, M. S.; Bowman, R. C., Jr.; Alt, R. L.

    1988-01-01

    Ion implanted (100) cadmium telluride was studied using the contactless technique of photoreflectance. The implantations were performed using 50- to 400-keV boron ions to a maximum dosage of 1.5 x 10(16)/sq cm, and the annealing was accomplished at 500 C under vacuum. The spectral measurements were made at 77 K near the E(0) and E(1) critical points; all the spectra were computer-fitted to Aspnes' theory. The spectral line shapes from the ion damaged, partially recovered and undamaged, or fully recovered regions could be identified, and the respective volume fraction of each phase was estimated.

  18. Compositional, structural, and optical changes of polyimide implanted by 1.0 MeV Ni+ ions

    NASA Astrophysics Data System (ADS)

    Mikšová, R.; Macková, A.; Pupikova, H.; Malinský, P.; Slepička, P.; Švorčík, V.

    2017-09-01

    The ion irradiation leads to deep structural and compositional changes in the irradiated polymers. Ni+ ions implanted polymers were investigated from the structural and compositional changes point of view and their optical properties were investigated. Polyimide (PI) foils were implanted with 1.0 MeV Ni+ ions at room temperature with fluencies of 1.0 × 1013-1.0 × 1015 cm-2 and two different ion implantation currents densities (3.5 and 7.2 nA/cm2). Rutherford Back-Scattering (RBS) and Elastic Recoil Detection Analysis (ERDA) were used for determination of oxygen and hydrogen escape in implanted PI. Atomic Force Microscopy (AFM) was used to follow surface roughness modification after the ion implantation and UV-Vis spectroscopy was employed to check the optical properties of the implanted PI. The implanted PI structural changes were analysed using Attenuated Total Reflection Fourier Transform Infrared Spectroscopy (ATR-FTIR). High energy Ni-ion implantation causes only a minor release of hydrogen and oxygen close to the polymer sub-surface region in about 60 nm thick layer penetrated by the ion beam; especially at ion fluencies below 1.0 × 1014 cm-2. The mostly pronounced structural changes of the Ni implanted PI were found for the samples implanted above ion fluence 1.0 × 1015 cm-2 and at the ion current density 7.2 nA/cm2, where the optical band gap significantly decreases and the reduction of more complex structural unit of PI monomer was observed.

  19. Electronic excitation effects on nanoparticle formation in insulators under heavy-ion implantation

    NASA Astrophysics Data System (ADS)

    Kishimoto, N.; Plaksin, O. A.; Masuo, K.; Okubo, N.; Umeda, N.; Takeda, Y.

    2006-01-01

    Kinetic processes of nanoparticle formation by ion implantation was studied for the insulators of a-SiO2, LiNbO3, MgO · 2.4(Al2O3) and PMMA, either by changing ion flux or by using a co-irradiation technique of ions and photons. Under Cu-implantation of 60 keV Cu-, nanoparticles spontaneously formed without thermal annealing, indicating radiation-induced diffusion of implants. The high-flux implantation caused instable behaviors of nanoparticle morphology in a-SiO2, LiNbO3 and PMMA, i.e. enhanced atomic rearrangement or loss of nanoparticles. The spinel MgO · 2.4(Al2O3) also showed nanoparticle precipitation at 60 keV, but the precipitation tendency is less than the others. Combined irradiation of 3 MeV Cu ions and photons of 2.3 eV or 3.5 eV indicates that the electronic excitation during ion implantation significantly enhances nanoparticle precipitation, greatly depending on photon energy and fluence. The selectivity for photons can be applied to control nanoparticle precipitation.

  20. Adhesive and abrasive wear mechanisms in ion implanted metals

    NASA Astrophysics Data System (ADS)

    Dearnaley, G.

    1985-03-01

    The distinction between adhesive and abrasive wear processes was introduced originally by Burwell during the nineteen-fifties, though some authors prefer to classify wear according to whether it is mild or severe. It is argued here that, on the basis of the performance of a variety of ion implanted metal surfaces, exposed to different modes of wear, the Burwell distinction is a valid one which, moreover, enables us to predict under which circumstances a given treatment will perform well. It is shown that, because wear rates under abrasive conditions are very sensitive to the ratio of the hardness of the surface to that of the abrasive particles, large increases in working life are attainable as a result of ion implantation. Under adhesive wear conditions, the wear rate appears to fall inversely as the hardness increases, and it is advantageous to implant species which will create and retain a hard surface oxide or other continuous film in order to reduce metal-metal contact. By the appropriate combination of physico-chemical changes in an implanted layer it has been possible to reduce wear rates by up to three orders of magnitude. Such rates compensate for the shallow depths achievable by ion implantation.

  1. Role of stresses in annealing of ion-implantation damage in Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seshan, K.; EerNisse, E.P.

    Recent results showing a crystallographic orientation dependence of growth kinetics, secondary defects, and stress relief in annealing of ion-implanted Si are shown to be self-consistent if interpreted in terms of the influence of stresses upon annealing processes. The stress influence proposed is microplastic shear which is induced in (112) directions on (111) planes inclined to the implant surface by the biaxial stress created in the implant region by ion-implantation damage. The shear stresses are shown to be dependent on crystallographic orientation in a manner consistent with the model.

  2. Persistent photoconductivity in oxygen-ion implanted KNbO3 bulk single crystal

    NASA Astrophysics Data System (ADS)

    Tsuruoka, R.; Shinkawa, A.; Nishimura, T.; Tanuma, C.; Kuriyama, K.; Kushida, K.

    2016-12-01

    Persistent Photoconductivity (PPC) in oxygen-ion implanted KNbO3 ([001] oriented bulk single crystals; perovskite structure; ferroelectric with a band gap of 3.16 eV) is studied in air at room temperature to prevent the degradation of its crystallinity caused by the phase transition. The residual hydrogens in un-implanted samples are estimated to be 5×1014 cm-2 from elastic recoil detection analysis (ERDA). A multiple-energy implantation of oxygen ions into KNbO3 is performed using energies of 200, 400, and 600 keV (each ion fluence:1.0×1014 cm-2). The sheet resistance varies from >108 Ω/□ for an un-implanted sample to 1.9×107 Ω/□ for as-implanted one, suggesting the formation of donors due to hydrogen interstitials and oxygen vacancies introduced by the ion implantation. The PPC is clearly observed with ultraviolet and blue LEDs illumination rather than green, red, and infrared, suggesting the release of electrons from the metastable conductive state below the conduction band relating to the charge states of the oxygen vacancy.

  3. Oxygen-related vacancy-type defects in ion-implanted silicon

    NASA Astrophysics Data System (ADS)

    Pi, X. D.; Burrows, C. P.; Coleman, P. G.; Gwilliam, R. M.; Sealy, B. J.

    2003-10-01

    Czochralski silicon samples implanted to a dose of 5 × 1015 cm-2 with 0.5 MeV O and to a dose of 1016 cm-2 with 1 MeV Si, respectively, have been studied by positron annihilation spectroscopy. The evolution of divacancies to vacancy (V)-O complexes is out-competed by V-interstitial (I) recombination at 400 and 500 °C in the Si- and O-implanted samples; the higher oxygen concentration makes the latter temperature higher. The defective region shrinks as the annealing temperature increases as interstitials are injected from the end of the implantation range (Rp). VmOn (m> n) are formed in the shallow region most effectively at 700 °C for both Si and O implantation. VxOy (x< y) are produced near Rp by the annealing. At 800 °C, implanted Si ions diffuse and reduce m and implanted O ions diffuse and increase n in VmOn. All oxygen-related vacancy-type defects appear to begin to dissociate at 950 °C, with the probable formation of oxygen clusters. At 1100 °C, oxygen precipitates appear to form just before Rp in O-implanted silicon.

  4. Chemical characterization of 4140 steel implanted by nitrogen ions

    NASA Astrophysics Data System (ADS)

    Niño, E. D. V.; Pinto, J. L.; Dugar-Zhabon, V.; Henao, J. A.

    2012-06-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 1017 ions/cm2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  5. Two-Fold Anisotropy Governs Morphological Evolution and Stress Generation in Sodiated Black Phosphorus for Sodium Ion Batteries.

    PubMed

    Chen, Tianwu; Zhao, Peng; Guo, Xu; Zhang, Sulin

    2017-04-12

    Phosphorus represents a promising anode material for sodium ion batteries owing to its extremely high theoretical capacity. Recent in situ transmission electron microscopy studies evidenced anisotropic swelling in sodiated black phosphorus, which may find an origin from the two intrinsic anisotropic properties inherent to the layered structure of black phosphorus: sodium diffusional directionality and insertion strain anisotropy. To understand the morphological evolution and stress generation in sodiated black phosphorus, we develop a chemo-mechanical model by incorporating the intrinsic anisotropic properties into the large elasto-plastic deformation. Our modeling results reveal that the apparent morphological evolution in sodiated black phosphorus is critically controlled by the coupled effect of the two intrinsic anisotropic properties. In particular, sodium diffusional directionality generates sharp interphases along the [010] and [001] directions, which constrain anisotropic development of the insertion strain. The coupled effect renders distinctive stress-generation and fracture mechanisms when sodiation starts from different crystal facets. In addition to providing a powerful modeling framework for sodiation and lithiation of layered structures, our findings shed significant light on the sodiation-induced chemo-mechanical degradation of black phosphorus as a promising anode for the next-generation sodium ion batteries.

  6. Synthetic apatite nanoparticles as a phosphorus fertilizer for soybean (Glycine max).

    PubMed

    Liu, Ruiqiang; Lal, Rattan

    2014-07-14

    Some soluble phosphate salts, heavily used in agriculture as highly effective phosphorus (P) fertilizers, cause surface water eutrophication, while solid phosphates are less effective in supplying the nutrient P. In contrast, synthetic apatite nanoparticles could hypothetically supply sufficient P nutrients to crops but with less mobility in the environment and with less bioavailable P to algae in comparison to the soluble counterparts. Thus, a greenhouse experiment was conducted to assess the fertilizing effect of synthetic apatite nanoparticles on soybean (Glycine max). The particles, prepared using one-step wet chemical method, were spherical in shape with diameters of 15.8 ± 7.4 nm and the chemical composition was pure hydroxyapatite. The data show that application of the nanoparticles increased the growth rate and seed yield by 32.6% and 20.4%, respectively, compared to those of soybeans treated with a regular P fertilizer (Ca(H2PO4)2). Biomass productions were enhanced by 18.2% (above-ground) and 41.2% (below-ground). Using apatite nanoparticles as a new class of P fertilizer can potentially enhance agronomical yield and reduce risks of water eutrophication.

  7. Synthetic apatite nanoparticles as a phosphorus fertilizer for soybean (Glycine max)

    PubMed Central

    Liu, Ruiqiang; Lal, Rattan

    2014-01-01

    Some soluble phosphate salts, heavily used in agriculture as highly effective phosphorus (P) fertilizers, cause surface water eutrophication, while solid phosphates are less effective in supplying the nutrient P. In contrast, synthetic apatite nanoparticles could hypothetically supply sufficient P nutrients to crops but with less mobility in the environment and with less bioavailable P to algae in comparison to the soluble counterparts. Thus, a greenhouse experiment was conducted to assess the fertilizing effect of synthetic apatite nanoparticles on soybean (Glycine max). The particles, prepared using one-step wet chemical method, were spherical in shape with diameters of 15.8 ± 7.4 nm and the chemical composition was pure hydroxyapatite. The data show that application of the nanoparticles increased the growth rate and seed yield by 32.6% and 20.4%, respectively, compared to those of soybeans treated with a regular P fertilizer (Ca(H2PO4)2). Biomass productions were enhanced by 18.2% (above-ground) and 41.2% (below-ground). Using apatite nanoparticles as a new class of P fertilizer can potentially enhance agronomical yield and reduce risks of water eutrophication. PMID:25023201

  8. The Effect of Ag and Ag+N Ion Implantation on Cell Attachment Properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Urkac, Emel Sokullu; Oztarhan, Ahmet; Gurhan, Ismet Deliloglu

    2009-03-10

    Implanted biomedical prosthetic devices are intended to perform safely, reliably and effectively in the human body thus the materials used for orthopedic devices should have good biocompatibility. Ultra High Molecular Weight Poly Ethylene (UHMWPE) has been commonly used for total hip joint replacement because of its very good properties. In this work, UHMWPE samples were Ag and Ag+N ion implanted by using the Metal-Vapor Vacuum Arc (MEVVA) ion implantation technique. Samples were implanted with a fluency of 1017 ion/cm2 and extraction voltage of 30 kV. Rutherford Backscattering Spectrometry (RBS) was used for surface studies. RBS showed the presence of Agmore » and N on the surface. Cell attachment properties investigated with model cell lines (L929 mouse fibroblasts) to demonstrate that the effect of Ag and Ag+N ion implantation can favorably influence the surface of UHMWPE for biomedical applications. Scanning electron microscopy (SEM) was used to demonstrate the cell attachment on the surface. Study has shown that Ag+N ion implantation represents more effective cell attachment properties on the UHMWPE surfaces.« less

  9. Super-hard cubic BN layer formation by nitrogen ion implantation

    NASA Astrophysics Data System (ADS)

    Komarov, F. F.; Pilko, V. V.; Yakushev, V. A.; Tishkov, V. S.

    1994-11-01

    Microcrystalline and amorphous boron thin films were implanted with nitrogen ions at energies from 25 to 125 keV and with doses from 2 × 10 17 to 1 × 10 18 at.cm 2 at temperatures below 200°C. The structure of boron nitride phases after ion implantation, formation of phases and phase transformations were investigated by TEM and TED methods. The cubic boron nitride phase is revealed. The microhardness of the formed films was satisfactorily explained in terms of chemical compound formation by polyenergetic ion implantation. The influence of the copper impurity on the formation of the cubic boron nitride phase is demonstrated. It has also been shown that low concentrations of copper promote cubic BN boundary formation.

  10. Lattice disorder produced in GaN by He-ion implantation

    NASA Astrophysics Data System (ADS)

    Han, Yi; Peng, Jinxin; Li, Bingsheng; Wang, Zhiguang; Wei, Kongfang; Shen, Tielong; Sun, Jianrong; Zhang, Limin; Yao, Cunfeng; Gao, Ning; Gao, Xing; Pang, Lilong; Zhu, Yabin; Chang, Hailong; Cui, Minghuan; Luo, Peng; Sheng, Yanbin; Zhang, Hongpeng; Zhang, Li; Fang, Xuesong; Zhao, Sixiang; Jin, Jin; Huang, Yuxuan; Liu, Chao; Tai, Pengfei; Wang, Dong; He, Wenhao

    2017-09-01

    The lattice disorders induced by He-ion implantation in GaN epitaxial films to fluences of 2 × 1016, 5 × 1016 and 1 × 1017 cm-2 at room temperature (RT) have been investigated by a combination of Raman spectroscopy, high-resolution X-ray diffraction (HRXRD), nano-indentation, and transmission electron microscopy (TEM). The experimental results present that Raman intensity decreases with increasing fluence. Raman frequency "red shift" occurs after He-ion implantation. Strain increases with increasing fluence. The hardness of the highly damaged layer increases monotonically with increasing fluence. Microstructural results demonstrate that the width of the damage band and the number density of observed dislocation loops increases with increasing fluence. High-resolution TEM images exhibit that He-ion implantation lead to the formation of planar defects and most of the lattice defects are of interstitial-type basal loops. The relationships of Raman intensity, lattice strain, swelling and hardness with He-implantation-induced lattice disorders are discussed.

  11. Characterization of silicon-gate CMOS/SOS integrated circuits processed with ion implantation

    NASA Technical Reports Server (NTRS)

    Woo, D. S.

    1977-01-01

    Progress in developing the application of ion implantation techniques to silicon gate CMOS/SOS processing is described. All of the conventional doping techniques such as in situ doping of the epi-film and diffusion by means of doped oxides are replaced by ion implantation. Various devices and process parameters are characterized to generate an optimum process by the use of an existing SOS test array. As a result, excellent circuit performance is achieved. A general description of the all ion implantation process is presented.

  12. Ion Implantation with in-situ Patterning for IBC Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graff, John W.

    2014-10-24

    Interdigitated back-side Contact (IBC) solar cells are the highest efficiency silicon solar cells currently on the market. Unfortunately the cost to produce these solar cells is also very high, due to the large number of processing steps required. Varian believes that only the combination of high efficiency and low cost can meet the stated goal of $1/Wp. The core of this program has been to develop an in-situ patterning capability for an ion implantation system capable of producing patterned doped regions for IBC solar cells. Such a patterning capable ion implanter can reduce the number of process steps required tomore » manufacture IBC cells, and therefore significantly reduce the cost. The present program was organized into three phases. Phase I was to select a patterning approach and determine the patterning requirements for IBC cells. Phase II consists of construction of a Beta ion implantation system containing in-situ patterning capability. Phase III consists of shipping and installation of the ion implant system in a customer factory where it will be tested and proven in a pilot production line.« less

  13. Hybrid Donor-Dot Devices made using Top-down Ion Implantation for Quantum Computing

    NASA Astrophysics Data System (ADS)

    Bielejec, Edward; Bishop, Nathan; Carroll, Malcolm

    2012-02-01

    We present progress towards fabricating hybrid donor -- quantum dots (QD) for quantum computing. These devices will exploit the long coherence time of the donor system and the surface state manipulation associated with a QD. Fabrication requires detection of single ions implanted with 10's of nanometer precision. We show in this talk, 100% detection efficiency for single ions using a single ion Geiger mode avalanche (SIGMA) detector integrated into a Si MOS QD process flow. The NanoImplanter (nI) a focused ion beam system is used for precision top-down placement of the implanted ion. This machine has a 10 nm resolution combined with a mass velocity filter, allowing for the use of multi-species liquid metal ion sources (LMIS) to implant P and Sb ions, and a fast blanking and chopping system for single ion implants. The combination of the nI and integration of the SIGMA with the MOS QD process flow establishes a path to fabricate hybrid single donor-dot devices. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  14. Ion beam technology applications study. [ion impact, implantation, and surface finishing

    NASA Technical Reports Server (NTRS)

    Sellen, J. M., Jr.; Zafran, S.; Komatsu, G. K.

    1978-01-01

    Specific perceptions and possible ion beam technology applications were obtained as a result of a literature search and contact interviews with various institutions and individuals which took place over a 5-month period. The use of broad beam electron bombardment ion sources is assessed for materials deposition, removal, and alteration. Special techniques examined include: (1) cleaning, cutting, and texturing for surface treatment; (2) crosslinking of polymers, stress relief in deposited layers, and the creation of defect states in crystalline material by ion impact; and (3) ion implantation during epitaxial growth and the deposition of neutral materials sputtered by the ion beam. The aspects, advantages, and disadvantages of ion beam technology and the competitive role of alternative technologies are discussed.

  15. Synergistic effect of nanotopography and bioactive ions on peri-implant bone response

    PubMed Central

    Su, Yingmin; Komasa, Satoshi; Li, Peiqi; Nishizaki, Mariko; Chen, Luyuan; Terada, Chisato; Yoshimine, Shigeki; Nishizaki, Hiroshi; Okazaki, Joji

    2017-01-01

    Both bioactive ion chemistry and nanoscale surface modifications are beneficial for enhanced osseointegration of endosseous implants. In this study, a facile synthesis approach to the incorporation of bioactive Ca2+ ions into the interlayers of nanoporous structures (Ca-nano) formed on a Ti6Al4V alloy surface was developed by sequential chemical and heat treatments. Samples with a machined surface and an Na+ ion-incorporated nanoporous surface (Na-nano) fabricated by concentrated alkali and heat treatment were used in parallel for comparison. The bone response was investigated by microcomputed tomography assessment, sequential fluorescent labeling analysis, and histological and histomorphometric evaluation after 8 weeks of implantation in rat femurs. No significant differences were found in the nanotopography, surface roughness, or crystalline properties of the Ca-nano and Na-nano surfaces. Bone–implant contact was better in the Ca-nano and Na-nano implants than in the machined implant. The Ca-nano implant was superior to the Na-nano implant in terms of enhancing the volume of new bone formation. The bone formation activity consistently increased for the Ca-nano implant but ceased for the Na-nano implant in the late healing stage. These results suggest that Ca-nano implants have promising potential for application in dentistry and orthopedics. PMID:28184162

  16. Intrinsic point-defect balance in self-ion-implanted ZnO.

    PubMed

    Neuvonen, Pekka T; Vines, Lasse; Svensson, Bengt G; Kuznetsov, Andrej Yu

    2013-01-04

    The role of excess intrinsic atoms for residual point defect balance has been discriminated by implanting Zn or O ions into Li-containing ZnO and monitoring Li redistribution and electrical resistivity after postimplant anneals. Strongly Li-depleted regions were detected in the Zn-implanted samples at depths beyond the projected range (R(p)) upon annealing ≥ 600 °C, correlating with a resistivity decrease. In contrast, similar anneals of the O-implanted samples resulted in Li accumulation at R(p) and an increased resistivity. Control samples implanted with Ar or Ne ions, yielding similar defect production as for the Zn or O implants but with no surplus of intrinsic atoms, revealed no Li depletion. Thus, the depletion of Li shows evidence of excess Zn interstitials (Zn(I)) being released during annealing of the Zn-implanted samples. These Zn(I)'s convert substitutional Li atoms (Li(Zn)) into highly mobile interstitial ones leading to the strongly Li-depleted regions. In the O-implanted samples, the high resistivity provides evidence of stable O(I)-related acceptors.

  17. Effect of sulfate ions on growth and pollutants removal of self-flocculating microalga Chlorococcum sp. GD in synthetic municipal wastewater.

    PubMed

    Lv, Junping; Guo, Junyan; Feng, Jia; Liu, Qi; Xie, Shulian

    2017-06-01

    Sulfate is a primary sulfur source and can be available in wastewaters. Nevertheless, effect of sulfate ions on growth and pollutants removal of microalgae seems to be less investigated. At the present study, self-flocculating microalga Chlorococcum sp. GD was grown in synthetic municipal wastewater with different sulfate concentrations. Results indicated that Chlorococcum sp. GD grew better in synthetic municipal wastewater with 18, 45, 77, 136 and 271mg/L SO 4 2- than in wastewater without SO 4 2- . Chlorococcum sp. GD had also excellent removal efficiencies of nitrogen and phosphorus and effectively flocculated in sulfate wastewater. Sulfate deprivation weakened the growth, pollutants removal and self-flocculation of Chlorococcum sp. GD in wastewater. Antioxidative enzymes activity significantly increased and photosynthetic activity significantly decreased when Chlorococcum sp. GD was cultivated in sulfate-free wastewater. Sulfate deprivation probably reduced cell activity of growth, pollutants removal and flocculation via inducing the over-accumulation of reactive oxygen species (ROS). Copyright © 2017 Elsevier Ltd. All rights reserved.

  18. Observations of Ag diffusion in ion implanted SiC

    DOE PAGES

    Gerczak, Tyler J.; Leng, Bin; Sridharan, Kumar; ...

    2015-03-17

    The nature and magnitude of Ag diffusion in SiC has been a topic of interest in connection with the performance of tristructural isotropic (TRISO) coated particle fuel for high temperature gas-cooled nuclear reactors. Ion implantation diffusion couples have been revisited to continue developing a more complete understanding of Ag fission product diffusion in SiC. Ion implantation diffusion couples fabricated from single crystal 4H-SiC and polycrystalline 3C-SiC substrates and exposed to 1500–1625°C, were investigated in this study by transmission electron microscopy and secondary ion mass spectrometry (SIMS). The high dynamic range of SIMS allowed for multiple diffusion régimes to be investigated,more » including enhanced diffusion by implantation-induced defects and grain boundary (GB) diffusion in undamaged SiC. Lastly, estimated diffusion coefficients suggest GB diffusion in bulk SiC does not properly describe the release observed from TRISO fuel.« less

  19. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    NASA Astrophysics Data System (ADS)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  20. High Curie temperature drive layer materials for ion-implanted magnetic bubble devices

    NASA Technical Reports Server (NTRS)

    Fratello, V. J.; Wolfe, R.; Blank, S. L.; Nelson, T. J.

    1984-01-01

    Ion implantation of bubble garnets can lower the Curie temperature by 70 C or more, thus limiting high temperature operation of devices with ion-implanted propagation patterns. Therefore, double-layer materials were made with a conventional 2-micron bubble storage layer capped by an ion-implantable drive layer of high Curie temperature, high magnetostriction material. Contiguous disk test patterns were implanted with varying doses of a typical triple implant. Quality of propagation was judged by quasistatic tests on 8-micron period major and minor loops. Variations of magnetization, uniaxial anisotropy, implant dose, and magnetostriction were investigated to ensure optimum flux matching, good charged wall coupling, and wide operating margins. The most successful drive layer compositions were in the systems (SmDyLuCa)3(FeSi)5O12 and (BiGdTmCa)3(FeSi)5O12 and had Curie temperatures 25-44 C higher than the storage layers.

  1. Corrosion resistance of titanium ion implanted AZ91 magnesium alloy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu Chenglong; Xin Yunchang; Tian Xiubo

    2007-03-15

    Degradable metal alloys constitute a new class of materials for load-bearing biomedical implants. Owing to their good mechanical properties and biocompatibility, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to improve the corrosion behavior of surgical AZ91 magnesium alloy by titanium ion implantation. The surface characteristics of the ion implanted layer in the magnesium alloys are examined. The authors' results disclose that an intermixed layer is produced and the surface oxidized films are mainly composed of titanium oxide with a lesser amount of magnesium oxide. X-ray photoelectron spectroscopy reveals that the oxide has threemore » layers. The outer layer which is 10 nm thick is mainly composed of MgO and TiO{sub 2} with some Mg(OH){sub 2}. The middle layer that is 50 nm thick comprises predominantly TiO{sub 2} and MgO with minor contributions from MgAl{sub 2}O{sub 4} and TiO. The third layer from the surface is rich in metallic Mg, Ti, Al, and Ti{sub 3}Al. The effects of Ti ion implantation on the corrosion resistance and electrochemical behavior of the magnesium alloys are investigated in simulated body fluids at 37{+-}1 deg. C using electrochemical impedance spectroscopy and open circuit potential techniques. Compared to the unimplanted AZ91 alloy, titanium ion implantation significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP. This phenomenon can be ascribed to the more compact surface oxide film, enhanced reoxidation on the implanted surface, as well as the increased {beta}-Mg{sub 12}Al{sub 17} phase.« less

  2. Effect of ion implantation on the tribology of metal-on-metal hip prostheses.

    PubMed

    Bowsher, John G; Hussain, Azad; Williams, Paul; Nevelos, Jim; Shelton, Julia C

    2004-12-01

    Nitrogen ion implantation (which considerably hardens the surface of the bearing) may represent one possible method of reducing the wear of metal-on-metal (MOM) hip bearings. Currently there are no ion-implanted MOM bearings used clinically. Therefore a physiological hip simulator test was undertaken using standard test conditions, and the results compared to previous studies using the same methods. N2-ion implantation of high carbon cast Co-Cr-Mo-on-Co-Cr-Mo hip prostheses increased wear by 2-fold during the aggressive running-in phase compared to untreated bearing surfaces, plus showing no wear reductions during steady-state conditions. Although 2 specimens were considered in the current study, it would appear that ion implantation has no clinical benefit for MOM.

  3. Ion chemistry of phosphorus in hydrocarbon flames : Part 1. electron scavenging by negative ion formation

    NASA Astrophysics Data System (ADS)

    Goodings, John M.; Hassanali, Carl S.

    1990-12-01

    Premixed methane--oxygen flames at atmospheric pressure of both fuel-rich (FR) and fuel-lean (FL; i.e. oxygen-rich) composition were doped with small amounts ( < 0.1 mol %) of volatile phosphorus alkyl triesters. It was demonstrated that these organo phosphorus compounds lead to efficient scavenging of the free electrons, normally present in the burnt gas of hydrocarbon flames, by negative ion formation. The anions produced by chemical ionization reactions were observed by sampling the flame gas through a nozzle into a mass spectrometer. Under both FR and FL conditions, the mass spectrum of phosphorus anions was dominated by PO-3, with a lesser contribution from PO-2. Also, H2PO-4 and PO- were observed below 100 u, as well as HPO-4 and PO-4 in the FL flame, and H2CPO-2 in the FR case. Above 100 u, the identity of the additive survivedin the FR flame to give anions of the type (RO)xPOy(OH)-z, where R is the alkyl group. However, these were replaced in the FL flame by anions of the type PO-n (n = 5-8) below 160 u. The formation chemistry of this considerable variety of phosphorus anions is discussed in detail, involving mainly three-body association, nucleophilic displacement (SN2) and proton abstraction reactions.

  4. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    NASA Astrophysics Data System (ADS)

    Bannister, M. E.; Hijazi, H.; Meyer, H. M.; Cianciolo, V.; Meyer, F. W.

    2014-11-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R&D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 1016 cm-2, where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5-6.2 × 1016 cm-2. Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities.

  5. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    NASA Astrophysics Data System (ADS)

    Zheng, Chenlong; Wang, Guangfu; Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi

    2016-03-01

    A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C1- implantation dose was increased to 1 × 1016 ions/cm2, and the effects of C1-, C2- and O1- implantation result in only small differences in the water contact angle at 3 × 1015 ions/cm2. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Sisbnd CH3, Sisbnd Osbnd Si, Csbnd H) of RTV SR and generates hydrophilic functional groups (sbnd COOH, sbnd OH, Sisbnd (O)x (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method to improve the cell biocompatibility of RTV SR.

  6. Extended defects and hydrogen interactions in ion implanted silicon

    NASA Astrophysics Data System (ADS)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (<650°C) defect dissolution and defect injection dominates, resulting in increased junction depths. At higher anneal temperatures, however, repair dominates over defect injection resulting in shallower junctions. Hydrogenation experiments shows that hydrogen enhances dopant activation and reduces TED at low anneal temperatures (<550°C). At anneal temperatures >550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at

  7. Methods of obtaining a uniform volume concentration of implanted ions

    NASA Astrophysics Data System (ADS)

    Reutov, V. F.

    1998-05-01

    Three simple practical methods of irradiation with high energy particles (>5 MeV/n), providing the conditions of obtaining a uniform volume concentration of the implanted ions in the massive samples are described in the present paper. Realization of the condition of two-sided irradiation of a plane sample during its rotation in the flux of the projectiles is the basis of the first method. The use of free air as a filter with varying absorbent ability due to the movement of the irradiated sample along ion beam brought to the atmosphere is at the basis of the second method of uniform ion alloying. The third method of obtaining a uniform volume concentration of the implanted ions in a massive sample consists of sample irradiation through the absorbent filter in the shape of a foil curved according to the parabolic law moving along its surface. The first method is the most effective for obtaining a great number of the samples, for mechanical tests, for example, the second one - for irradiation in different gaseous media, the third one - for obtaining high concentration of the implanted ions under controlled (regulated) thermal and deformation conditions.

  8. Multifunctions of dual Zn/Mg ion co-implanted titanium on osteogenesis, angiogenesis and bacteria inhibition for dental implants.

    PubMed

    Yu, Yiqiang; Jin, Guodong; Xue, Yang; Wang, Donghui; Liu, Xuanyong; Sun, Jiao

    2017-02-01

    In order to improve the osseointegration and long-term survival of dental implants, it is urgent to develop a multifunctional titanium surface which would simultaneously have osteogeneic, angiogeneic and antibacterial properties. In this study, a potential dental implant material-dual Zn/Mg ion co-implanted titanium (Zn/Mg-PIII) was developed via plasma immersion ion implantation (PIII). The Zn/Mg-PIII surfaces were found to promote initial adhesion and spreading of rat bone marrow mesenchymal stem cells (rBMSCs) via the upregulation of the gene expression of integrin α1 and integrin β1. More importantly, it was revealed that Zn/Mg-PIII could increase Zn 2+ and Mg 2+ concentrations in rBMSCs by promoting the influx of Zn 2+ and Mg 2+ and inhibiting the outflow of Zn 2+ , and then could enhance the transcription of Runx2 and the expression of ALP and OCN. Meanwhile, Mg 2+ ions from Zn/Mg-PIII increased Mg 2+ influx by upregulating the expression of MagT1 transporter in human umbilical vein endothelial cells (HUVECs), and then stimulated the transcription of VEGF and KDR via activation of hypoxia inducing factor (HIF)-1α, thus inducing angiogenesis. In addition to this, it was discovered that zinc in Zn/Mg-PIII had certain inhibitory effects on oral anaerobic bacteria (Pg, Fn and Sm). Finally, the Zn/Mg-PIII implants were implanted in rabbit femurs for 4 and 12weeks with Zn-PIII, Mg-PIII and pure titanium as controls. Micro-CT evaluation, sequential fluorescent labeling, histological analysis and push-out test consistently demonstrated that Zn/Mg-PIII implants exhibit superior capacities for enhancing bone formation, angiogenesis and osseointegration, while consequently increasing the bonding strength at bone-implant interfaces. All these results suggest that due to the multiple functions co-produced by zinc and magnesium, rapid osseointegration and sustained biomechanical stability are enhanced by the novel Zn/Mg-PIII implants, which have the potential

  9. Surface and local electronic structure modification of MgO film using Zn and Fe ion implantation

    NASA Astrophysics Data System (ADS)

    Singh, Jitendra Pal; Lim, Weon Cheol; Lee, Jihye; Song, Jonghan; Lee, Ik-Jae; Chae, Keun Hwa

    2018-02-01

    Present work is motivated to investigate the surface and local electronic structure modifications of MgO films implanted with Zn and Fe ions. MgO film was deposited using radio frequency sputtering method. Atomic force microscopy measurements exhibit morphological changes associated with implantation. Implantation of Fe and Zn ions leads to the reduction of co-ordination geometry of Mg2+ ions in host lattice. The effect is dominant at bulk of film rather than surface as the large concentration of implanted ions resides inside bulk. Moreover, the evidences of interaction among implanted ions and oxygen are not being observed using near edge fine structure measurements.

  10. Grain size effect on yield strength of titanium alloy implanted with aluminum ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Popova, Natalya, E-mail: natalya-popova-44@mail.ru; Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk; Nikonenko, Elena, E-mail: vilatomsk@mail.ru

    2016-01-15

    The paper presents a transmission electron microscopy (TEM) study of the microstructure and phase state of commercially pure titanium VT1-0 implanted by aluminum ions. This study has been carried out before and after the ion implantation for different grain size, i.e. 0.3 µm (ultra-fine grain condition), 1.5 µm (fine grain condition), and 17 µm (polycrystalline condition). This paper presents details of calculations and analysis of strength components of the yield stress. It is shown that the ion implantation results in a considerable hardening of the entire thickness of the implanted layer in the both grain types. The grain size has, however, a differentmore » effect on the yield stress. So, both before and after the ion implantation, the increase of the grain size leads to the decrease of the alloy hardening. Thus, hardening in ultra-fine and fine grain alloys increased by four times, while in polycrystalline alloy it increased by over six times.« less

  11. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    NASA Astrophysics Data System (ADS)

    Gastaldo, L.; Ranitzsch, P. C.-O.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-05-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163Ho using MMCs having the radioactive 163Ho ions implanted in the absorber. The isotope 163Ho decays through electron capture to 163Dy and features the smallest known QEC value. This peculiarity makes 163Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163Ho experiments is presented.

  12. Demonstration and Analysis of Materials Processing by Ablation Plasma Ion Implantation (APII)

    NASA Astrophysics Data System (ADS)

    Qi, B.; Gilgenbach, R. M.; Lau, Y. Y.; Jones, M. C.; Lian, J.; Wang, L. M.; Doll, G. L.; Lazarides, A.

    2001-10-01

    Experiments have demonstrated laser-ablated Fe ion implantation into Si substrates. Baseline laser deposited films (0 kV) showed an amorphous Fe-Si film overlying the Si substrate with a top layer of nanocrystalline Fe. APII films exhibited an additional Fe ion-induced damage layer, extending 7.6 nm below the Si surface. The overlying Fe-Si layer and Fe top layer were amorphized by fast ions. Results were confirmed by XPS vs Ar ion etching time for depth profile of the deposited films. XPS showed primarily Fe (top layer), transitioning to roughly equal Fe/Si , then mostly Si with lower Fe (implanted region). These data clearly prove Fe ion implantation into Si, verifying the feasibility of APII as an ion acceleration and implantation process [1]. SRIM simulations predict about 20 percent deeper Fe ion penetration than data, due to:(a) Subsequent ions must pass through the Fe film deposited by earlier ions, and (b) the bias voltage has a slow rise and fall time. Theoretical research has developed the scaling laws for APII [2]. Recently, a model has successfully explained the shortening of the decay time in the high voltage pulse with the laser ablation plasma. This reduces the theoretical RC time constant, which agrees with the experimental data. * Research supported by National Science Foundation Grant CTS-9907106 [1] Appl. Phys. Lett. 78, 3785 (2001) [2] Appl. Phys. Lett. 78, 706 (2001)),

  13. Interferometric pump-probe characterization of the nonlocal response of optically transparent ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Hadjichristov, Georgi B.

    2012-03-01

    Optical interferometric technique is applied to characterize the nonlocal response of optically transparent ion implanted polymers. The thermal nonlinearity of the ion-modified material in the near-surface region is induced by continuous wave (cw) laser irradiation at a relatively low intensity. The interferometry approach is demonstrated for a subsurface layer of a thickness of about 100 nm formed in bulk polymethylmethacrylate (PMMA) by implantation with silicon ions at an energy of 50 keV and fluence in the range 1014-1017 cm-2. The laser-induced thermooptic effect in this layer is finely probed by interferometric imaging. The interference phase distribution in the plane of the ion implanted layer is indicative for the thermal nonlinearity of the near-surface region of ion implanted optically transparent polymeric materials.

  14. Development and experimental study of large size composite plasma immersion ion implantation device

    NASA Astrophysics Data System (ADS)

    Falun, SONG; Fei, LI; Mingdong, ZHU; Langping, WANG; Beizhen, ZHANG; Haitao, GONG; Yanqing, GAN; Xiao, JIN

    2018-01-01

    Plasma immersion ion implantation (PIII) overcomes the direct exposure limit of traditional beam-line ion implantation, and is suitable for the treatment of complex work-piece with large size. PIII technology is often used for surface modification of metal, plastics and ceramics. Based on the requirement of surface modification of large size insulating material, a composite full-directional PIII device based on RF plasma source and metal plasma source is developed in this paper. This device can not only realize gas ion implantation, but also can realize metal ion implantation, and can also realize gas ion mixing with metal ions injection. This device has two metal plasma sources and each metal source contains three cathodes. Under the condition of keeping the vacuum unchanged, the cathode can be switched freely. The volume of the vacuum chamber is about 0.94 m3, and maximum vacuum degree is about 5 × 10-4 Pa. The density of RF plasma in homogeneous region is about 109 cm-3, and plasma density in the ion implantation region is about 1010 cm-3. This device can be used for large-size sample material PIII treatment, the maximum size of the sample diameter up to 400 mm. The experimental results show that the plasma discharge in the device is stable and can run for a long time. It is suitable for surface treatment of insulating materials.

  15. Cathodoluminescence Characterization of Ion Implanted GaAs.

    DTIC Science & Technology

    1980-03-01

    technique that can be used to characterize the semiconductor device "in situ" before further processing can save the Air Force valuable time as well...Patterson Air Force Base,Ohio i! i ill i I ;Wow AFIT/DS/PH/80- I.i1I LEVELOO CATHODOLUMINESCENCE CHARACTERIZATION OF ION IPLANTED GaAs D I SSERUrAT ION...CATODOLUMINESCENCE CHARACTERIZATION .’ a .... OF ION IMPLANTED GaAs’ - .. .. Dtriy’ t’ c:’/ A’: t 1. - Cc;-,P by an i’or Milton L one B.S., M.S. Major USAF Approved

  16. Fabrication and Characterization of Thin Film Ion Implanted Composite Materials for Integrated Nonlinear Optical Devices

    NASA Technical Reports Server (NTRS)

    Sarkisov, S.; Curley, M.; Williams, E. K.; Wilkosz, A.; Ila, D.; Poker, D. B.; Hensley, D. K.; Smith, C.; Banks, C.; Penn, B.; hide

    1998-01-01

    Ion implantation has been shown to produce a high density of metal colloids within the layer regions of glasses and crystalline materials. The high-precipitate volume fraction and small size of metal nanoclusters formed leads to values for the third-order susceptibility much greater than those for metal doped solids. This has stimulated interest in use of ion implantation to make nonlinear optical materials. On the other side, LiNbO3 has proved to be a good material for optical waveguides produced by MeV ion implantation. Light confinement in these waveguides is produced by refractive index step difference between the implanted region and the bulk material. Implantation of LiNbO3 with MeV metal ions can therefore result into nonlinear optical waveguide structures with great potential in a variety of device applications. We describe linear and nonlinear optical properties of a waveguide structure in LiNbO3-based composite material produced by silver ion implantation in connection with mechanisms of its formation.

  17. Deformation characteristics of the near-surface layers of zirconia ceramics implanted with aluminum ions

    NASA Astrophysics Data System (ADS)

    Ghyngazov, S. A.; Vasiliev, I. P.; Frangulyan, T. S.; Chernyavski, A. V.

    2015-10-01

    The effect of ion treatment on the phase composition and mechanical properties of the near-surface layers of zirconium ceramic composition 97 ZrO2-3Y2O3 (mol%) was studied. Irradiation of the samples was carried out by accelerated ions of aluminum with using vacuum-arc source Mevva 5-Ru. Ion beam had the following parameters: the energy of the accelerated ions E = 78 keV, the pulse current density Ji = 4mA / cm2, current pulse duration equal τ = 250 mcs, pulse repetition frequency f = 5 Hz. Exposure doses (fluence) were 1016 и 1017 ion/cm2. The depth distribution implanted ions was studied by SIMS method. It is shown that the maximum projected range of the implanted ions is equal to 250 nm. Near-surface layers were investigated by X-ray diffraction (XRD) at fixed glancing incidence angle. It is shown that implantation of aluminum ions into the ceramics does not lead to a change in the phase composition of the near-surface layer. The influence of implanted ions on mechanical properties of ceramic near-surface layers was studied by the method of dynamic nanoindentation using small loads on the indenter P=300 mN. It is shown that in ion- implanted ceramic layer the processes of material recovery in the deformed region in the unloading mode proceeds with higher efficiency as compared with the initial material state. The deformation characteristics of samples before and after ion treatment have been determined from interpretation of the resulting P-h curves within the loading and unloading sections by the technique proposed by Oliver and Pharr. It was found that implantation of aluminum ions in the near-surface layer of zirconia ceramics increases nanohardness and reduces the Young's modulus.

  18. Ion-Implanted Diamond Films and Their Tribological Properties

    NASA Technical Reports Server (NTRS)

    Wu, Richard L. C.; Miyoshi, Kazuhisa; Korenyi-Both, Andras L.; Garscadden, Alan; Barnes, Paul N.

    1993-01-01

    This paper reports the physical characterization and tribological evaluation of ion-implanted diamond films. Diamond films were produced by microwave plasma, chemical vapor deposition technique. Diamond films with various grain sizes (0.3 and 3 microns) and roughness (9.1 and 92.1 nm r.m.s. respectively) were implanted with C(+) (m/e = 12) at an ion energy of 160 eV and a fluence of 6.72 x 10(exp 17) ions/sq cm. Unidirectional sliding friction experiments were conducted in ultrahigh vacuum (6.6 x 10(exp -7)Pa), dry nitrogen and humid air (40% RH) environments. The effects of C(+) ion bombardment on fine and coarse-grained diamond films are as follows: the surface morphology of the diamond films did not change; the surface roughness increased (16.3 and 135.3 nm r.m.s.); the diamond structures were damaged and formed a thin layer of amorphous non-diamond carbon; the friction coefficients dramatically decreased in the ultrahigh vacuum (0.1 and 0.4); the friction coefficients decreased slightly in the dry nitrogen and humid air environments.

  19. Ultrahigh-current-density metal-ion implantation and diamondlike-hydrocarbon films for tribological applications

    NASA Astrophysics Data System (ADS)

    Wilbur, P. J.

    1993-09-01

    The metal-ion-implantation system used to implant metals into substrates are described. The metal vapor required for operation is supplied by drawing sufficient electron current from the plasma discharge to an anode-potential crucible so a solid, pure metal placed in the crucible will be heated to the point of vaporization. The ion-producing, plasma discharge is initiated within a graphite-ion-source body, which operates at high temperature, by using an argon flow that is turned off once the metal vapor is present. Extraction of ion beams several cm in diameter at current densities ranging to several hundred micro-A/sq cm on a target 50 cm downstream of the ion source were demonstrated using Mg, Ag, Cr, Cu, Si, Ti, V, B, and Zr. These metals were implanted into over 100 substrates (discs, pins, flats, wires). A model describing thermal stresses induced in materials (e.g. ceramic plates) during high-current-density implantation is presented. Tribological and microstructural characteristics of iron and 304-stainless-steel samples implanted with Ti or B are examined. Diamondlike-hydrocarbon coatings were applied to steel surfaces and found to exhibit good tribological performance.

  20. Method For Plasma Source Ion Implantation And Deposition For Cylindrical Surfaces

    DOEpatents

    Fetherston, Robert P. , Shamim, Muhammad M. , Conrad, John R.

    1997-12-02

    Uniform ion implantation and deposition onto cylindrical surfaces is achieved by placing a cylindrical electrode in coaxial and conformal relation to the target surface. For implantation and deposition of an inner bore surface the electrode is placed inside the target. For implantation and deposition on an outer cylindrical surface the electrode is placed around the outside of the target. A plasma is generated between the electrode and the target cylindrical surface. Applying a pulse of high voltage to the target causes ions from the plasma to be driven onto the cylindrical target surface. The plasma contained in the space between the target and the electrode is uniform, resulting in a uniform implantation or deposition of the target surface. Since the plasma is largely contained in the space between the target and the electrode, contamination of the vacuum chamber enclosing the target and electrodes by inadvertent ion deposition is reduced. The coaxial alignment of the target and the electrode may be employed for the ion assisted deposition of sputtered metals onto the target, resulting in a uniform coating of the cylindrical target surface by the sputtered material. The independently generated and contained plasmas associated with each cylindrical target/electrode pair allows for effective batch processing of multiple cylindrical targets within a single vacuum chamber, resulting in both uniform implantation or deposition, and reduced contamination of one target by adjacent target/electrode pairs.

  1. A hot implantation study on the evolution of defects in He ion implanted MgO(1 0 0)

    NASA Astrophysics Data System (ADS)

    Fedorov, A. V.; van Huis, M. A.; van Veen, A.

    2002-05-01

    Ion implantation at elevated temperature, so-called hot implantation, was used to study nucleation and thermal stability of the defects. In this work, MgO(1 0 0) single crystal samples were implanted with 30 keV He ions at various implantation temperatures. The implantation doses ranged from 10 14 to 10 16 cm -2. The implantation introduced defects were subsequently studied by thermal helium desorption spectroscopy (THDS) and Doppler broadening positron beam analysis (PBA). The THDS study provides vital information on the kinetics of He release from the sample. PBA technique, being sensitive to the open volume defects, provides complementary information on cavity evolution. The THD study has shown that in most cases helium release is characterised by the activation energy of Q=4.7±0.5 eV with the maximum release temperature of Tmax=1830 K. By applying first order desorption model the pre-exponent factor is estimated as ν=4.3×10 11 s -1.

  2. Use of low-energy hydrogen ion implants in high-efficiency crystalline-silicon solar cells

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.; Sigh, R.; Mu, H. C.

    1986-01-01

    The use of low-energy hydrogen implants in the fabrication of high-efficiency crystalline silicon solar cells was investigated. Low-energy hydrogen implants result in hydrogen-caused effects in all three regions of a solar cell: emitter, space charge region, and base. In web, Czochralski (Cz), and floating zone (Fz) material, low-energy hydrogen implants reduced surface recombination velocity. In all three, the implants passivated the space charge region recombination centers. It was established that hydrogen implants can alter the diffusion properties of ion-implanted boron in silicon, but not ion-implated arsenic.

  3. Ion radiation albedo effect: influence of surface roughness on ion implantation and sputtering of materials

    NASA Astrophysics Data System (ADS)

    Li, Yonggang; Yang, Yang; Short, Michael P.; Ding, Zejun; Zeng, Zhi; Li, Ju

    2017-01-01

    In fusion devices, ion retention and sputtering of materials are major concerns in the selection of compatible plasma-facing materials (PFMs), especially in the context of their microstructural conditions and surface morphologies. We demonstrate how surface roughness changes ion implantation and sputtering of materials under energetic ion irradiation. Using a new, sophisticated 3D Monte Carlo (MC) code, IM3D, and a random rough surface model, ion implantation and the sputtering yields of tungsten (W) with a surface roughness varying between 0-2 µm have been studied for irradiation by 0.1-1 keV D+, He+ and Ar+ ions. It is found that both ion backscattering and sputtering yields decrease with increasing roughness; this is hereafter called the ion radiation albedo effect. This effect is mainly dominated by the direct, line-of-sight deposition of a fraction of emitted atoms onto neighboring asperities. Backscattering and sputtering increase with more oblique irradiation angles. We propose a simple analytical formula to relate rough-surface and smooth-surface results.

  4. Metal Ion-Loaded Nanofibre Matrices for Calcification Inhibition in Polyurethane Implants

    PubMed Central

    Singh, Charanpreet; Wang, Xungai

    2017-01-01

    Pathologic calcification leads to structural deterioration of implant materials via stiffening, stress cracking, and other structural disintegration mechanisms, and the effect can be critical for implants intended for long-term or permanent implantation. This study demonstrates the potential of using specific metal ions (MI)s for inhibiting pathological calcification in polyurethane (PU) implants. The hypothesis of using MIs as anti-calcification agents was based on the natural calcium-antagonist role of Mg2+ ions in human body, and the anti-calcification effect of Fe3+ ions in bio-prosthetic heart valves has previously been confirmed. In vitro calcification results indicated that a protective covering mesh of MI-doped PU can prevent calcification by preventing hydroxyapatite crystal growth. However, microstructure and mechanical characterisation revealed oxidative degradation effects from Fe3+ ions on the mechanical properties of the PU matrix. Therefore, from both a mechanical and anti-calcification effects point of view, Mg2+ ions are more promising candidates than Fe3+ ions. The in vitro MI release experiments demonstrated that PU microphase separation and the structural design of PU-MI matrices were important determinants of release kinetics. Increased phase separation in doped PU assisted in consistent long-term release of dissolved MIs from both hard and soft segments of the PU. The use of a composite-sandwich mesh design prevented an initial burst release which improved the late (>20 days) release rate of MIs from the matrix. PMID:28644382

  5. Ion implantation in ices and its relevance to the icy moons of the external planets

    NASA Astrophysics Data System (ADS)

    Strazzulla, G.; Baratta, G. A.; Fulvio, D.; Garozzo, M.; Leto, G.; Palumbo, M. E.; Spinella, F.

    2007-08-01

    Solid, atmosphere-less objects in the Solar System are continuously irradiated by energetic ions mostly in the keV-MeV energy range. Being the penetration depth of the incoming ions usually much lower than the thickness of the target, they are stopped into the ice. They deposit energy in the target induce the breaking of molecular bonds. The recombination of fragments produce different molecules. Reactive ions (e.g., H, C, N, O, S) induce all of the effects of any other ion, but in addition have a chance, by implantation in the target, to form new species containing the projectile. An ongoing research program performed at our laboratory has the aim to investigate ion implantation of reactive ions in many relevant ice mixtures. The results obtained so far indicate that some molecular species observed on icy planetary surfaces could not be native of that object but formed by implantation of reactive ions. In particular we present data obtained after: • C, N and S implantation in water ice • H implantation in carbon and sulfur dioxide

  6. Production yield of rare-earth ions implanted into an optical crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kornher, Thomas, E-mail: t.kornher@physik.uni-stuttgart.de; Xia, Kangwei; Kolesov, Roman

    2016-02-01

    Rare-earth (RE) ions doped into desired locations of optical crystals might enable a range of novel integrated photonic devices for quantum applications. With this aim, we have investigated the production yield of cerium and praseodymium by means of ion implantation. As a measure, the collected fluorescence intensity from both implanted samples and single centers was used. With a tailored annealing procedure for cerium, a yield up to 53% was estimated. Praseodymium yield amounts up to 91%. Such high implantation yield indicates a feasibility of creation of nanopatterned rare-earth doping and suggests strong potential of RE species for on-chip photonic devices.

  7. Method of making an ion-implanted planar-buried-heterostructure diode laser

    DOEpatents

    Brennan, Thomas M.; Hammons, Burrell E.; Myers, David R.; Vawter, Gregory A.

    1992-01-01

    Planar-buried-heterostructure, graded-index, separate-confinement-heterostructure semiconductor diode laser 10 includes a single quantum well or multi-quantum well active stripe 12 disposed between a p-type compositionally graded Group III-V cladding lever 14 and an n-type compositionally graded Group III-V cladding layer 16. The laser 10 includes an iion implanted n-type region 28 within the p-type cladding layer 14 and further includes an ion implanted p-type region 26 within the n-type cladding layer 16. The ion implanted regions are disposed for defining a lateral extent of the active stripe.

  8. The Use of Ion Implantation for Materials Processing.

    DTIC Science & Technology

    1986-03-06

    34 ASME, J. Lub. Technology 105, pp. 534-541 (1983). 89. J. M. Lambert, P. A. Treado, D . Trbojevic , R. G. Allas, A. R. Knudson, G. W. Reynolds, and F. R...Singer and R.G. Vardiman D . In Situ Auger Analysis Of Surface Composition During High Fluence Ion Implantation...Niobium Implantation Of Iron Films ..............................................37 B. D . Sartwell and D.A. Baldwin F. Sputtering And Migration During Ta

  9. Characterisation of Cs ion implanted GaN by DLTS

    NASA Astrophysics Data System (ADS)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  10. Microstructural and opto-electrical properties of chromium nitride films implanted with vanadium ions

    NASA Astrophysics Data System (ADS)

    Novaković, M.; Traverse, A.; Popović, M.; Lieb, K. P.; Zhang, K.; Bibić, N.

    2012-07-01

    We report on modifications of 280-nm thin polycrystalline CrN layers caused by vanadium ion implantation. The CrN layers were deposited at 150°C by d.c. reactive sputtering on Si(100) wafers and then implanted at room temperature with 80-keV V+ ions to fluences of 1×1017 and 2×1017 ions/cm2. Rutherford backscattering spectroscopy, cross-sectional transmission electron microscopy, and X-ray diffraction were used to characterize changes in the structural properties of the films. Their optical and electrical properties were analyzed by infrared spectroscopy in reflection mode and electrical resistivity measurements. CrN was found to keep its cubic structure under the conditions of vanadium ion implantation used here. The initially partially non-metallic CrN layer displays metallic character under implantation, which may be related to the possible formation of Cr1-x V x N.

  11. Effects of vanadium ion implantation on microstructure, mechanical and tribological properties of TiN coatings

    NASA Astrophysics Data System (ADS)

    Deng, Bin; Tao, Ye; Guo, Deliang

    2012-09-01

    TiN coatings were deposited on the substrates of cemented carbide (WC-TiC-Co) by Magnetic Filter Arc Ion Plating (MFAIP) and then implanted with vanadium through Metal Vacuum Vapor Arc (MEVVA) ion source with the doses of 1 × 1017 and 5 × 1017 ions/cm2 at 40 kV. The microstructures and chemical compositions of the V-implanted TiN coatings were investigated using Glancing Incidence X-ray Diffraction (GIXRD) and X-ray Photoelectron Spectroscopy (XPS), together with the mechanical and tribological properties of coatings were characterized using nano-indentation and ball-on-disk tribometer. It was found that the diffraction peaks of the V-implanted TiN coatings at the doses of 5 × 1017 ions/cm2 shifted to higher angles and became broader. The hardness and elastic modulus of TiN coatings increased after V ion implantation. The wear mechanism for both un-implanted and V-implanted TiN coatings against GCr15 steel ball was adhesive wear, and the V-implanted TiN coatings had a lower friction coefficient as well as a better wear resistance

  12. Quantitative secondary ion mass spectrometric analysis of secondary ion polarity in GaN films implanted with oxygen

    NASA Astrophysics Data System (ADS)

    Hashiguchi, Minako; Sakaguchi, Isao; Adachi, Yutaka; Ohashi, Naoki

    2016-10-01

    Quantitative analyses of N and O ions in GaN thin films implanted with oxygen ions (16O+) were conducted by secondary ion mass spectrometry (SIMS). Positive (CsM+) and negative secondary ions extracted by Cs+ primary ion bombardment were analyzed for oxygen quantitative analysis. The oxygen depth profiles were obtained using two types of primary ion beams: a Gaussian-type beam and a broad spot beam. The oxygen peak concentrations in GaN samples were from 3.2 × 1019 to 7.0 × 1021 atoms/cm3. The depth profiles show equivalent depth resolutions in the two analyses. The intensity of negative oxygen ions was approximately two orders of magnitude higher than that of positive ions. In contrast, the O/N intensity ratio measured using CsM+ molecular ions was close to the calculated atomic density ratio, indicating that the SIMS depth profiling using CsM+ ions is much more effective for the measurements of O and N ions in heavy O-implanted GaN than that using negative ions.

  13. Ion implantation in group III-nitride semiconductors: a tool for doping and defect studies

    NASA Astrophysics Data System (ADS)

    Zolper, J. C.

    1997-06-01

    Ion implantation is a flexible process technology for introducing an array of doping or compensating impurities into semiconductors. As the crystal quality of the group III-nitride materials continues to improve, ion implantation is playing an enabling role in exploring new dopant species and device structures. In this paper we review the recent developments in ion implantation processing of these materials with a particular emphasis on how this technology has brought new understanding to this materials system. In particular, the use of ion implantation to characterize impurity luminescence, doping, and compensation in III-nitride materials is reviewed. In addition, we address the nature of implantation induced damage in GaN which demonstrates a very strong resistance to amorphization while at the same time forming damage that is not easily removed by thermal annealing. Finally, we review the coupling of implantation with high temperature rapid thermal annealing to better understand the thermal stability of these materials and the redistribution properties of the common dopant (Si, O, Be, Mg, Ca, and Zn).

  14. Angle Control on the Optima HE/XE Ion Implanter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bell, Edward; Satoh, Shu

    2008-11-03

    The Optima HE/XE is the latest generation of high energy ion implanter from Axcelis, combining proven RF linear accelerator technology with new single wafer processing. The architecture of the implanter is designed to provide a parallel beam at the wafer plane over the full range of implant energies and beam currents. One of the advantages of this system is the ability to control both the horizontal and vertical implant angles for each implant. Included in the design is the ability to perform in situ measurements of the horizontal and vertical angles of the beam in real time. The method ofmore » the horizontal and vertical angle measurements is described in this paper.« less

  15. Formation of p-type ZnO thin film through co-implantation

    NASA Astrophysics Data System (ADS)

    Chuang, Yao-Teng; Liou, Jhe-Wei; Woon, Wei-Yen

    2017-01-01

    We present a study on the formation of p-type ZnO thin film through ion implantation. Group V dopants (N, P) with different ionic radii are implanted into chemical vapor deposition grown ZnO thin film on GaN/sapphire substrates prior to thermal activation. It is found that mono-doped ZnO by N+ implantation results in n-type conductivity under thermal activation. Dual-doped ZnO film with a N:P ion implantation dose ratio of 4:1 is found to be p-type under certain thermal activation conditions. Higher p-type activation levels (1019 cm-3) under a wider thermal activation range are found for the N/P dual-doped ZnO film co-implanted by additional oxygen ions. From high resolution x-ray diffraction and x-ray photoelectron spectroscopy it is concluded that the observed p-type conductivities are a result of the promoted formation of PZn-4NO complex defects via the concurrent substitution of nitrogen at oxygen sites and phosphorus at zinc sites. The enhanced solubility and stability of acceptor defects in oxygen co-implanted dual-doped ZnO film are related to the reduction of oxygen vacancy defects at the surface. Our study demonstrates the prospect of the formation of stable p-type ZnO film through co-implantation.

  16. Controlled removal of ceramic surfaces with combination of ions implantation and ultrasonic energy

    DOEpatents

    Boatner, Lynn A.; Rankin, Janet; Thevenard, Paul; Romana, Laurence J.

    1995-01-01

    A method for tailoring or patterning the surface of ceramic articles is provided by implanting ions to predetermined depth into the ceramic material at a selected surface location with the ions being implanted at a fluence and energy adequate to damage the lattice structure of the ceramic material for bi-axially straining near-surface regions of the ceramic material to the predetermined depth. The resulting metastable near-surface regions of the ceramic material are then contacted with energy pulses from collapsing, ultrasonically-generated cavitation bubbles in a liquid medium for removing to a selected depth the ion-damaged near-surface regions containing the bi-axially strained lattice structure from the ceramic body. Additional patterning of the selected surface location on the ceramic body is provided by implanting a high fluence of high-energy, relatively-light ions at selected surface sites for relaxing the bi-axial strain in the near-surface regions defined by these sites and thereby preventing the removal of such ion-implanted sites by the energy pulses from the collapsing ultrasonic cavitation bubbles.

  17. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    NASA Astrophysics Data System (ADS)

    Budzynski, P.

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 1017 ion/cm2, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  18. Ion implantation damage, annealing and dopant activation in epitaxial gallium nitride

    NASA Astrophysics Data System (ADS)

    Suvkhanov, Agajan

    2001-07-01

    Successful n- and p-doping of GaN is an extremely important technological problem. More recently, ion implantation has been used to achieve both n- and p-type GaN. The ion implantation process is accompanied by the presence of radiation defects as the result of the ion-solid interactions. The temperatures (above 1000°C) required for recovery of the implantation induced damage and dopant activation strongly affect the GaN's surface integrity due to the significant nitrogen vapor pressure. Preservation of the surface integrity of GaN during high temperature post-implantation annealing is one of the key issues in the fabrication of GaN-based light-emitting devices. The radiation damage build-up in the implanted GaN layers has been investigated as a function of ion dose and the substrate's temperature. Results of measurements of structural damage by the Rutherford backscattering/Channeling (RBS/C) and the spectroscopic ellipsometry (SE) techniques have demonstrated the complex nature of the damage build-up. Analysis of GaN implanted at high temperature has demonstrated the presence of competing processes of layer-by-layer damage build-up and defect annihilation. Using a capping layer and annealing in a sealed quartz capsule filled with dry nitrogen can preserve the integrity of the GaN's surface. In this work the ion-implanted GaN samples were capped with 40 run MOCVD (Metal Organic Chemical Vapor Deposition) grown AlN film prior to annealing. The results of this work showed the advantage of high-temperature annealing of implanted GaN in a quartz capsule with nitrogen ambient, as compared with annealing in argon and nitrogen gas flow. Partial to complete decomposition of the AlN cap and underlying GaN has been observed by RBS/C and SEM (Scanning electron microscopy) for the samples annealed in flowing argon, as well as for the samples processed in flowing nitrogen. Encapsulation with nitrogen overpressure prevented the decomposition of the AlN capping film and the Ga

  19. Phosphorus oxide gate dielectric for black phosphorus field effect transistors

    NASA Astrophysics Data System (ADS)

    Dickerson, W.; Tayari, V.; Fakih, I.; Korinek, A.; Caporali, M.; Serrano-Ruiz, M.; Peruzzini, M.; Heun, S.; Botton, G. A.; Szkopek, T.

    2018-04-01

    The environmental stability of the layered semiconductor black phosphorus (bP) remains a challenge. Passivation of the bP surface with phosphorus oxide, POx, grown by a reactive ion etch with oxygen plasma is known to improve photoluminescence efficiency of exfoliated bP flakes. We apply phosphorus oxide passivation in the fabrication of bP field effect transistors using a gate stack consisting of a POx layer grown by reactive ion etching followed by atomic layer deposition of Al2O3. We observe room temperature top-gate mobilities of 115 cm2 V-1 s-1 in ambient conditions, which we attribute to the low defect density of the bP/POx interface.

  20. Ion implantation disorder in strained-layer superlattices

    NASA Astrophysics Data System (ADS)

    Arnold, G. W.; Picraux, S. T.; Peercy, P. S.; Myers, D. R.; Biefeld, R. M.; Dawson, L. R.

    Cantilever beam bending and RBS channeling measurements have been used to examine implantation induced disorder and stress buildup in InO 2GaO 8As/GaAs SLS structures. The critical fluence for saturation of compressive stress occurs prior to amorphous layer formation and is followed by stress relief. For all the ions the maximum ion induced stress scales with energy density into atomic processes and stress relief occurs above approximately 1x10 to the 20th keV/1 cubic cm. Stress relief is more pronounced for the SLSs than for bulk GaAs. Stress relief may lead to slip or other forms of inelastic material flow in SLSs, which would be undesirable for active regions in device applications. Such material flow may be avoided by limiting maximum fluences or by multiple step or simultaneous implantation and annealing for high fluences.

  1. Electrical and optical properties of nitrile rubber modified by ion implantation

    NASA Astrophysics Data System (ADS)

    S, Najidha; Predeep, P.

    2014-10-01

    Implantation of N+ ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 1014 to 1016 ions/cm2. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  2. High definition surface micromachining of LiNbO 3 by ion implantation

    NASA Astrophysics Data System (ADS)

    Chiarini, M.; Bentini, G. G.; Bianconi, M.; De Nicola, P.

    2010-10-01

    High Energy Ion Implantation (HEII) of both medium and light mass ions has been successfully applied for the surface micromachining of single crystal LiNbO 3 (LN) substrates. It has been demonstrated that the ion implantation process generates high differential etch rates in the LN implanted areas, when suitable implantation parameters, such as ion species, fluence and energy, are chosen. In particular, when traditional LN etching solutions are applied to suitably ion implanted regions, etch rates values up to three orders of magnitude higher than the typical etching rates of the virgin material, are registered. Further, the enhancement in the etching rate has been observed on x, y and z-cut single crystalline material, and, due to the physical nature of the implantation process, it is expected that it can be equivalently applied also to substrates with different crystallographic orientations. This technique, associated with standard photolithographic technologies, allows to generate in a fast and accurate way very high aspect ratio relief micrometric structures on LN single crystal surface. In this work a description of the developed technology is reported together with some examples of produced micromachined structures: in particular very precisely defined self sustaining suspended structures, such as beams and membranes, generated on LN substrates, are presented. The developed technology opens the way to actual three dimensional micromachining of LN single crystals substrates and, due to the peculiar properties characterising this material, (pyroelectric, electro-optic, acousto-optic, etc.), it allows the design and the production of complex integrated elements, characterised by micrometric features and suitable for the generation of advanced Micro Electro Optical Systems (MEOS).

  3. Rhenium ion beam for implantation into semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kulevoy, T. V.; Seleznev, D. N.; Alyoshin, M. E.

    2012-02-15

    At the ion source test bench in Institute for Theoretical and Experimental Physics the program of ion source development for semiconductor industry is in progress. In framework of the program the Metal Vapor Vacuum Arc ion source for germanium and rhenium ion beam generation was developed and investigated. It was shown that at special conditions of ion beam implantation it is possible to fabricate not only homogenous layers of rhenium silicides solid solutions but also clusters of this compound with properties of quantum dots. At the present moment the compound is very interesting for semiconductor industry, especially for nanoelectronics andmore » nanophotonics, but there is no very developed technology for production of nanostructures (for example quantum sized structures) with required parameters. The results of materials synthesis and exploration are presented.« less

  4. A feasibility study of ion implantation techniques for mass spectrometer calibration

    NASA Technical Reports Server (NTRS)

    Koslin, M. E.; Krycuk, G. A.; Schatz, J. G., Jr.; White, F. A.; Wood, G. M.

    1978-01-01

    An experimental study was undertaken to examine the feasibility of using ion-implanted filaments doped with either an alkali metal or noble gas for in situ recalibration of onboard mass spectrometers during extended space missions. Implants of rubidium and krypton in rhenium ribbon filaments were subsequently tested in a bakeable 60 deg sector mass spectrometer operating in the static mode. Surface ionization and electron impact ion sources were both used, each yielding satisfactory results. The metallic implant with subsequent ionization provided a means of mass scale calibration and determination of system operating parameters, whereas the noble gas thermally desorbed into the system was more suited for partial pressure and sensitivity determinations.

  5. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    NASA Astrophysics Data System (ADS)

    Rizwan, M.; Ahmad, A.; Deen, K. M.; Haider, W.

    2014-11-01

    Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN0.3 and Ti3N2-xnitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  6. Nonlinear effects in defect production by atomic and molecular ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    David, C., E-mail: david@igcar.gov.in; Dholakia, Manan; Chandra, Sharat

    This report deals with studies concerning vacancy related defects created in silicon due to implantation of 200 keV per atom aluminium and its molecular ions up to a plurality of 4. The depth profiles of vacancy defects in samples in their as implanted condition are carried out by Doppler broadening spectroscopy using low energy positron beams. In contrast to studies in the literature reporting a progressive increase in damage with plurality, implantation of aluminium atomic and molecular ions up to Al{sub 3}, resulted in production of similar concentration of vacancy defects. However, a drastic increase in vacancy defects is observed duemore » to Al{sub 4} implantation. The observed behavioural trend with respect to plurality has even translated to the number of vacancies locked in vacancy clusters, as determined through gold labelling experiments. The impact of aluminium atomic and molecular ions simulated using MD showed a monotonic increase in production of vacancy defects for cluster sizes up to 4. The trend in damage production with plurality has been explained on the basis of a defect evolution scheme in which for medium defect concentrations, there is a saturation of the as-implanted damage and an increase for higher defect concentrations.« less

  7. Localization of carbon atoms and extended defects in silicon implanted separately with C+ and B+ ions and jointly with C+ and B+ ions

    NASA Astrophysics Data System (ADS)

    Jadan, M.; Chelyadinskii, A. R.; Odzhaev, V. B.

    2013-02-01

    The possibility to control the localization of implanted carbon in sites and interstices in silicon immediately during the implantation has been demonstrated. The formation of residual extended defects in silicon implanted separately with C+ and B+ ions and jointly with C+ and B+ ions has been shown. It has been found that the formation of residual defects can be suppressed due to annihilation of point defects at C atoms (the Watkins effect). The positive effect is attained if implanted carbon is localized over lattice sites, which is provided by its implantation with the effective current density of the scanning ion beam no lower than 1.0 μA cm-2.

  8. Dose Control System in the Optima XE Single Wafer High Energy Ion Implanter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Satoh, Shu; Yoon, Jongyoon; David, Jonathan

    2011-01-07

    Photoresist outgassing can significantly compromise accurate dosimetry of high energy implants. High energy implant even at a modest beam current produces high beam powers which create significantly worse outgassing than low and medium energy implants and the outgassing continues throughout the implant due to the low dose in typical high energy implant recipes. In the previous generation of high energy implanters, dose correction by monitoring of process chamber pressure during photoresist outgassing has been used. However, as applications diversify and requirements change, the need arises for a more versatile photoresist correction system to match the versatility of a single wafermore » high energy ion implanter. We have successfully developed a new dosimetry system for the Optima XE single wafer high energy ion implanter which does not require any form of compensation due to the implant conditions. This paper describes the principles and performance of this new dose system.« less

  9. Au5+ ion implantation induced structural phase transitions probed through structural, microstructural and phonon properties in BiFeO3 ceramics, using synergistic ion beam energy

    NASA Astrophysics Data System (ADS)

    Dey, Ranajit; Bajpai, P. K.

    2018-04-01

    Implanted Au5+-ion-induced modification in structural and phonon properties of phase pure BiFeO3 (BFO) ceramics prepared by sol-gel method was investigated. These BFO samples were implanted by 15.8 MeV ions of Au5+ at various ion fluence ranging from 1 × 1014 to 5 × 1015 ions/cm2. Effect of Au5+ ions' implantation is explained in terms of structural phase transition coupled with amorphization/recrystallization due to ion implantation probed through XRD, SEM, EDX and Raman spectroscopy. XRD patterns show broad diffuse contributions due to amorphization in implanted samples. SEM images show grains collapsing and mounds' formation over the surface due to mass transport. The peaks of the Raman spectra were broadened and also the peak intensities were decreased for the samples irradiated with 15.8 MeV Au5+ ions at a fluence of 5 × 1015 ion/cm2. The percentage increase/decrease in amorphization and recrystallization has been estimated from Raman and XRD data, which support the synergistic effects being operative due to comparable nuclear and electronic energy losses at 15.8 MeV Au5+ ion implantation. Effect of thermal treatment on implanted samples is also probed and discussed.

  10. Recoil implantation of boron into silicon by high energy silicon ions

    NASA Astrophysics Data System (ADS)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  11. Systemic levels of metallic ions released from orthodontic mini-implants.

    PubMed

    de Morais, Liliane Siqueira; Serra, Glaucio Guimarães; Albuquerque Palermo, Elisabete Fernandes; Andrade, Leonardo Rodrigues; Müller, Carlos Alberto; Meyers, Marc André; Elias, Carlos Nelson

    2009-04-01

    Orthodontic mini-implants are a potential source of metallic ions to the human body because of the corrosion of titanium (Ti) alloy in body fluids. The purpose of this study was to gauge the concentration of Ti, aluminum (Al), and vanadium (V), as a function of time, in the kidneys, livers, and lungs of rabbits that had Ti-6Al-4V alloy orthodontic mini-implants placed in their tibia. Twenty-three New Zealand rabbits were randomly divided into 4 groups: control, 1 week, 4 weeks, and 12 weeks. Four orthodontic mini-implants were placed in the left proximal tibia of 18 rabbits. Five control rabbits had no orthodontic mini-implants. After 1, 4, and 12 weeks, the rabbits were killed, and the selected tissues were extracted and prepared for analysis by graphite furnace atomic absorption spectrophotometry. Low amounts of Ti, Al, and V were detectable in the 1-week, 4-weeks, and 12-weeks groups, confirming that release of these metals from the mini-implants occurs, with diffusion and accumulation in remote organs. Despite the tendency of ion release when using the Ti alloy as orthodontic mini-implants, the amounts of metals detected were significantly below the average intake of these elements through food and drink and did not reach toxic concentrations.

  12. Controlled deterministic implantation by nanostencil lithography at the limit of ion-aperture straggling

    NASA Astrophysics Data System (ADS)

    Alves, A. D. C.; Newnham, J.; van Donkelaar, J. A.; Rubanov, S.; McCallum, J. C.; Jamieson, D. N.

    2013-04-01

    Solid state electronic devices fabricated in silicon employ many ion implantation steps in their fabrication. In nanoscale devices deterministic implants of dopant atoms with high spatial precision will be needed to overcome problems with statistical variations in device characteristics and to open new functionalities based on controlled quantum states of single atoms. However, to deterministically place a dopant atom with the required precision is a significant technological challenge. Here we address this challenge with a strategy based on stepped nanostencil lithography for the construction of arrays of single implanted atoms. We address the limit on spatial precision imposed by ion straggling in the nanostencil—fabricated with the readily available focused ion beam milling technique followed by Pt deposition. Two nanostencils have been fabricated; a 60 nm wide aperture in a 3 μm thick Si cantilever and a 30 nm wide aperture in a 200 nm thick Si3N4 membrane. The 30 nm wide aperture demonstrates the fabricating process for sub-50 nm apertures while the 60 nm aperture was characterized with 500 keV He+ ion forward scattering to measure the effect of ion straggling in the collimator and deduce a model for its internal structure using the GEANT4 ion transport code. This model is then applied to simulate collimation of a 14 keV P+ ion beam in a 200 nm thick Si3N4 membrane nanostencil suitable for the implantation of donors in silicon. We simulate collimating apertures with widths in the range of 10-50 nm because we expect the onset of J-coupling in a device with 30 nm donor spacing. We find that straggling in the nanostencil produces mis-located implanted ions with a probability between 0.001 and 0.08 depending on the internal collimator profile and the alignment with the beam direction. This result is favourable for the rapid prototyping of a proof-of-principle device containing multiple deterministically implanted dopants.

  13. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    NASA Astrophysics Data System (ADS)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-11-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.

  14. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    PubMed Central

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-01-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants. PMID:26527099

  15. A synthetic ion transporter that disrupts autophagy and induces apoptosis by perturbing cellular chloride concentrations

    NASA Astrophysics Data System (ADS)

    Busschaert, Nathalie; Park, Seong-Hyun; Baek, Kyung-Hwa; Choi, Yoon Pyo; Park, Jinhong; Howe, Ethan N. W.; Hiscock, Jennifer R.; Karagiannidis, Louise E.; Marques, Igor; Félix, Vítor; Namkung, Wan; Sessler, Jonathan L.; Gale, Philip A.; Shin, Injae

    2017-07-01

    Perturbations in cellular chloride concentrations can affect cellular pH and autophagy and lead to the onset of apoptosis. With this in mind, synthetic ion transporters have been used to disturb cellular ion homeostasis and thereby induce cell death; however, it is not clear whether synthetic ion transporters can also be used to disrupt autophagy. Here, we show that squaramide-based ion transporters enhance the transport of chloride anions in liposomal models and promote sodium chloride influx into the cytosol. Liposomal and cellular transport activity of the squaramides is shown to correlate with cell death activity, which is attributed to caspase-dependent apoptosis. One ion transporter was also shown to cause additional changes in lysosomal pH, which leads to impairment of lysosomal enzyme activity and disruption of autophagic processes. This disruption is independent of the initiation of apoptosis by the ion transporter. This study provides the first experimental evidence that synthetic ion transporters can disrupt both autophagy and induce apoptosis.

  16. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    NASA Astrophysics Data System (ADS)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  17. Biodegradable near-infrared-photoresponsive shape memory implants based on black phosphorus nanofillers.

    PubMed

    Xie, Hanhan; Shao, Jundong; Ma, Yufei; Wang, Jiahong; Huang, Hao; Yang, Na; Wang, Huaiyu; Ruan, Changshun; Luo, Yanfeng; Wang, Qu-Quan; Chu, Paul K; Yu, Xue-Feng

    2018-05-01

    In this paper, we propose a new shape memory polymer (SMP) composite with excellent near-infrared (NIR)-photoresponsive shape memory performance and biodegradability. The composite is fabricated by using piperazine-based polyurethane (PU) as thermo-responsive SMP incorporated with black-phosphorus (BP) sheets as NIR photothermal nanofillers. Under 808 nm light irradiation, the incorporated BP sheets with concentration of only 0.08 wt% enable rapid temperature increase over the glass temperature of PU and trigger the shape change of the composite with shape recovery rate of ∼100%. The in vitro and in vivo toxicity examinations demonstrate the good biocompatibility of the PU/BP composite, and it degrades naturally into non-toxic carbon dioxide and water from PU and non-toxic phosphate from BP. By implanting PU/BP columns into back subcutis and vagina of mice, they exhibit excellent shape memory activity to change their shape quickly under moderate 808 nm light irradiaiton. Such SMP composite enable the development of intelligent implantable devices, which can be easily controlled by the remote NIR light and degrade gradually after performing the designed functions in the body. Copyright © 2018 Elsevier Ltd. All rights reserved.

  18. Rate and onset cues can improve cochlear implant synthetic vowel recognition in noise

    PubMed Central

    Mc Laughlin, Myles; Reilly, Richard B.; Zeng, Fan-Gang

    2013-01-01

    Understanding speech-in-noise is difficult for most cochlear implant (CI) users. Speech-in-noise segregation cues are well understood for acoustic hearing but not for electric hearing. This study investigated the effects of stimulation rate and onset delay on synthetic vowel-in-noise recognition in CI subjects. In experiment I, synthetic vowels were presented at 50, 145, or 795 pulse/s and noise at the same three rates, yielding nine combinations. Recognition improved significantly if the noise had a lower rate than the vowel, suggesting that listeners can use temporal gaps in the noise to detect a synthetic vowel. This hypothesis is supported by accurate prediction of synthetic vowel recognition using a temporal integration window model. Using lower rates a similar trend was observed in normal hearing subjects. Experiment II found that for CI subjects, a vowel onset delay improved performance if the noise had a lower or higher rate than the synthetic vowel. These results show that differing rates or onset times can improve synthetic vowel-in-noise recognition, indicating a need to develop speech processing strategies that encode or emphasize these cues. PMID:23464025

  19. Spectral distribution of UV range diffuse reflectivity for Si+ ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Balabanov, S.; Tsvetkova, T.; Borisova, E.; Avramov, L.; Bischoff, L.

    2008-05-01

    The analysis of the UV range spectral characteristics can supply additional information on the formed sub-surface buried layer with implanted dopants. The near-surface layer (50÷150 nm) of bulk polymer samples have been implanted with silicon (Si+) ions at low energies (E = 30 keV) and a wide range of ion doses (D = 1.1013 ÷ 1, 2.1017 cm-2). The studied polymer materials were: ultra-high-molecular-weight polyethylene (UHMWPE), poly-methyl-metacrylate (PMMA) and poly-tetra-fluor-ethylene (PTFE). The diffuse optical reflectivity spectra Rd = f(λ) of the ion implanted samples have been measured in the UV range (λ = 220÷350 nm). In this paper the dose dependences of the size and sign of the diffuse optical reflectivity changes λRd = f(D) have been analysed.

  20. The formation of magnetic silicide Fe3Si clusters during ion implantation

    NASA Astrophysics Data System (ADS)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe3Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  1. Determination of migration of ion-implanted Ar and Zn in silica by backscattering spectrometry

    NASA Astrophysics Data System (ADS)

    Szilágyi, E.; Bányász, I.; Kótai, E.; Németh, A.; Major, C.; Fried, M.; Battistig, G.

    2015-03-01

    It is well known that the refractive indices of lots of materials can be modified by ion implantation, which is important for waveguide fabrication. In this work the effect of Ar and Zn ion implantation on silica layers was investigated by Rutherford Backscattering Spectrometry (RBS) and Spectroscopic Ellipsometry (SE). Silica layers produced by chemical vapour deposition technique on single crystal silicon wafers were implanted by Ar and Zn ions with a fluence of 1-2 ×1016 Ar/cm2 and 2.5 ×1016 Zn/cm2, respectively. The refractive indices of the implanted silica layers before and after annealing at 300°C and 600°C were determined by SE. The migration of the implanted element was studied by real-time RBS up to 500°C. It was found that the implanted Ar escapes from the sample at 300°C. Although the refractive indices of the Ar-implanted silica layers were increased compared to the as-grown samples, after the annealing this increase in the refractive indices vanished. In case of the Zn-implanted silica layer both the distribution of the Zn and the change in the refractive indices were found to be stable. Zn implantation seems to be an ideal choice for producing waveguides.

  2. Synthesis of embedded titanium dioxide nanoparticles by oxygen ion implantation in titanium films

    NASA Astrophysics Data System (ADS)

    Rukade, Deepti. A.; Desai, C. A.; Kulkarni, Nilesh; Tribedi, L. C.; Bhattacharyya, Varsha

    2013-02-01

    Thin films of titanium of 100nm thickness are deposited on fused silica substrates. These films are implanted by oxygen ions with implantation energy of 60keV obtained from ECR based highly charged ion accelerator. The implanted films are later annealed in a tube furnace to establish nanophase formation. The post implanted annealed films are characterized by UV-Visible Spectroscopy and Glancing Angle X-ray Diffraction technique (GAXRD). The phase formed and particle size is determined by GAXRD. Nanoparticle formation is confirmed by the UV-VIS spectroscopic analysis that shows quantum size effects in the form of a blue shift in the band-gap energy of titanium-oxide.

  3. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    DOE PAGES

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; ...

    2015-11-03

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying withmore » transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.« less

  4. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying withmore » transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.« less

  5. Plasma ion implantation technology at Hughes Research Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matossian, J.N.

    1994-03-01

    The plasma ion implantation (PII) project at Hughes Research Laboratories (HRL) has as its main objective the evaluation and application of PII technology to improve the tribological properties of metal and nonmetal materials used in aerospace, defense, and commercial applications. The HRL PII facility consists of a 4-ft-diam[times]8-ft-long vacuum chamber capable of implanting objects weighing up to 7000 lbs, and a high-power (100-kW), high-voltage (100-kV) pulse modulator to provide voltage pulses for implantation. Advanced plasma sources have been developed to produce atomic, as well as molecular, nitrogen and oxygen ions, and PII processes have been developed to treat metal andmore » nonmetal materials. The HRL PII facility has been operational since 1989 and has been used for prototype demonstrations of PII technology to achieve (1) a 2--3[times] improved wear life of Co/WC drill bits used for printed-wiring-board fabrication, (2) an 8[times] reduced wear rate for TiN-coated cutting tools, and (3) a 2[times] increased surface hardness for a 7000-lb polymer object, 3 ft by 5 ft by 1 ft.« less

  6. Electrical and optical properties of nitrile rubber modified by ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    S, Najidha; Predeep, P.

    2014-10-15

    Implantation of N{sup +} ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 10{sup 14} to 10{sup 16} ions/cm{sup 2}. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease withmore » increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.« less

  7. Hardness depth profile of lattice strained cemented carbide modified by high-energy boron ion implantation

    NASA Astrophysics Data System (ADS)

    Yoshida, Y.; Matsumura, A.; Higeta, K.; Inoue, T.; Shimizu, S.; Motonami, Y.; Sato, M.; Sadahiro, T.; Fujii, K.

    1991-07-01

    The hardness depth profiles of cemented carbides which were implanted with high-energy B + ions have been estimated using a dynamic microhardness tester. The B + implantations into (16% Co)-cemented WC alloys were carried out under conditions where the implantation energies were 1-3 MeV and the fluences 1 × 10 17-1 × 10 18ions/cm 2. The profiles show that the implanted layer becomes harder as fluences are chosen at higher values and there is a peak at a certain depth which depends on the implantation energy. In X-ray diffraction (XRD) studies of the implanted surface the broadened refraction peaks of only WC and Co are detected and the increments of lattice strain and of residual stress in the near-surface region are observed. It is supposed that the hardening effect should be induced by an increase in residual stress produced by lattice strain. The hardness depth profile in successive implantation of ions with different energies agrees with the compounded profile of each one of the implantations. It is concluded that the hardness depth profile can be controlled under adequate conditions of implantation.

  8. Improved corrosion resistance on biodegradable magnesium by zinc and aluminum ion implantation

    NASA Astrophysics Data System (ADS)

    Xu, Ruizhen; Yang, Xiongbo; Suen, Kai Wong; Wu, Guosong; Li, Penghui; Chu, Paul K.

    2012-12-01

    Magnesium and its alloys have promising applications as biodegradable materials, and plasma ion implantation can enhance the corrosion resistance by modifying the surface composition. In this study, suitable amounts of zinc and aluminum are plasma-implanted into pure magnesium. The surface composition, phases, and chemical states are determined, and electrochemical tests and electrochemical impedance spectroscopy (EIS) are conducted to investigate the surface corrosion behavior and elucidate the mechanism. The corrosion resistance enhancement after ion implantation is believed to stem from the more compact oxide film composed of magnesium oxide and aluminum oxide as well as the appearance of the β-Mg17Al12 phase.

  9. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    NASA Astrophysics Data System (ADS)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  10. The Use of Ion Implantation for Materials Processing.

    DTIC Science & Technology

    1982-07-02

    corrosion studies. i this application main shaft bearings for turbojet engirps are being implanted to impart corrosion resistance to the rolling element...following discussion. Steels of this composition can be deep harened to Rockwell C-65 when quenched from the austenitizing temperature of 1230 C. An oil ...lubricant was a synthetic polyester lubricant used for turbojet engine bearings. As can be seen in Fig. 16 the wear rate after running-in is a factor

  11. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  12. Nanostructured Black Phosphorus/Ketjenblack-Multiwalled Carbon Nanotubes Composite as High Performance Anode Material for Sodium-Ion Batteries.

    PubMed

    Xu, Gui-Liang; Chen, Zonghai; Zhong, Gui-Ming; Liu, Yuzi; Yang, Yong; Ma, Tianyuan; Ren, Yang; Zuo, Xiaobing; Wu, Xue-Hang; Zhang, Xiaoyi; Amine, Khalil

    2016-06-08

    Sodium-ion batteries are promising alternatives to lithium-ion batteries for large-scale applications. However, the low capacity and poor rate capability of existing anodes for sodium-ion batteries are bottlenecks for future developments. Here, we report a high performance nanostructured anode material for sodium-ion batteries that is fabricated by high energy ball milling to form black phosphorus/Ketjenblack-multiwalled carbon nanotubes (BPC) composite. With this strategy, the BPC composite with a high phosphorus content (70 wt %) could deliver a very high initial Coulombic efficiency (>90%) and high specific capacity with excellent cyclability at high rate of charge/discharge (∼1700 mAh g(-1) after 100 cycles at 1.3 A g(-1) based on the mass of P). In situ electrochemical impedance spectroscopy, synchrotron high energy X-ray diffraction, ex situ small/wide-angle X-ray scattering, high resolution transmission electronic microscopy, and nuclear magnetic resonance were further used to unravel its superior sodium storage performance. The scientific findings gained in this work are expected to serve as a guide for future design on high performance anode material for sodium-ion batteries.

  13. Site location and optical properties of Eu implanted sapphire

    NASA Astrophysics Data System (ADS)

    Marques, C.; Wemans, A.; Maneira, M. J. P.; Kozanecki, A.; da Silva, R. C.; Alves, E.

    2005-10-01

    Synthetic colourless transparent (0 0 0 1) sapphire crystals were implanted at room temperature with 100 keV europium ions to fluences up to 1 × 1016 cm-2. Surface damage is observed at low fluences, as seen by Rutherford backscattering spectrometry under channelling conditions. Optical absorption measurements revealed a variety of structures, most probably related to F-type defects characteristic of implantation damage. Thermal treatments in air or in vacuum up to 1000 °C do not produce noticeable changes both in the matrix or the europium profiles. However, the complete recovery of the implantation damage and some redistribution of the europium ions is achieved after annealing at 1300 °C in air. Detailed lattice site location studies performed for various axial directions allowed to assess the damage recovery and the incorporation of the Eu ions into well defined crystallographic sites, possibly in an oxide phase also inferred from optical absorption measurements.

  14. Extended Lindhard-Scharf-Schiott Theory for Ion Implantation Profiles Expressed with Pearson Function

    NASA Astrophysics Data System (ADS)

    Suzuki, Kunihiro

    2009-04-01

    Ion implantation profiles are expressed by the Pearson function with first, second, third, and fourth moment parameters of Rp, ΔRp, γ, and β. We derived an analytical model for these profile moments by solving a Lindhard-Scharf-Schiott (LSS) integration equation using perturbation approximation. This analytical model reproduces Monte Carlo data that were well calibrated to reproduce a vast experimental database. The extended LSS theory is vital for instantaneously predicting ion implantation profiles with any combination of incident ions and substrate atoms including their energy dependence.

  15. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    PubMed

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  16. Erbium ion implantation into diamond - measurement and modelling of the crystal structure.

    PubMed

    Cajzl, Jakub; Nekvindová, Pavla; Macková, Anna; Malinský, Petr; Sedmidubský, David; Hušák, Michal; Remeš, Zdeněk; Varga, Marián; Kromka, Alexander; Böttger, Roman; Oswald, Jiří

    2017-02-22

    Diamond is proposed as an extraordinary material usable in interdisciplinary fields, especially in optics and photonics. In this contribution we focus on the doping of diamond with erbium as an optically active centre. In the theoretical part of the study based on DFT simulations we have developed two Er-doped diamond structural models with 0 to 4 carbon vacancies in the vicinity of the Er atom and performed geometry optimizations by the calculation of cohesive energies and defect formation energies. The theoretical results showed an excellent agreement between the calculated and experimental cohesive energies for the parent diamond. The highest values of cohesive energies and the lowest values of defect formation energies were obtained for models with erbium in the substitutional carbon position with 1 or 3 vacancies in the vicinity of the erbium atom. From the geometry optimization the structural model with 1 vacancy had an octahedral symmetry whereas the model with 3 vacancies had a coordination of 10 forming a trigonal structure with a hexagonal ring. In the experimental part, erbium doped diamond crystal samples were prepared by ion implantation of Er + ions using ion implantation fluences ranging from 1 × 10 14 ions per cm 2 to 5 × 10 15 ions per cm 2 . The experimental results revealed a high degree of diamond structural damage after the ion implantation process reaching up to 69% of disordered atoms in the samples. The prepared Er-doped diamond samples annealed at the temperatures of 400, 600 and 800 °C in a vacuum revealed clear luminescence, where the 〈110〉 cut sample has approximately 6-7 times higher luminescence intensity than the 〈001〉 cut sample with the same ion implantation fluence. The reported results are the first demonstration of the Er luminescence in the single crystal diamond structure for the near-infrared spectral region.

  17. Scanning-electron-microscopy observations and mechanical characteristics of ion-beam-sputtered surgical implant alloys

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Meyer, M. L.; Ling, J. S.

    1977-01-01

    An electron bombardment ion thruster was used as an ion source to sputter the surfaces of orthopedic prosthetic metals. Scanning electron microscopy photomicrographs were made of each ion beam textured surface. The effect of ion texturing an implant surface on its bond to bone cement was investigated. A Co-Cr-W alloy and surgical stainless steel were used as representative hard tissue implant materials to determine effects of ion texturing on bulk mechanical properties. Work was done to determine the effect of substrate temperature on the development of an ion textured surface microstructure. Results indicate that the ultimate strength of the bulk materials is unchanged by ion texturing and that the microstructure will develop more rapidly if the substrate is heated prior to ion texturing.

  18. Evaluation of the ion implantation process for production of solar cells from silicon sheet materials

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.

    1983-01-01

    The objective of this program is the investigation and evaluation of the capabilities of the ion implantation process for the production of photovoltaic cells from a variety of present-day, state-of-the-art, low-cost silicon sheet materials. Task 1 of the program concerns application of ion implantation and furnace annealing to fabrication of cells made from dendritic web silicon. Task 2 comprises the application of ion implantation and pulsed electron beam annealing (PEBA) to cells made from SEMIX, SILSO, heat-exchanger-method (HEM), edge-defined film-fed growth (EFG) and Czochralski (CZ) silicon. The goals of Task 1 comprise an investigation of implantation and anneal processes applied to dendritic web. A further goal is the evaluation of surface passivation and back surface reflector formation. In this way, processes yielding the very highest efficiency can be evaluated. Task 2 seeks to evaluate the use of PEBA for various sheet materials. A comparison of PEBA to thermal annealing will be made for a variety of ion implantation processes.

  19. Reversible ion transportation switch by a ligand-gated synthetic supramolecular ion channel.

    PubMed

    Muraoka, Takahiro; Endo, Takahiro; Tabata, Kazuhito V; Noji, Hiroyuki; Nagatoishi, Satoru; Tsumoto, Kouhei; Li, Rui; Kinbara, Kazushi

    2014-11-05

    Inspired by the regulation of cellular activities found in the ion channel proteins, here we developed membrane-embedded synthetic chiral receptors 1 and 2 with different terminal structures, where receptor 1 has hydrophobic triisopropylsilyl (TIPS) groups and receptor 2 has hydrophilic hydroxy groups. The receptors have ligand-binding units that interact with cationic amphiphiles such as 2-phenethylamine (PA). Conductance study revealed that the receptors hardly show ion transportation at the ligand-free state. After ligand binding involving a conformational change, receptor 1 bearing TIPS termini displays a significant current enhancement due to ion transportation. The current substantially diminishes upon addition of β-cyclodextrin (βCD) that scavenges the ligand from the receptor. Importantly, the receptor again turns into the conductive state by the second addition of PA, and the activation/deactivation of the ion transportation can be repeated. In contrast, receptor 2 bearing the hydroxy terminal groups hardly exhibits ion transportation, suggesting the importance of terminal TIPS groups of 1 that likely anchor the receptor in the membrane.

  20. Corrosion resistance and blood compatibility of lanthanum ion implanted pure iron by MEVVA

    NASA Astrophysics Data System (ADS)

    Zhu, Shengfa; Huang, Nan; Shu, Hui; Wu, Yanping; Xu, Li

    2009-10-01

    Pure iron is a potential material applying for coronary artery stents based on its biocorrodible and nontoxic properties. However, the degradation characteristics of pure iron in vivo could reduce the mechanical stability of iron stents prematurely. The purpose of this work was to implant the lanthanum ion into pure iron specimens by metal vapor vacuum arc (MEVVA) source at an extracted voltage of 40 kV to improve its corrosion resistance and biocompatibility. The implanted fluence was up to 5 × 10 17 ions/cm 2. The X-ray photoelectron spectroscopy (XPS) was used to characterize the chemical state and depth profiles of La, Fe and O elements. The results showed lanthanum existed in the +3 oxidation state in the surface layer, most of the oxygen combined with lanthanum and form a layer of oxides. The lanthanum ion implantation layer could effectively hold back iron ions into the immersed solution and obviously improved the corrosion resistance of pure iron in simulated body fluids (SBF) solution by the electrochemical measurements and static immersion tests. The systematic evaluation of blood compatibility, including in vitro platelets adhesion, prothrombin time (PT), thrombin time (TT), indicated that the number of platelets adhesion, activation, aggregation and pseudopodium on the surface of the La-implanted samples were remarkably decreased compared with pure iron and 316L stainless steel, the PT and TT were almost the same as the original plasma. It was obviously showed that lanthanum ion implantation could effectively improve the corrosion resistance and blood compatibility of pure iron.

  1. Optical waveguides in fluoride lead silicate glasses fabricated by carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Shen, Xiao-liang; Wang, Yue; Zhu, Qi-feng; Lü, Peng; Li, Wei-nan; Liu, Chun-xiao

    2018-03-01

    The carbon ion implantation with energy of 4.0 MeV and a dose of 4.0×1014 ions/cm2 is employed for fabricating the optical waveguide in fluoride lead silicate glasses. The optical modes as well as the effective refractive indices are measured by the prism coupling method. The refractive index distribution in the fluoride lead silicate glass waveguide is simulated by the reflectivity calculation method (RCM). The light intensity profile and the energy losses are calculated by the finite-difference beam propagation method (FD-BPM) and the program of stopping and range of ions in matter (SRIM), respectively. The propagation properties indicate that the C2+ ion-implanted fluoride lead silicate glass waveguide is a candidate for fabricating optical devices.

  2. Improvement of in vitro corrosion and cytocompatibility of biodegradable Fe surface modified by Zn ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Henan; Zheng, Yang; Li, Yan; Jiang, Chengbao

    2017-05-01

    Pure Fe was surface-modified by Zn ion implantation to improve the biodegradable behavior and cytocompatibility. Surface topography, chemical composition, corrosion resistance and cytocompatibility were investigated. Atomic force microscopy, auger electron spectroscopy and X-ray photoelectron spectroscopy results showed that Zn was implanted into the surface of pure Fe in the depth of 40-60 nm and Fe2O3/ZnO oxides were formed on the outmost surface. Electrochemical measurements and immersion tests revealed an improved degradable behavior for the Zn-implanted Fe samples. An approximately 12% reduction in the corrosion potential (Ecorr) and a 10-fold increase in the corrosion current density (icorr) were obtained after Zn ion implantation with a moderate incident ion dose, which was attributed to the enhanced pitting corrosion. The surface free energy of pure Fe was decreased by Zn ion implantation. The results of direct cell culture indicated that the short-term (4 h) cytocompatibility of MC3T3-E1 cells was promoted by the implanted Zn on the surface.

  3. Effect of ion-implantation on surface characteristics of nickel titanium and titanium molybdenum alloy arch wires.

    PubMed

    Krishnan, Manu; Saraswathy, Seema; Sukumaran, Kalathil; Abraham, Kurian Mathew

    2013-01-01

    To evaluate the changes in surface roughness and frictional features of 'ion-implanted nickel titanium (NiTi) and titanium molybdenum alloy (TMA) arch wires' from its conventional types in an in-vitro laboratory set up. 'Ion-implanted NiTi and low friction TMA arch wires' were assessed for surface roughness with scanning electron microscopy (SEM) and 3 dimensional (3D) optical profilometry. Frictional forces were studied in a universal testing machine. Surface roughness of arch wires were determined as Root Mean Square (RMS) values in nanometers and Frictional Forces (FF) in grams. Mean values of RMS and FF were compared by Student's 't' test and one way analysis of variance (ANOVA). SEM images showed a smooth topography for ion-implanted versions. 3D optical profilometry demonstrated reduction of RMS values by 58.43% for ion-implanted NiTi (795.95 to 330.87 nm) and 48.90% for TMA groups (463.28 to 236.35 nm) from controls. Nonetheless, the corresponding decrease in FF was only 29.18% for NiTi and 22.04% for TMA, suggesting partial correction of surface roughness and disproportionate reduction in frictional forces with ion-implantation. Though the reductions were highly significant at P < 0.001, relations between surface roughness and frictional forces remained non conclusive even after ion-implantation. The study proved that ion-implantation can significantly reduce the surface roughness of NiTi and TMA wires but could not make a similar reduction in frictional forces. This can be attributed to the inherent differences in stiffness and surface reactivity of NiTi and TMA wires when used in combination with stainless steel brackets, which needs further investigations.

  4. Oxygen ion implantation induced microstructural changes and electrical conductivity in Bakelite RPC detector material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, K. V. Aneesh, E-mail: aneesh1098@gmail.com; Ravikumar, H. B., E-mail: hbr@physics.uni-mysore.ac.in; Ranganathaiah, C., E-mail: cr@physics.uni-mysore.ac.in

    2016-05-06

    In order to explore the structural modification induced electrical conductivity, samples of Bakelite Resistive Plate Chamber (RPC) detector materials were exposed to 100 keV Oxygen ion in the fluences of 10{sup 12}, 10{sup 13}, 10{sup 14} and 10{sup 15} ions/cm{sup 2}. Ion implantation induced microstructural changes have been studied using Positron Annihilation Lifetime Spectroscopy (PALS) and X-Ray Diffraction (XRD) techniques. Positron lifetime parameters viz., o-Ps lifetime and its intensity shows the deposition of high energy interior track and chain scission leads to the formation of radicals, secondary ions and electrons at lower ion implantation fluences (10{sup 12} to10{sup 14} ions/cm{supmore » 2}) followed by cross-linking at 10{sup 15} ions/cm{sup 2} fluence due to the radical reactions. The reduction in electrical conductivity of Bakelite detector material is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate implantation energy and fluence of Oxygen ion on polymer based Bakelite RPC detector material may reduce the leakage current, improves the efficiency, time resolution and thereby rectify the aging crisis of the RPC detectors.« less

  5. Uranium passivation by C + implantation: A photoemission and secondary ion mass spectrometry study

    NASA Astrophysics Data System (ADS)

    Nelson, A. J.; Felter, T. E.; Wu, K. J.; Evans, C.; Ferreira, J. L.; Siekhaus, W. J.; McLean, W.

    2006-03-01

    Implantation of 33 keV C + ions into polycrystalline U 238 with a dose of 4.3 × 10 17 cm -2 produces a physically and chemically modified surface layer that prevents further air oxidation and corrosion. X-ray photoelectron spectroscopy and secondary ion mass spectrometry were used to investigate the surface chemistry and electronic structure of this C + ion implanted polycrystalline uranium and a non-implanted region of the sample, both regions exposed to air for more than a year. In addition, scanning electron microscopy was used to examine and compare the surface morphology of the two regions. The U 4f, O 1s and C 1s core-level and valence band spectra clearly indicate carbide formation in the modified surface layer. The time-of-flight secondary ion mass spectrometry depth profiling results reveal an oxy-carbide surface layer over an approximately 200 nm thick UC layer with little or no residual oxidation at the carbide layer/U metal transitional interface.

  6. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reichel, Christian, E-mail: christian.reichel@ise.fraunhofer.de; National Renewable Energy Laboratory; Feldmann, Frank

    Passivated contacts (poly-Si/SiO{sub x}/c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF{sub 2}), the ion implantation dose (5 × 10{sup 14 }cm{sup −2} to 1 × 10{sup 16 }cm{sup −2}), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells.more » Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV{sub oc}) of 725 and 720 mV, respectively. For p-type passivated contacts, BF{sub 2} implantations into intrinsic a-Si yield well passivated contacts and allow for iV{sub oc} of 690 mV, whereas implanted B gives poor passivation with iV{sub oc} of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V{sub oc} of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF{sub 2} implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V{sub oc} of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts.« less

  7. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    NASA Astrophysics Data System (ADS)

    Wu, Guosong; Xu, Ruizhen; Feng, Kai; Wu, Shuilin; Wu, Zhengwei; Sun, Guangyong; Zheng, Gang; Li, Guangyao; Chu, Paul K.

    2012-07-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  8. Electrical conduction in 100 keV Kr+ ion implanted poly (ethylene terephthalate)

    NASA Astrophysics Data System (ADS)

    Goyal, P. K.; Kumar, V.; Gupta, Renu; Mahendia, S.; Anita, Kumar, S.

    2012-06-01

    Polyethylene terephthalate (PET) samples have been implanted to 100 keV Kr+ ions at the fluences 1×1015-- 1×1016 cm-2. From I-V characteristics, the conduction mechanism was found to be shifted from ohmic to space charge limited conduction (SCLC) after implantation. The surface conductivity of these implanted samples was found to increase with increasing implantation dose. The structural alterations in the Raman spectra of implanted PET samples indicate that such an increase in the conductivity may be attributed to the formation of conjugated double bonded carbonaceous structure in the implanted layer of PET.

  9. Photoluminescence and reflectivity of polymethylmethacrylate implanted by low-energy carbon ions at high fluences

    NASA Astrophysics Data System (ADS)

    Wang, Jun; Zhu, Fei; Zhang, Bei; Liu, Huixian; Jia, Guangyi; Liu, Changlong

    2012-11-01

    Polymethylmethacrylate (PMMA) specimens were implanted with 30 keV carbon ions in a fluence range of 1 × 1016 to 2 × 1017 cm-2, and photoluminescence (PL) and reflectivity of the implanted samples were examined. A luminescent band with one peak was found in PL spectra excited by 480 nm line, but its intensity did not vary in parallel with ion fluence. The strongest PL occurred at the fluence of 5 × 1016 cm-2. Results from visible-light-excited micro-Raman spectra indicated that the formation of hydrogenated amorphous carbon structures in subsurface layer and their evolutions with ion fluence could be responsible for the observed PL responses. Measurements of the small-angle reflectance spectra from both the implanted and rear surfaces of samples in the ultraviolet-visible (UV-vis) range demonstrated a kind of both fluence-dependent and wavelength-related reflectivity variations, which were attributed to the structural changes induced by ion implantation. A noticeable reflectivity modification, which may be practically used, could be found at the fluence of 1 × 1016 cm-2.

  10. Influence of Au ions irradiation damage on helium implanted tungsten

    NASA Astrophysics Data System (ADS)

    Kong, Fanhang; Qu, Miao; Yan, Sha; Cao, Xingzhong; Peng, Shixiang; Zhang, Ailin; Xue, Jianming; Wang, Yugang; Zhang, Peng; Wang, Baoyi

    2017-10-01

    The damages of implanted helium ions together with energetic neutrons in tungsten is concerned under the background of nuclear fusion related materials research. Helium is lowly soluble in tungsten and has high binding energy with vacancy. In present work, noble metal Au ions were used to study the synergistic effect of radiation damage and helium implantation. Nano indenter and the Doppler broaden energy spectrum of positron annihilation analysis measurements were used to research the synergy of radiation damage and helium implantation in tungsten. In the helium fluence range of 4.8 × 1015 cm-2-4.8 × 1016 cm-2, vacancies played a role of trappers only at the very beginning of bubble nucleation. The size and density is not determined by vacancies, but the effective capture radius between helium bubbles and scattered helium atoms. Vacancies were occupied by helium bubbles even at the lowest helium fluence, leaving dislocations and helium bubbles co-exist in tungsten materials.

  11. Optical planar waveguides in photo-thermal-refractive glasses fabricated by single- or double-energy carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Yue; Shen, Xiao-Liang; Zheng, Rui-Lin; Guo, Hai-Tao; Lv, Peng; Liu, Chun-Xiao

    2018-01-01

    Ion implantation has demonstrated to be an efficient and reliable technique for the fabrication of optical waveguides in a diversity of transparent materials. Photo-thermal-refractive glass (PTR) is considered to be durable and stable holographic recording medium. Optical planar waveguide structures in the PTR glasses were formed, for the first time to our knowledge, by the C3+-ion implantation with single-energy (6.0 MeV) and double-energy (5.5+6.0 MeV), respectively. The process of the carbon ion implantation was simulated by the stopping and range of ions in matter code. The morphologies of the waveguides were recorded by a microscope operating in transmission mode. The guided beam distributions of the waveguides were measured by the end-face coupling technique. Comparing with the single-energy implantation, the double-energy implantation improves the light confinement for the dark-mode spectrum. The guiding properties suggest that the carbon-implanted PTR glass waveguides have potential for the manufacture of photonic devices.

  12. High-fluence ion implantation in silicon carbide for fabrication of a compliant substrate

    NASA Astrophysics Data System (ADS)

    Lioubtchenko, Mikhail

    GaN and related nitrides are promising materials for applications as UV/blue light emitters and in high-power, high-temperature electonic devices. Unfortunately, the vast potential of these materials cannot be realized effectively due to a large density of threading dislocations, arising from large lattice mismatch between GaN and utilized substrates. Therefore, a new approach to the heteroepitaxial growth is desirable, and a compliant substrate might help to remedy the situation. A modified model for the compliant substrate consisting of the compliant membrane glued to a thick handling substrate by a soft layer was proposed. We have chosen 6H-SiC as a starting substrate and ion implantation as a means of creating a buried layer. High fluence ion implantation of different species in 6H-SiC was performed at elevated temperatures and damage removal/accumulation was studied. It was found that temperatures around 1600°C are necessary to successfully recrystallize the radiation damage for Ti, Ga, Si and C implantations, but no damage removal was monitored for In implantation. In order to minimize the damage produced during ion implantation, it was decided to employ a multistep process in which each implantation step was followed by annealing. This approach was realized for 125 keV Ti++ and 300 keV Ga+ implantations up to a total dose of 1.8 x 1017 cm--2. Ti-implanted substrates were shown to retain good quality in the top layer, whereas Ga implantation preserves the quality of the near-surface region only at lower doses. The implanted species concentration was monitored after each step using Rutherford Backscattering (RBS). GaN films were grown on the prepared substrates and a control SiC sample by MOCVD. TEM and photoluminescence measurements have demonstrated that the quality of GaN films improves upon growth on compliant substrates.

  13. Rutherford Backscattering Spectrometry studies of 100 keV nitrogen ion implanted polypropylene polymer

    NASA Astrophysics Data System (ADS)

    Chawla, Mahak; Aggarwal, Sanjeev; Sharma, Annu

    2017-09-01

    The effect of nitrogen ion implantation on the structure and composition in polypropylene (PP) polymer has been studied. Implantation was carried out using 100 keV N+ ions at different fluences of 1 × 1015, 1 × 1016 and 1 × 1017 ions cm-2 with beam current density of ∼0.65 μA cm-2. Surface morphological changes in the pre- and post-implanted PP specimens have been studied using Rutherford Backscattering Spectrometry (RBS) and UV-Visible Spectroscopy. The spatial distribution of implantation induced modification in the form of carbonization and dehydrogenation in the near surface region of PP matrix, the projected range, retained dose of implanted nitrogen, the various elements present in the implanted layers and their differential cross-sections have been analyzed using RBS spectra. RUMP simulation yielded an increase in the concentration of carbon near the surface from 33 at.% (virgin) to 42 at.% at fluence of 1 × 1017 N+ cm-2. Further, optical absorption has been found to increase with a shift in the absorption edge from UV towards visible region with increasing fluence. UV-Vis absorption spectra also indicate a drastic decrease in optical energy gap from 4.12 eV (virgin) to 0.25 eV (1 × 1017 N+ cm-2) indicating towards the formation of carbonaceous network in the implanted region. All these changes observed using UV-Visible have been further correlated with the outcomes of the RBS characterization.

  14. Ion implantation enhanced metal-Si-metal photodetectors

    NASA Astrophysics Data System (ADS)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  15. Phosphorus-supported ligands for the assembly of multimetal architectures.

    PubMed

    Chandrasekhar, Vadapalli; Murugesapandian, Balasubramanian

    2009-08-18

    perfectly linear manner in many cases. Incorporating an additional methoxy group into LH(3) affords the ligand (S)P[N(Me)N=CH-C(6)H(3)-2-OH-3-OMe](3) (L'H(3)), which contains nine coordination sites: three imino nitrogen atoms, three phenolate oxygen atoms, and three methoxy oxygen atoms. The reaction of L'H(3) with transition metal salts in 1:1 ratio leads to the in situ formation of a metalloligand (L'M), which on further treatment with lanthanide salts gives heterobimetallic trinuclear cationic complexes [L'(2)M(2)Ln](+) containing a M-Ln-M linear array (M = transition metal ion in a +2 oxidation state). Many of these 3d-4f compounds behave as single-molecule magnets at low temperatures. Although challenges remain in the development of synthetic methods and in the architectural control of the coordination platforms, we see opportunities for further research into coordination platforms supported by main group elements such as phosphorus. As we have shown in this Account, one potential disadvantage, sensitivity of P-N bonds to hydrolysis, can be used successfully to build larger assemblies.

  16. The Breeding of a Pigment Mutant Strain of Steroid Hydroxylation Aspergillus Flavus by Low Energy Ion Implantation

    NASA Astrophysics Data System (ADS)

    Ye, Hui; Ma, Jingming; Feng, Chun; Cheng, Ying; Zhu, Suwen; Cheng, Beijiu

    2009-02-01

    In the process of the fermentation of steroid C11α-hydroxylgenation strain Aspergillus flavus AF-ANo208, a red pigment is derived, which will affect the isolation and purification of the target product. Low energy ion beam implantation is a new tool for breeding excellent mutant strains. In this study, the ion beam implantation experiments were performed by infusing two different ions: argon ion (Ar+) and nitrogen ion (N+). The results showed that the optimal ion implantation was N+ with an optimum dose of 2.08 × 1015 ions/cm2, with which the mutant strain AF-ANm16 that produced no red pigment was obtained. The strain had high genetic stability and kept the strong capacity of C11α-hydroxylgenation, which could be utilized in industrial fermentation. The differences between the original strain and the mutant strain at a molecular level were analyzed by randomly amplified polymorphic DNA (RAPD). The results indicated that the frequency of variation was 7.00%, which would establish the basis of application investigation into the breeding of pigment mutant strains by low energy ion implantation.

  17. A new tritium monitor design based on plasma source ion implantation technique

    NASA Astrophysics Data System (ADS)

    Nassar, Rafat Mohammad

    Tritium is an important isotope of hydrogen. The availability of tritium in our environment is manifest through both natural and artificial sources. Consequently, the requirement for tritium handling and usage will continue to increase in the future. An important future contributor is nuclear fusion power plants and facilities. Essential safety regulations and procedures require effective monitoring and measurements of tritium concentrations in workplaces. The unique characteristics of tritium impose an important role on the criteria for its detection and measurement. As tritium decays by the emission of soft beta particles, maximum 18 keV, it cannot be readily detected by commonly used detectors. Specially built monitors are required. Additional complications occur due to the presence of other radioactive isotopes or ambient radiation fields and because of the high diffusivity of tritium. When it is in oxidized form it is 25000 times more hazardous biologically than when in elemental form. Therefore, contamination of the monitor is expected and compound specific monitors are important. A summary is given of the various well known methods of detecting tritium-in-air. This covers the direct as well as the indirect measuring techniques, although each has been continually improved and further developed, nevertheless, each has its own limitations. Ionization chambers cannot discriminate against airborne P emitters. Proportional counters have a narrow operating range, 3-4 decades, and have poor performance in relatively high humid environments and require a dry counting gas. Liquid scintillation counters are sensitive, but inspection of the sample is slow and they produce chemical liquid waste. A new way to improve the sensitivity of detecting tritium with plastic scintillators has been developed. The technique is based on a non-line-of-sight implantation of tritium ions into a 20 mum plastic scintillator using a plasma source ion implantation (PSII) technique, This

  18. Magnesium ion implantation on a micro/nanostructured titanium surface promotes its bioactivity and osteogenic differentiation function

    PubMed Central

    Wang, Guifang; Li, Jinhua; Zhang, Wenjie; Xu, Lianyi; Pan, Hongya; Wen, Jin; Wu, Qianju; She, Wenjun; Jiao, Ting; Liu, Xuanyong; Jiang, Xinquan

    2014-01-01

    As one of the important ions associated with bone osseointegration, magnesium was incorporated into a micro/nanostructured titanium surface using a magnesium plasma immersion ion-implantation method. Hierarchical hybrid micro/nanostructured titanium surfaces followed by magnesium ion implantation for 30 minutes (Mg30) and hierarchical hybrid micro/nanostructured titanium surfaces followed by magnesium ion implantation for 60 minutes (Mg60) were used as test groups. The surface morphology, chemical properties, and amount of magnesium ions released were evaluated by field-emission scanning electron microscopy, energy dispersive X-ray spectroscopy, field-emission transmission electron microscopy, and inductively coupled plasma-optical emission spectrometry. Rat bone marrow mesenchymal stem cells (rBMMSCs) were used to evaluate cell responses, including proliferation, spreading, and osteogenic differentiation on the surface of the material or in their medium extraction. Greater increases in the spreading and proliferation ability of rBMMSCs were observed on the surfaces of magnesium-implanted micro/nanostructures compared with the control plates. Furthermore, the osteocalcin (OCN), osteopontin (OPN), and alkaline phosphatase (ALP) genes were upregulated on both surfaces and in their medium extractions. The enhanced cell responses were correlated with increasing concentrations of magnesium ions, indicating that the osteoblastic differentiation of rBMMSCs was stimulated through the magnesium ion function. The magnesium ion-implanted micro/nanostructured titanium surfaces could enhance the proliferation, spreading, and osteogenic differentiation activity of rBMMSCs, suggesting they have potential application in improving bone-titanium integration. PMID:24940056

  19. Monitoring Ion Implantation Energy Using Non-contact Characterization Methods

    NASA Astrophysics Data System (ADS)

    Tallian, M.; Pap, A.; Mocsar, K.; Somogyi, A.; Nadudvari, Gy.; Kosztka, D.; Pavelka, T.

    2011-01-01

    State-of-the-art ultra-shallow junctions are produced using extremely low ion implant energies, down to the range of 1-3 keV. This can be achieved by a variety of production techniques; however there is a significant risk that the actual implantation energy differs from the desired value. To detect this, sensitive measurement methods need to be utilized. Experiments show that both Photomodulated Reflection measurements before anneal and Junction Photovoltage-based sheet resistance measurements after anneal are suitable for this purpose.

  20. Application of laser driven fast high density plasma blocks for ion implantation

    NASA Astrophysics Data System (ADS)

    Sari, Amir H.; Osman, F.; Doolan, K. R.; Ghoranneviss, M.; Hora, H.; Höpfl, R.; Benstetter, G.; Hantehzadeh, M. H.

    2005-10-01

    The measurement of very narrow high density plasma blocks of high ion energy from targets irradiated with ps-TW laser pulses based on a new skin depth interaction process is an ideal tool for application of ion implantation in materials, especially of silicon, GaAs, or conducting polymers, for micro-electronics as well as for low cost solar cells. A further application is for ion sources in accelerators with most specifications of many orders of magnitudes advances against classical ion sources. We report on near band gap generation of defects by implantation of ions as measured by optical absorption spectra. A further connection is given for studying the particle beam transforming of n-type semiconductors into p-type and vice versa as known from sub-threshold particle beams. The advantage consists in the use of avoiding aggressive or rare chemical materials when using the beam techniques for industrial applications.

  1. Nano-size metallic oxide particle synthesis in Fe-Cr alloys by ion implantation

    NASA Astrophysics Data System (ADS)

    Zheng, C.; Gentils, A.; Ribis, J.; Borodin, V. A.; Delauche, L.; Arnal, B.

    2017-10-01

    Oxide Dispersion Strengthened (ODS) steels reinforced with metal oxide nanoparticles are advanced structural materials for nuclear and thermonuclear reactors. The understanding of the mechanisms involved in the precipitation of nano-oxides can help in improving mechanical properties of ODS steels, with a strong impact for their commercialization. A perfect tool to study these mechanisms is ion implantation, where various precipitate synthesis parameters are under control. In the framework of this approach, high-purity Fe-10Cr alloy samples were consecutively implanted with Al and O ions at room temperature and demonstrated a number of unexpected features. For example, oxide particles of a few nm in diameter could be identified in the samples already after ion implantation at room temperature. This is very unusual for ion beam synthesis, which commonly requires post-implantation high-temperature annealing to launch precipitation. The observed particles were composed of aluminium and oxygen, but additionally contained one of the matrix elements (chromium). The crystal structure of aluminium oxide compound corresponds to non-equilibrium cubic γ-Al2O3 phase rather than to more common corundum. The obtained experimental results together with the existing literature data give insight into the physical mechanisms involved in the precipitation of nano-oxides in ODS alloys.

  2. Superconducting properties of ion-implanted gold-silicon thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jisrawi, N.M.

    The superconducting properties of thin Au{sub x}Si{sub 1{minus}x}, films prepared by ion beam implantation and ion beam mixing are studied. The films are prepared by evaporation of single Au layers on Si substrates and mixing them with Si, Ar, or Xe, or by Xe beam mixing of alternate multilayers of Au and Si sputtered on Al{sub 2}O{sub 3} substrates. The superconducting transition temperature and upper critical fields are determined by measuring the temperature and magnetic field dependence of resistivity. Temperatures as low as 20mK and magnetic fields as high as 8 T were used. Superconductivity in these films is discussedmore » in connection with metastable metallic phases that are reportedly produced in the Au-Si system by high quenching rate preparation techniques like quenching from the vapor or the melt or ion implantation. Preliminary structural studies provide evidence for the existence of these phases and near-edge X-ray absorption and X-ray photoelectron spectroscopy measurements indicate a metallic type of bonding from which compound formation is inferred. The quality of the films is strongly dependent on the conditions of implantation. The maximum superconducting transition temperature attained is about 1.2 K. The upper critical fields have a maximum of 6T. An unusual double transition in the field dependence of resistivity is observed at low temperatures. The effect is very pronounced at compositions near x = 0.5 where the maximum {Tc} occurs. A model is presented to explain this result which invokes the properties of the metastable metallic phases and assumes the formation of more than two such phases in the same sample as the implantation dose increases. The Si-Au interface plays an important role in understanding the model and in interpreting the results of this thesis in general.« less

  3. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    NASA Astrophysics Data System (ADS)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R. I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-04-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn+ ions at room temperature at fluencies of 1.0 × 1015-1.0 × 1016 cm-2. Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges RP differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 1016 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV-Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV-Vis absorbance increases simultaneously with the decline of optical band gap Eg. The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion species (Ni, Co

  4. Influence of ion-implanted profiles on the performance of GaAs MESFET's and MMIC amplifiers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pavlidis, D.; Cazaux, J.L.; Graffeuil, J.

    1988-04-01

    The RF small-signal performance of GaAs MESFET's and MMIC amplifiers as a function of various ion-implanted profiles is theoretically and experimentally investigated. Implantation energy, dose, and recess depth influence are theoretically analyzed with the help of a specially developed device simulator. The performance of MMIC amplifiers processed with various energies, doses, recess depths, and bias conditions is discussed and compared to experimental characteristics. Some criteria are finally proposed for the choice of implantation conditions and process in order to optimize the characteristics of ion-implanted FET's and to realize process-tolerant MMIC amplifiers.

  5. Materials and technologies for soft implantable neuroprostheses

    NASA Astrophysics Data System (ADS)

    Lacour, Stéphanie P.; Courtine, Grégoire; Guck, Jochen

    2016-10-01

    Implantable neuroprostheses are engineered systems designed to restore or substitute function for individuals with neurological deficits or disabilities. These systems involve at least one uni- or bidirectional interface between a living neural tissue and a synthetic structure, through which information in the form of electrons, ions or photons flows. Despite a few notable exceptions, the clinical dissemination of implantable neuroprostheses remains limited, because many implants display inconsistent long-term stability and performance, and are ultimately rejected by the body. Intensive research is currently being conducted to untangle the complex interplay of failure mechanisms. In this Review, we emphasize the importance of minimizing the physical and mechanical mismatch between neural tissues and implantable interfaces. We explore possible materials solutions to design and manufacture neurointegrated prostheses, and outline their immense therapeutic potential.

  6. Report on the workshop on Ion Implantation and Ion Beam Assisted Deposition

    NASA Astrophysics Data System (ADS)

    Dearnaley, G.

    1992-03-01

    This workshop was organized by the Corpus Christi Army Depot (CCAD), the major helicopter repair base within AVSCOM. Previous meetings had revealed a strong interest throughout DoD in ion beam technology as a means of extending the service life of military systems by reducing wear, corrosion, fatigue, etc. The workshop opened with an account by Dr. Bruce Sartwell of the successful application of ion implantation to bearings and gears at NRL, and the checkered history of the MANTECH Project at Spire Corporation. Dr. James Hirvonen (AMTL) continued with a summary of successful applications to reduce wear in biomedical components, and he also described the processes of ion beam-assisted deposition (IBAD) for a variety of protective coatings, including diamond-like carbon (DLC).

  7. Synthetic Nanopores as a Test Case for Ion Channel Theories: The Anomalous Mole Fraction Effect without Single Filing

    PubMed Central

    Gillespie, Dirk; Boda, Dezső; He, Yan; Apel, Pavel; Siwy, Zuzanna S.

    2008-01-01

    The predictions of a theory for the anomalous mole fraction effect (AMFE) are tested experimentally with synthetic nanopores in plastic. The negatively charged synthetic nanopores under consideration are highly cation selective and 50 Å in diameter at their smallest point. These pores exhibit an AMFE in mixtures of Ca2+ and monovalent cations. An AMFE occurs when the conductance through a pore is lower in a mixture of salts than in the pure salts at the same concentration. For ion channels, the textbook interpretation of the AMFE is that multiple ions move through the pore in coordinated, single-file motion. However, because the synthetic nanopores are so wide, their AMFE shows that single filing is not necessary for the AMFE. It is shown that the AMFE in the synthetic nanopores is explained by a theory of preferential ion selectivity. The unique properties of the synthetic nanopores allow us to experimentally confirm several predictions of this theory. These same properties make synthetic nanopores an interesting new platform to test theories of ion channel permeation and selectivity in general. PMID:18390596

  8. Low-temperature technique of thin silicon ion implanted epitaxial detectors

    NASA Astrophysics Data System (ADS)

    Kordyasz, A. J.; Le Neindre, N.; Parlog, M.; Casini, G.; Bougault, R.; Poggi, G.; Bednarek, A.; Kowalczyk, M.; Lopez, O.; Merrer, Y.; Vient, E.; Frankland, J. D.; Bonnet, E.; Chbihi, A.; Gruyer, D.; Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M. F.; Salomon, F.; Bini, M.; Valdré, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S.; Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E.; Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M.; Alba, R.; Santonocito, D.; Maiolino, C.; Cinausero, M.; Gramegna, F.; Marchi, T.; Kozik, T.; Kulig, P.; Twaróg, T.; Sosin, Z.; Gaşior, K.; Grzeszczuk, A.; Zipper, W.; Sarnecki, J.; Lipiński, D.; Wodzińska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyżak, K.; Tarasiuk, K. J.; Khabanowa, Z.; Kordyasz, Ł.

    2015-02-01

    A new technique of large-area thin ion implanted silicon detectors has been developed within the R&D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B+ ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from 241Am (< E α > = 5.5 MeV). Preliminary tests on the first thin detector (area ≈ 20 × 20 mm2) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction 84Kr ( E = 35 A MeV) + 112Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge.

  9. Evaluation of ion-implanted-silicon detectors for use in intraoperative positron-sensitive probes.

    PubMed

    Raylman, R R; Wahl, R L

    1996-11-01

    The continuing development of probes for use with beta (positron and electron) emitting radionuclides may result in more complete excision of tracer-avid tumors. Perhaps one of the most promising radiopharmaceuticals for this task is 18F-labeled-Fluoro-2-Deoxy-D-Glucose (FDG). This positron-emitting agent has been demonstrated to be avidly and rapidly absorbed by many human cancers. We have investigated the use of ion-implanted-silicon detectors in intraoperative positron-sensitive surgical probes for use with FDG. These detectors possess very high positron detection efficiency, while the efficiency for 511 keV photon detection is low. The spatial resolution, as well as positron and annihilation photon detection sensitivity, of an ion-implanted-silicon detector used with 18F was measured at several energy thresholds. In addition, the ability of the device to detect the presence of relatively small amounts of FDG during surgery was evaluated by simulating a surgical field in which some tumor was left intact following lesion excision. The performance of the ion-implanted-silicon detector was compared to the operating characteristics of a positron-sensitive surgical probe which utilizes plastic scintillator. In all areas of performance the ion-implanted-silicon detector proved superior to the plastic scintillator-based probe. At an energy threshold of 14 keV positron sensitivity measured for the ion-implanted-silicon detector was 101.3 cps/kBq, photon sensitivity was 7.4 cps/kBq. In addition, spatial resolution was found to be relatively unaffected by the presence of distant sources of annihilation photon flux. Finally, the detector was demonstrated to be able to localize small amounts of FDG in a simulated tumor bed; indicating that this device has promise as a probe to aid in FDG-guided surgery.

  10. Raman Scattering Studies on Ag Nanocluster Composites Formed by Ion Implantation into Silica

    NASA Astrophysics Data System (ADS)

    Ren, Feng; Jiang, Chang Zhong; Fu, De Jun; Fu, Qiang

    2005-12-01

    Highly-pure amorphous silica slides were implanted by 200 keV Ag ions with doses ranged from 1× 1016 to 2× 1017 ions/cm2. Optical absorption spectra show that Ag nanoclusters with various sizes have been formed. Enhancement of surface enhanced Raman scattering signal by a factor up to about 103 was obtained by changing the Ag particle size. The silica was damaged by the implanted Ag ions, and the large compression stress on the silica leads to the shift of Raman peaks. New bands at 1368 and 1586 cm-1, which are attributed to the vibration of Ag-O bond and O2 molecules in silica, are observed in the samples with doses higher than 1× 1017 ions/cm2.

  11. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation.

    PubMed

    Kondyurin, Alexey

    2018-04-24

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 10 13 to 10 16 ions/cm². The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  12. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation

    PubMed Central

    2018-01-01

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 1013 to 1016 ions/cm2. The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive. PMID:29695109

  13. Integration of Ion Implantation with Scanning ProbeAlignment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Persaud, A.; Rangelow, I.W.; Schenkel, T.

    We describe a scanning probe instrument which integrates ion beams with imaging and alignment functions of a piezo resistive scanning probe in high vacuum. Energetic ions (1 to a few hundred keV) are transported through holes in scanning probe tips [1]. Holes and imaging tips are formed by Focused Ion Beam (FIB) drilling and ion beam assisted thin film deposition. Transport of single ions can be monitored through detection of secondary electrons from highly charged dopant ions (e. g., Bi{sup 45+}) enabling single atom device formation. Fig. 1 shows SEM images of a scanning probe tip formed by ion beammore » assisted Pt deposition in a dual beam FIB. Ion beam collimating apertures are drilled through the silicon cantilever with a thickness of 5 {micro}m. Aspect ratio limitations preclude the direct drilling of holes with diameters well below 1 {micro}m, and smaller hole diameters are achieved through local thin film deposition [2]. The hole in Fig. 1 was reduced from 2 {micro}m to a residual opening of about 300 nm. Fig. 2 shows an in situ scanning probe image of an alignment dot pattern taken with the tip from Fig. 1. Transport of energetic ions through the aperture in the scanning probe tip allows formation of arbitrary implant patterns. In the example shown in Fig. 2 (right), a 30 nm thick PMMA resist layer on silicon was exposed to 7 keV Ar{sup 2+} ions with an equivalent dose of 10{sup 14} ions/cm{sup 2} to form the LBL logo. An exciting goal of this approach is the placement of single dopant ions into precise locations for integration of single atom devices, such as donor spin based quantum computers [3, 4]. In Fig. 3, we show a section of a micron size dot area exposed to a low dose (10{sup 11}/cm{sup 2}) of high charge state dopant ions. The Bi{sup 45+} ions (200 keV) were extracted from a low emittance highly charged ions source [5]. The potential energy of B{sup 45+}, i. e., the sum of the binding energies required to remove the electrons, amounts to 36

  14. Optical characteristics of composites obtained by ion implantation of silver ions in polyethylene terephthalate

    NASA Astrophysics Data System (ADS)

    Bumai, Yu. A.; Volobuev, V. S.; Valeev, V. F.; Dolgikh, N. I.; Lukashevich, M. G.; Khaibullin, R. I.; Nuzhdin, V. I.; Odzhaev, V. B.

    2012-11-01

    Metal-polymer composites are obtained by implantation of 30 keV silver ions at doses D = 1•1016-1.5•1017 cm-2 and ion current densities j = 4.0 μA/cm2 in films of polyethylene terephthalate. The spectral dependences of the reflection, transmission, and extinction coefficients for wavelengths of 190-1100 nm are studied. The reflection bands at λ1 = 205 nm and λ2 = 260 nm are found to be enhanced for light incident on the unimplanted side. Surface plasmon resonances on the silver nanoparticles are investigated. The refractive index of the modified layer is calculated and the sizes of the silver nanoparticles are estimated using a two-layer model of this structure together with the optical measurements. Depending on the implantation dose, these are found to vary over 1.3-2.8 and 5-20 nm, respectively.

  15. Hardening of Metallic Materials Using Plasma Immersion Ion Implantation (PIII)

    NASA Astrophysics Data System (ADS)

    Xu, Yufan; Clark, Mike; Flanagan, Ken; Milhone, Jason; Nonn, Paul; Forest, Cary

    2016-10-01

    A new approach of Plasma Immersion Ion Implantation (PIII) has been developed with the Plasma Couette Experiment Upgrade (PCX-U). The new approach efficiently reduces the duty cycle under the same average power for PIII. The experiment uses a Nitrogen plasma at a relatively high density of 1010 1011 cm-3 with ion temperatures of < 2 eV and electron temperature of 5 10 eV. The pulser for this PIII experiment has a maximum negative bias greater than 20kV, with 60Hz frequency and a 8 μs on-time in one working cycle. The samples (Alloy Steel 9310) are analyzed by a Vicker Hardness Tester to study the hardness and X-ray Photoelectron Spectroscopy (XPS) to study implantation density and depth. Different magnetic fields are also applied on samples to reduce the energy loss and secondary emission. Higher efficiency of implantation is expected from this experiment and the results will be presented. Hilldale Undergraduate/Faculty Research Fellowship of University of Wisconsin-Madison; Professor Cary Forest's Kellett Mid-Career Faculty Award.

  16. Fluorine-doping in titanium dioxide by ion implantation technique

    NASA Astrophysics Data System (ADS)

    Yamaki, T.; Umebayashi, T.; Sumita, T.; Yamamoto, S.; Maekawa, M.; Kawasuso, A.; Itoh, H.

    2003-05-01

    We implanted 200 keV F + in single crystalline titanium dioxide (TiO 2) rutile at a nominal fluence of 1 × 10 16 to 1 × 10 17 ions cm -2 and then thermally annealed the implanted sample in air. The radiation damage and its recovery process during the annealing were analyzed by Rutherford backscattering spectrometry in channeling geometry and variable-energy positron annihilation spectroscopy. The lattice disorder was completely recovered at 1200 °C by the migration of point defects to the surface. According to secondary ion mass spectrometry analysis, the F depth profile was shifted to a shallower region along with the damage recovery and this resulted in the formation of an F-doped layer where the impurity concentration steadily increased toward the surface. The F doping proved to provide a modification to the conduction-band edge of TiO 2, as assessed by theoretical band calculations.

  17. Development of a simple, low cost, indirect ion beam fluence measurement system for ion implanters, accelerators

    NASA Astrophysics Data System (ADS)

    Suresh, K.; Balaji, S.; Saravanan, K.; Navas, J.; David, C.; Panigrahi, B. K.

    2018-02-01

    We developed a simple, low cost user-friendly automated indirect ion beam fluence measurement system for ion irradiation and analysis experiments requiring indirect beam fluence measurements unperturbed by sample conditions like low temperature, high temperature, sample biasing as well as in regular ion implantation experiments in the ion implanters and electrostatic accelerators with continuous beam. The system, which uses simple, low cost, off-the-shelf components/systems and two distinct layers of in-house built softwarenot only eliminates the need for costly data acquisition systems but also overcomes difficulties in using properietry software. The hardware of the system is centered around a personal computer, a PIC16F887 based embedded system, a Faraday cup drive cum monitor circuit, a pair of Faraday Cups and a beam current integrator and the in-house developed software include C based microcontroller firmware and LABVIEW based virtual instrument automation software. The automatic fluence measurement involves two important phases, a current sampling phase lasting over 20-30 seconds during which the ion beam current is continuously measured by intercepting the ion beam and the averaged beam current value is computed. A subsequent charge computation phase lasting 700-900 seconds is executed making the ion beam to irradiate the samples and the incremental fluence received by the sampleis estimated usingthe latest averaged beam current value from the ion beam current sampling phase. The cycle of current sampling-charge computation is repeated till the required fluence is reached. Besides simplicity and cost-effectiveness, other important advantages of the developed system include easy reconfiguration of the system to suit customisation of experiments, scalability, easy debug and maintenance of the hardware/software, ability to work as a standalone system. The system was tested with different set of samples and ion fluences and the results were verified using

  18. Versatile, high-sensitivity faraday cup array for ion implanters

    DOEpatents

    Musket, Ronald G.; Patterson, Robert G.

    2003-01-01

    An improved Faraday cup array for determining the dose of ions delivered to a substrate during ion implantation and for monitoring the uniformity of the dose delivered to the substrate. The improved Faraday cup array incorporates a variable size ion beam aperture by changing only an insertable plate that defines the aperture without changing the position of the Faraday cups which are positioned for the operation of the largest ion beam aperture. The design enables the dose sensitivity range, typically 10.sup.11 -10.sup.18 ions/cm.sup.2 to be extended to below 10.sup.6 ions/cm.sup.2. The insertable plate/aperture arrangement is structurally simple and enables scaling to aperture areas between <1 cm.sup.2 and >750 cm.sup.2, and enables ultra-high vacuum (UHV) applications by incorporation of UHV-compatible materials.

  19. Bone augmentation at peri-implant dehiscence defects comparing a synthetic polyethylene glycol hydrogel matrix vs. standard guided bone regeneration techniques.

    PubMed

    Thoma, Daniel S; Jung, Ui-Won; Park, Jin-Young; Bienz, Stefan P; Hüsler, Jürg; Jung, Ronald E

    2017-07-01

    The aim of the study was to test whether or not the use of a polyethylene glycol (PEG) hydrogel with or without the addition of an arginylglycylaspartic acid (RGD) sequence applied as a matrix in combination with hydroxyapatite/tricalciumphosphate (HA/TCP) results in similar peri-implant bone regeneration as traditional guided bone regeneration procedures. In 12 beagle dogs, implant placement and peri-implant bone regeneration were performed 2 months after tooth extraction in the maxilla. Two standardized box-shaped defects were bilaterally created, and dental implants were placed in the center of the defects with a dehiscence of 4 mm. Four treatment modalities were randomly applied: i)HA/TCP mixed with a synthetic PEG hydrogel, ii)HA/TCP mixed with a synthetic PEG hydrogel supplemented with an RGD sequence, iii)HA/TCP covered with a native collagen membrane (CM), iv)and no bone augmentation (empty). After a healing period of 8 or 16 weeks, micro-CT and histological analyses were performed. Histomorphometric analysis revealed a greater relative augmented area for groups with bone augmentation (43.3%-53.9% at 8 weeks, 31.2%-42.8% at 16 weeks) compared to empty controls (22.9% at 8 weeks, 1.1% at 16 weeks). The median amount of newly formed bone was greatest in group CM at both time-points. Regarding the first bone-to-implant contact, CM was statistically significantly superior to all other groups at 8 weeks. Bone can partially be regenerated at peri-implant buccal dehiscence defects using traditional guided bone regeneration techniques. The use of a PEG hydrogel applied as a matrix mixed with a synthetic bone substitute material might lack a sufficient stability over time for this kind of defect. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  20. Thermal annealing behavior of nano-size metal-oxide particles synthesized by ion implantation in Fe-Cr alloy

    NASA Astrophysics Data System (ADS)

    Zheng, C.; Gentils, A.; Ribis, J.; Borodin, V. A.; Descoins, M.; Mangelinck, D.; Dalle, F.; Arnal, B.; Delauche, L.

    2017-05-01

    Oxide dispersion strengthened (ODS) steels are promising structural materials for the next generation nuclear reactors, as well as fusion facilities. The detailed understanding of the mechanisms involved in the precipitation of nano-oxides during ODS steel production would strongly contribute to the improvement of the mechanical properties and the optimization of manufacturing of ODS steels, with a potentially strong economic impact for their industrialization. A useful tool for the experimental study of nano-oxide precipitation is ion implantation, a technique that is widely used to synthesize precipitate nanostructures in well-controlled conditions. Earlier, we have demonstrated the feasibility of synthesizing aluminum-oxide particles in the high purity Fe-10Cr alloy by consecutive implantation with Al and O ions at room temperature. This paper describes the effects of high-temperature annealing after the ion implantation stage on the development of the aluminum based oxide nanoparticle system. Using transmission electron microscopy and atom probe tomography experiments, we demonstrate that post-implantation heat treatment induces the growth of the nano-sized oxides in the implanted region and nucleation of new oxide precipitates behind the implantation zone as a result of the diffusion driven broadening of implant profiles. A tentative scenario for the development of metal-oxide nano-particles at both ion implantation and heat treatment stages is suggested based on the experimental observations.

  1. Synthesis of sponge-like hydrophobic NiBi3 surface by 200 keV Ar ion implantation

    NASA Astrophysics Data System (ADS)

    Siva, Vantari; Datta, D. P.; Chatterjee, S.; Varma, S.; Kanjilal, D.; Sahoo, Pratap K.

    2017-07-01

    Sponge-like nanostructures develop under Ar-ion implantation of a Ni-Bi bilayer with increasing ion fluence at room temperature. The surface morphology features different stages of evolution as a function of ion fluence, finally resulting in a planar surface at the highest fluence. Our investigations on the chemical composition reveal a spontaneous formation of NiBi3 phase on the surface of the as deposited bilayer film. Interestingly, we observe a competition between crystallization and amorphization of the existing poly-crystalline phases as a function of the implanted fluence. Measurements of contact angle by sessile drop method clearly show the ion-fluence dependent hydrophobic nature of the nano-structured surfaces. The wettability has been correlated with the variation in roughness and composition of the implanted surface. In fact, our experimental results confirm dominant effect of ion-sputtering as well as ion-induced mixing at the bilayer interface in the evolution of the sponge-like surface.

  2. Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Koga, Yoshihiro; Kadono, Takeshi; Shigematsu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Okuyama, Ryousuke; Okuda, Hidehiko; Kurita, Kazunari

    2018-06-01

    We propose a fabrication process for silicon wafers by combining carbon-cluster ion implantation and room-temperature bonding for advanced CMOS image sensors. These carbon-cluster ions are made of carbon and hydrogen, which can passivate process-induced defects. We demonstrated that this combination process can be used to form an epitaxial layer on a carbon-cluster ion-implanted Czochralski (CZ)-grown silicon substrate with a high dose of 1 × 1016 atoms/cm2. This implantation condition transforms the top-surface region of the CZ-grown silicon substrate into a thin amorphous layer. Thus, an epitaxial layer cannot be grown on this implanted CZ-grown silicon substrate. However, this combination process can be used to form an epitaxial layer on the amorphous layer of this implanted CZ-grown silicon substrate surface. This bonding wafer has strong gettering capability in both the wafer-bonding region and the carbon-cluster ion-implanted projection range. Furthermore, this wafer inhibits oxygen out-diffusion to the epitaxial layer from the CZ-grown silicon substrate after device fabrication. Therefore, we believe that this bonding wafer is effective in decreasing the dark current and white-spot defect density for advanced CMOS image sensors.

  3. Friction wear and auger analysis of iron implanted with 1.5-MeV nitrogen ions

    NASA Technical Reports Server (NTRS)

    Ferrante, J.; Jones, W. R., Jr.

    1982-01-01

    The effect of implantation of 1.5-MeV nitrogen ions on the friction and wear characteristics of pure iron sliding against steel was studied in a pin-on disk apparatus. An implantation dose of 5 x 10 to the 17th power ions/sq cm was used. Small reductions in initial and steady-state wear rates were observed for nitrogen-implanted iron riders as compared with unimplanted controls. Auger electron spectroscopy revealed a subsurface Gaussian nitrogen distribution with a maximum concentration of 15 at. % at a depth of 8 x 10 to the -7th m. A similar analysis within the wear scar of an implanted rider after 20 microns of wear yielded only background nitrogen concentration, thus giving no evidence for diffusion of nitrogen beyond the implanted range.

  4. Improved depth profiling with slow positrons of ion implantation-induced damage in silicon

    NASA Astrophysics Data System (ADS)

    Fujinami, M.; Miyagoe, T.; Sawada, T.; Akahane, T.

    2003-10-01

    Variable-energy positron annihilation spectroscopy (VEPAS) has been extensively applied to study defects in near-surface regions and buried interfaces, but there is an inherent limit for depth resolution due to broadening of the positron implantation profile. In order to overcome this limit and obtain optimum depth resolution, iterative chemical etching of the sample surface and VEPAS measurement are employed. This etch-and-measure technique is described in detail and the capabilities are illustrated by investigating the depth profile of defects in Si after B and P implantations with 2×1014/cm2 at 100 keV followed by annealing. Defect tails can be accurately examined and the extracted defect profile is proven to extend beyond the implanted ion range predicted by the Monte Carlo code TRIM. This behavior is more remarkable for P ion implantation than B, and the mass difference of the implanted ions is strongly related to it. No significant difference is recognized in the annealing behavior between B and P implantations. After annealing at 300 °C, the defect profile is hardly changed, but the ratio of the characteristic Doppler broadening, S, a parameter for defects, to that for the bulk Si rises by 0.01, indicating that divacancies, V2, are transformed into V4. Annealing at more than 500 °C causes diffusion of the defects toward the surface and positron traps are annealed out at 800 °C. It is proved that this resolution-enhanced VEPAS can eliminate some discrepancies in defect profiles extracted by conventional means.

  5. Corrosion of stainless steel sternal wire after long-term implantation.

    PubMed

    Tomizawa, Yasuko; Hanawa, Takao; Kuroda, Daisuke; Nishida, Hiroshi; Endo, Masahiro

    2006-01-01

    A variety of metallic components have been used in medical devices where lifelong durability and physical strength are demanded. To investigate the in vivo changes of implanted metallic medical devices in humans, stainless steel sternal wires removed from patients were evaluated. Stainless steel (316L) sternal wires removed from four patients after 10, 13, 22, and 30 years of implantation were evaluated using scanning electron microscopy (SEM) and energy dispersive X-ray spectroscopy (EDS). Macroscopically, the removed specimens maintained their metallic luster and color. Under SEM, small holes were observed sporadically at 10 years and they tended to connect in the drawing direction. The longer the implanted duration, the more numerous and deeper were the crevices observed. By EDS, sulfur, phosphorus, and calcium were identified in all areas at 10 years, in addition to the component elements of stainless steel, comprising iron, chromium, nickel, and manganese. Corrosion products observed at 30 years were identified as calcium phosphate. In conclusion, stainless steel sternal wires develop corroded pores that grow larger and deeper with time after implantation; however, the pores remain shallow even after decades of implantation and they may not be a cause of mechanical failure. An amount of metal ions equivalent to the corroded volume must have been released into the human body, but the effect of these metal ions on the body is not apparent.

  6. Synthesis of graphene and graphene nanostructures by ion implantation and pulsed laser annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiaotie; Rudawski, Nicholas G.; Appleton, Bill R.

    2016-07-14

    In this paper, we report a systematic study that shows how the numerous processing parameters associated with ion implantation (II) and pulsed laser annealing (PLA) can be manipulated to control the quantity and quality of graphene (G), few-layer graphene (FLG), and other carbon nanostructures selectively synthesized in crystalline SiC (c-SiC). Controlled implantations of Si{sup −} plus C{sup −} and Au{sup +} ions in c-SiC showed that both the thickness of the amorphous layer formed by ion damage and the doping effect of the implanted Au enhance the formation of G and FLG during PLA. The relative contributions of the amorphousmore » and doping effects were studied separately, and thermal simulation calculations were used to estimate surface temperatures and to help understand the phase changes occurring during PLA. In addition to the amorphous layer thickness and catalytic doping effects, other enhancement effects were found to depend on other ion species, the annealing environment, PLA fluence and number of pulses, and even laser frequency. Optimum II and PLA conditions are identified and possible mechanisms for selective synthesis of G, FLG, and carbon nanostructures are discussed.« less

  7. Structural analysis of ion-implanted chemical-vapor-deposited diamond by transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Jiang, N.; Deguchi, M.; Wang, C. L.; Won, J. H.; Jeon, H. M.; Mori, Y.; Hatta, A.; Kitabatake, M.; Ito, T.; Hirao, T.; Sasaki, T.; Hiraki, A.

    1997-04-01

    A transmission electron microscope (TEM) study of ion-implanted chemical-vapor-deposited (CVD) diamond is presented. CVD diamond used for transmission electron microscope observation was directly deposited onto Mo TEM grids. As-deposited specimens were irradiated by C (100 keV) ions at room temperature with a wide range of implantation doses (10 12-10 17/cm 2). Transmission electron diffraction (TED) patterns indicate that there exists a critical dose ( Dc) for the onset of amorphization of CVD diamond as a result of ion induced damage and the value of critical dose is confirmed to be about 3 × 10 15/cm 2. The ion-induced transformation process is clearly revealed by high resolution electron microscope (HREM) images. For a higher dose implantation (7 × 10 15/cm 2) a large amount of diamond phase is transformed into amorphous carbon and many tiny misoriented diamond blocks are found to be left in the amorphous solid. The average size of these misoriented diamond blocks is only about 1-2 nm. Further bombardment (10 17/cm 2) almost kills all of the diamond phase within the irradiated volume and moreover leads to local formation of micropolycrystalline graphite.

  8. Thermal annealing behavior of hydrogen and surface topography of H 2 + ion implanted tungsten

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Jiandong; Jiang, Weilin; Zhu, Zihua

    2018-01-25

    Tungsten (W) has been proposed as a plasma-facing material (PFM) in fusion reactors due to its outstanding properties. Degradation of the material properties is expected to occur as a result of hydrogen (H) isotope permeation and trapping in W. In this study, two polycrystalline W plates were implanted with 80 keV H 2 + ions to a fluence of 2E21 H+/m2 at room temperature (RT). Time-of-flight secondary ion mass spectrometry (ToF-SIMS), focused ion beam (FIB) and scanning electron microscopy (SEM) were used for sample characterization. The SIMS data shows that H atoms are distributed well beyond the ion projected range.more » Isochronal annealing appears to suggest two H release stages that might be associated with the reported activation energies. H release at RT was observed between days 10 and 70 following ion implantation, and the level was maintained over the next 60 days. In addition, FIB/SEM results exhibit H2 blister formation near the surface of the as-implanted W. The blister distribution remains unchanged after thermal annealing up to 600 °C.« less

  9. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  10. Certified ion implantation fluence by high accuracy RBS.

    PubMed

    Colaux, Julien L; Jeynes, Chris; Heasman, Keith C; Gwilliam, Russell M

    2015-05-07

    From measurements over the last two years we have demonstrated that the charge collection system based on Faraday cups can robustly give near-1% absolute implantation fluence accuracy for our electrostatically scanned 200 kV Danfysik ion implanter, using four-point-probe mapping with a demonstrated accuracy of 2%, and accurate Rutherford backscattering spectrometry (RBS) of test implants from our quality assurance programme. The RBS is traceable to the certified reference material IRMM-ERM-EG001/BAM-L001, and involves convenient calibrations both of the electronic gain of the spectrometry system (at about 0.1% accuracy) and of the RBS beam energy (at 0.06% accuracy). We demonstrate that accurate RBS is a definitive method to determine quantity of material. It is therefore useful for certifying high quality reference standards, and is also extensible to other kinds of samples such as thin self-supporting films of pure elements. The more powerful technique of Total-IBA may inherit the accuracy of RBS.

  11. Spectroscopy of a Synthetic Trapped Ion Qubit

    NASA Astrophysics Data System (ADS)

    Hucul, David; Christensen, Justin E.; Hudson, Eric R.; Campbell, Wesley C.

    2017-09-01

    133Ba+ has been identified as an attractive ion for quantum information processing due to the unique combination of its spin-1 /2 nucleus and visible wavelength electronic transitions. Using a microgram source of radioactive material, we trap and laser cool the synthetic A =133 radioisotope of barium II in a radio-frequency ion trap. Using the same, single trapped atom, we measure the isotope shifts and hyperfine structure of the 62P1 /2↔62S1 /2 and 62P1 /2↔52D3 /2 electronic transitions that are needed for laser cooling, state preparation, and state detection of the clock-state hyperfine and optical qubits. We also report the 62P1 /2↔52D3 /2 electronic transition isotope shift for the rare A =130 and 132 barium nuclides, completing the spectroscopic characterization necessary for laser cooling all long-lived barium II isotopes.

  12. Influence of 400 keV carbon ion implantation on structural, optical and electrical properties of PMMA

    NASA Astrophysics Data System (ADS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Sagheer, Riffat; Naab, Fabian; Toader, Ovidiu; Mahmood, Arshad; Rashid, Rashad; Mahmood, Mazhar

    2015-09-01

    Ion implantation is a useful technique to modify surface properties of polymers without altering their bulk properties. The objective of this work is to explore the 400 keV C+ ion implantation effects on PMMA at different fluences ranging from 5 × 1013 to 5 × 1015 ions/cm2. The surface topographical examination of irradiated samples has been performed using Atomic Force Microscope (AFM). The structural and chemical modifications in implanted PMMA are examined by Raman and Fourier Infrared Spectroscopy (FTIR) respectively. The effects of carbon ion implantation on optical properties of PMMA are investigated by UV-Visible spectroscopy. The modifications in electrical conductivity have been measured using a four point probe technique. AFM images reveal a decrease in surface roughness of PMMA with an increase in ion fluence from 5 × 1014 to 5 × 1015 ions/cm2. The existence of amorphization and sp2-carbon clusterization has been confirmed by Raman and FTIR spectroscopic analysis. The UV-Visible data shows a prominent red shift in absorption edge as a function of ion fluence. This shift displays a continuous reduction in optical band gap (from 3.13 to 0.66 eV) due to formation of carbon clusters. Moreover, size of carbon clusters and photoconductivity are found to increase with increasing ion fluence. The ion-induced carbonaceous clusters are believed to be responsible for an increase in electrical conductivity of PMMA from (2.14 ± 0.06) × 10-10 (Ω-cm)-1 (pristine) to (0.32 ± 0.01) × 10-5 (Ω-cm)-1 (irradiated sample).

  13. Nanocrystalline SnO2 formation by oxygen ion implantation in tin thin films

    NASA Astrophysics Data System (ADS)

    Kondkar, Vidya; Rukade, Deepti; Kanjilal, Dinakar; Bhattacharyya, Varsha

    2018-03-01

    Metallic tin thin films of thickness 100 nm are deposited on fused silica substrates by thermal evaporation technique. These films are implanted with 45 keV oxygen ions at fluences ranging from 5 × 1015 to 5 × 1016 ions cm-2. The energy of the oxygen ions is calculated using SRIM in order to form embedded phases at the film-substrate interface. Post-implantation, films are annealed using a tube furnace for nanocrystalline tin oxide formation. These films are characterized using x-ray diffraction, Raman spectroscopy, UV-vis spectroscopy and photoluminescence spectroscopy. XRD and Raman spectroscopy studies reveal the formation of single rutile phase of SnO2. The size of the nanocrystallites formed decreases with an increase in the ion fluence. The nanocrystalline SnO2 formation is also confirmed by UV-vis and photoluminescence spectroscopy.

  14. Ion implantation effects in 'cosmic' dust grains

    NASA Technical Reports Server (NTRS)

    Bibring, J. P.; Langevin, Y.; Maurette, M.; Meunier, R.; Jouffrey, B.; Jouret, C.

    1974-01-01

    Cosmic dust grains, whatever their origin may be, have probably suffered a complex sequence of events including exposure to high doses of low-energy nuclear particles and cycles of turbulent motions. High-voltage electron microscope observations of micron-sized grains either naturally exposed to space environmental parameters on the lunar surface or artificially subjected to space simulated conditions strongly suggest that such events could drastically modify the mineralogical composition of the grains and considerably ease their aggregation during collisions at low speeds. Furthermore, combined mass spectrometer and ionic analyzer studies show that small carbon compounds can be both synthesized during the implantation of a mixture of low-energy D, C, N ions in various solids and released in space by ion sputtering.

  15. Synergistic Effects of Iodine and Silver Ions Co-Implanted in 6H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuhudzai, Remeredzai J.; Malherbe, Johan; Hlatshwayo, T. T.

    2015-10-23

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H-SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H-SiC and their subsequent annealing behavior has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag inmore » the co-implanted samples after annealing at 1500 ºC for 30 hours in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H-SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings.« less

  16. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  17. The effects on γ-LiAlO2 induced by nuclear energy losses during Ga ions implantation

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Song, Hong-Lian; Qiao, Mei; Yu, Xiao-Fei; Wang, Tie-Jun; Wang, Xue-Lin

    2017-09-01

    To explore the evolution of γ-LiAlO2 under ion irradiation at low energy, we implanted Ga ions of 30, 80 and 150 keV at fluences of 1 × 1014 and 1 × 1015 ions/cm2 in z-cut γ-LiAlO2 samples, respectively. The implantation resulted in damage regions dominated by nuclear energy losses at depth of 232 Å, 514 Å, and 911 Å beneath the surface, respectively, which was simulated by the Stopping and Range of Ions in Matter program. The irradiated γ-LiAlO2 were characterized with atomic force microscope, Raman spectroscopy, X-ray diffraction and Rutherford backscattering in a channeling mode for morphology evolution, structure information and damage profiles. The interesting and partly abnormal results showed the various behaviors in modification of surface by Ga ions implantation.

  18. The effects of ion implantation on the beaks of orthodontic pliers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mizrahi, E.; Cleaton-Jones, P.E.; Luyckz, S.

    1991-06-01

    The surface of stainless steel may be hardened by bombarding the material with a stream of nitrogen ions generated by a nuclear accelerator. In the present study this technique was used to determine the hardening effect of ion implantation on the beaks of stainless steel orthodontic pliers. Ten orthodontic pliers (Dentarum 003 094) were divided into two equal groups, designated control and experimental. The beaks of the experimental pliers were subjected to ion implantation, after which the tips of the beaks of all the pliers were stressed in an apparatus attached to an Instron testing machine. A cyclical load ofmore » 500 N was applied to the handles of the pliers, while a 0.9 mm (0.036 inch) round, stainless steel wire was held between the tips of the beaks. The effect of the stress was assessed by measurement with a traveling microscope of the gap produced between the tips of the beaks. Measurements were taken before loading and after 20, 40, 60, and 80 cycles. Statistical analysis of variance and the two-sample t tests indicated that there was a significant increase in the size of the gap as the pliers were stressed from 0 to 80 cycles (p less than 0.001). Furthermore, the mean gap was significantly greater in the control group than in the experimental group (p less than 0.001). This study suggests that ion implantation increases the hardness of the tips of the beaks of orthodontic pliers.« less

  19. Impact of Mg-ion implantation with various fluence ranges on optical properties of n-type GaN

    NASA Astrophysics Data System (ADS)

    Tsuge, Hirofumi; Ikeda, Kiyoji; Kato, Shigeki; Nishimura, Tomoaki; Nakamura, Tohru; Kuriyama, Kazuo; Mishima, Tomoyoshi

    2017-10-01

    Optical characteristics of Mg-ion implanted GaN layers with various fluence ranges were evaluated. Mg ion implantation was performed twice at energies of 30 and 60 keV on n-GaN layers. The first implantation at 30 keV was performed with three different fluence ranges of 1.0 × 1014, 1.0 × 1015 and 5.0 × 1015 cm-2. The second implantation at an energy of 60 keV was performed with a fluence of 6.5 × 1013 cm-2. After implantation, samples were annealed at 1250 °C for 1 min under N2 atmosphere. Photoluminescence (PL) spectrum of the GaN layer with the Mg ion implantation at the fluence range of 1.0 × 1014 cm-2 at 30 keV was similar to the one of Mg-doped p-GaN layers grown by MOVPE (Metal-Organic Vapor Phase Epitaxy) on free-standing GaN substrates and those at the fluence ranges over 1.0 × 1015 cm-2 were largely degraded.

  20. Friction and Wear Properties of As-Deposited and Carbon Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1996-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 keV ion energy, resulting in a dose of 1.2 x 10(exp 17) carbon ions per cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, X-ray photoelectron spectroscopy, and X-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40% relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and wear properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to lO(exp -8) mm(exp 3) N(exp -1) m(exp -1)) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4) mm(exp 7) N(exp -1) m(exp -1)) in ultrahigh vacuum. The carbon ion implantation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, non-diamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7) mm(exp 3) N(exp -1) m(exp-1)). Even in ultrahigh vacuum, the presence of the non-diamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6

  1. Electrical properties of PMMA ion-implanted with low-energy Si+ beam

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Gueorguiev, V. K.; Ivanov, Tz E.; Marinov, Y. G.; Ivanov, V. G.; Faulques, E.

    2010-01-01

    The electrical properties of polymethylmethacrylate (PMMA) after implantation with silicon ions accelerated to an energy of 50 keV are studied under DC electric bias field. The electrical response of the formed material is examined as a function of Si+ fluence in the range 1014 - 1017 cm-2. The carbonaceous subsurface region of the Si+-implanted PMMA displays a significant DC conductivity and a sizable field effect that can be used for electronic applications.

  2. Zinc-ion implanted and deposited titanium surfaces reduce adhesion of Streptococccus mutans

    NASA Astrophysics Data System (ADS)

    Xu, Juan; Ding, Gang; Li, Jinlu; Yang, Shenhui; Fang, Bisong; Sun, Hongchen; Zhou, Yanmin

    2010-10-01

    While titanium (Ti) is a commonly used dental implant material with advantageous biocompatible and mechanical properties, native Ti surfaces do not have the ability to prevent bacterial colonization. The objective of this study was to evaluate the chemical composition and bacterial adhesive properties of zinc (Zn) ion implanted and deposited Ti surfaces (Zn-PIIID-Ti) as potential dental implant materials. Surfaces of pure Ti (cp-Ti) were modified with increasing concentrations of Zn using plasma immersion ion implantation and deposition (PIIID), and elemental surface compositions were characterized by X-ray photoelectron spectrometry (XPS). To evaluate bacterial responses, Streptococcus mutans were seeded onto the modifiedTi surfaces for 48 h and subsequently observed by scanning electron microscopy. Relative numbers of bacteria on each surface were assessed by collecting the adhered bacteria, reculturing and counting colony forming units after 48 h on bacterial grade plates. Ti, oxygen and carbon elements were detected on all surfaces by XPS. Increased Zn signals were detected on Zn-PIIID-Ti surfaces, correlating with an increase of Zn-deposition time. Substantial numbers of S. mutans adhered to cp-Ti samples, whereas bacterial adhesion on Zn-PIIID-Ti surfaces signficantly decreased as the Zn concentration increased ( p < 0.01). In conclusion, PIIID can successfully introduce Zn onto a Ti surface, forming a modified surface layer bearing Zn ions that consequently deter adhesion of S. mutans, a common bacterium in the oral environment.

  3. Study of Biological Effects of Low Energy Ion Implantation on Tomato and Radish Breeding

    NASA Astrophysics Data System (ADS)

    Liang, Qiuxia; Huang, Qunce; Cao, Gangqiang; Ying, Fangqing; Liu, Yanbo; Huang, Wen

    2008-04-01

    Biological effects of 30 keV low energy nitrogen ion implantation on the seeds of five types of tomato and one type of radish were investigated. Results showed that low energy ions have different effects on different vegetables. The whole dose-response curve of the germination ratio did not take on "the shape of saddle", but was a rising and falling waveform with the increase or decrease in ion implantation. In the vegetable of Solanaceae, two outstanding aberrant plants were selected from M1 of Henan No.4 tomato at a dose of 7 × 1017 nitrogen ions/cm2, which had thin-leaves, long-petal and nipple tip fruit stably inherited to M7. Furthermore the analysis of the isozyme showed that the activity of the mutant tomato seedling was distinct in quantity and color. In Raphanus sativus L., the aberrances were obvious in the mutant of radish 791 at a dose of 5 × 1017 nitrogen ions/cm2, and the weight of succulent root and the volume of growth were over twice the control's. At present, many species for breeding have been identified in the field and only stable species have been selected for the experiment of production. It is evident that the low energy ion implantation technology has clear effects on vegetables' genetic improvement.

  4. Plasma immersion ion implantation modification of surface properties of polymer material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Husein, I.F.; Zhou, Y.; Qin, S.

    1997-12-01

    The use of plasma immersion ion implantation (PIII) as a novel method for the treatment of polymer surfaces is investigated. The effect of PIII treatment on the coefficient of friction, contact angle modification, and surface energy of silicone and EPDM (ethylene-propylene-diene monomer) rubber are investigated as a function of pulse voltage, treatment time, and gas species. Low energy (0--8 keV) and high dose ({approximately}10{sup 17}--10{sup 18} ions/cm{sup 2}) implantation of N{sub 2}, Ar, and CF{sub 4} is performed using an inductively coupled plasma source (ICP) at low pressure (0.2 mTorr). PIII treatment reduces the coefficient of friction ({micro}) of siliconemore » rubber from {mu} = 0.464 to the range {mu} = 0.176--0.274, and {mu} of EPDM rubber decreases from 0.9 to the range {mu} = 0.27--0.416 depending on processing conditions. The contact angle of water and diiodomethylene decreases after implantation and increases at higher doses for both silicone and EPDM rubber.« less

  5. DIN 1.7035 Steel Modification with High Intensity Nitrogen Ion Implantation

    NASA Astrophysics Data System (ADS)

    Ryabchikov, A. I.; Sivin, D. O.; Anan'in, P. S.; Ivanova, A. I.; Uglov, V. V.; Korneva, O. S.

    2018-06-01

    The paper presents research results on the formation of deep ion-modified layers of the grade DIN 1.7035 alloy steel due to a high intensity, repetitively-pulsed nitrogen ion beams with the ion current density of up to 0.5 A/cm2. The formation of a low-energy, high intensity nitrogen ion beam is based on a plasma immersion ion extraction followed by the ballistic focusing in the equipotential drift region. The nitrogen ion implantation in steel specimens is performed at a 1.2 keV energy and 450, 500, 580 and 650°C temperatures during 60 minutes. The morphology, elementary composition and mechanical properties are investigated in deep layers of steel specimens alloyed with nitrogen ions.

  6. The influence of nitrogen ion implantation on the tribological properties of piston rings made of Hardox and Raex steels

    NASA Astrophysics Data System (ADS)

    Budzyński, P.; Kamiński, M.; Pyszniak, K.

    2016-09-01

    The implantation of nitrogen, carbon, and oxygen can be used for enhancing the tribological properties of critical components for internal combustion engines. Hardox and Raex steels have very similar strength parameters as for steel used for piston rings in internal combustion engines. An essential criterion when selecting material for the production of piston rings is a low friction factor and a low wear index. The aim of this study was to determine the extent to which these parameters can be enhanced by nitrogen ion implantation. Samples were implanted with nitrogen ions with 65 keV energy and the fluence of implanted ions set to 1.1017 N + /cm2. Friction and wear measurements were performed on a pin-on disc stand. The results demonstrate that implantation with nitrogen ions significantly reduces the friction factor and wear of Hardox 450 and Raex 400 steels. Implantation can and should be used for enhancing the tribological properties of steel used for friction elements in internal combustion engines, particularly when heat treatment is excluded. Final elements can be subjected to implantation, as the process does not change their dimensions.

  7. The Use of Ion Implantation for Materials Processing.

    DTIC Science & Technology

    1980-10-06

    consists of a series of sections, each section being an annular insulator (glass) and a shaped metal electrode (polished aluminum ) cemented together. A...depending on the ion species, semiconductor material, attached materials (such as aluminum leads), implantation energy, and dose; but some devices are...concentration of subsurface carbon. Appearing directly beneath the oxide layer, the C concentration first reaches a maximum of about five times the bulk

  8. Studies on the surface modification of TiN coatings using MEVVA ion implantation with selected metallic species

    NASA Astrophysics Data System (ADS)

    Ward, L. P.; Purushotham, K. P.; Manory, R. R.

    2016-02-01

    Improvement in the performance of TiN coatings can be achieved using surface modification techniques such as ion implantation. In the present study, physical vapor deposited (PVD) TiN coatings were implanted with Cr, Zr, Nb, Mo and W using the metal evaporation vacuum arc (MEVVA) technique at a constant nominal dose of 4 × 1016 ions cm-2 for all species. The samples were characterized before and after implantation, using Rutherford backscattering (RBS), glancing incident angle X-ray diffraction (GIXRD), atomic force microscopy (AFM) and optical microscopy. Friction and wear studies were performed under dry sliding conditions using a pin-on-disc CSEM Tribometer at 1 N load and 450 m sliding distance. A reduction in the grain size and surface roughness was observed after implantation with all five species. Little variation was observed in the residual stress values for all implanted TiN coatings, except for W implanted TiN which showed a pronounced increase in compressive residual stress. Mo-implanted samples showed a lower coefficient of friction and higher resistance to breakdown during the initial stages of testing than as-received samples. Significant reduction in wear rate was observed after implanting with Zr and Mo ions compared with unimplanted TiN. The presence of the Ti2N phase was observed with Cr implantation.

  9. Ion implantation reduces radiation sensitivity of metal oxide silicon /MOS/ devices

    NASA Technical Reports Server (NTRS)

    1971-01-01

    Implanting nitrogen ions improves hardening of silicon oxides 30 percent to 60 percent against ionizing radiation effects. Process reduces sensitivity, but retains stability normally shown by interfaces between silicon and thermally grown oxides.

  10. Use of low energy hydrogen ion implants in high efficiency crystalline silicon solar cells

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.; Singh, R.

    1985-01-01

    This program is a study of the use of low energy hydrogen ion implantation for high efficiency crystalline silicon solar cells. The first quarterly report focuses on two tasks of this program: (1) an examination of the effects of low energy hydrogen implants on surface recombination speed; and (2) an examination of the effects of hydrogen on silicon regrowth and diffusion in silicon. The first part of the project focussed on the measurement of surface properties of hydrogen implanted silicon. Low energy hydrogen ions when bombarded on the silicon surface will create structural damage at the surface, deactivate dopants and introduce recombination centers. At the same time the electrically active centers such as dangling bonds will be passivated by these hydrogen ions. Thus hydrogen is expected to alter properties such as the surface recombination velocity, dopant profiles on the emitter, etc. In this report the surface recombination velocity of a hydrogen emplanted emitter was measured.

  11. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji

    2018-02-01

    The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.

  12. Ion implantation and diamond-like coatings of aluminum alloys

    NASA Astrophysics Data System (ADS)

    Malaczynski, G. W.; Hamdi, A. H.; Elmoursi, A. A.; Qiu, X.

    1997-04-01

    In an attempt to increase the wear resistance of some key automotive components, General Motors Research and Development Center initiated a study to determine the potential of surface modification as a means of improving the tribological properties of automotive parts, and to investigate the feasibility of mass producing such parts. This paper describes the plasma immersion ion implantation system that was designed for the study of various options for surface treatment, and it discusses bench testing procedures used for evaluating the surface-treated samples. In particular, both tribological and microstructural analyses are discussed for nitrogen implants and diamond-like hydrocarbon coatings of some aluminum alloys.

  13. Carbonic Acid by Ion Implantation in Water_solarCarbon Dioxide Ice Mixtures

    NASA Astrophysics Data System (ADS)

    Brucato, J. R.; Palumbo, M. E.; Strazzulla, G.

    1997-01-01

    We present the results of experiments performed by keV ion (He and H) bombardment of frozen mixtures of H 2O:CO 2and of pure CO 2ice. Using keV He ions we confirm the already reported measurement of carbonic acid (H 2CO 3) production in an ice mixture of frozen water and carbon dioxide (1:1) after irradiation by 0.7 MeV H ions (Moore, M. H., and R. K. Khanna 1991. Spectrochim. Acta47, 255-262; Moore, M. H., R. K. Khanna, and B. Donn 1991. J. Geophys. Res. E96(2), 17,541-17,545.). Contrary to a previous report (Pirronello, V., W. L. Brown, L. J. Lanzerotti, K. J. Marcantonio, and E. H. Simmons 1982. Astrophys. J.262, 636-640.), formaldehyde (H 2CO), if any, is not a major product. Implantation with hydrogen ions demonstrates that carbonic acid is formed even if the irradiated target is pure CO 2; i.e., the implanted ion is incorporated into the target and forms new bonds. Some possible astrophysical applications on Solar System objects or (pre-solar) interstellar grains are discussed.

  14. Effect of nitrogen ion implantation on the structural and optical properties of indium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sethi, Riti; Aziz, Anver; Siddiqui, Azher M., E-mail: amsiddiqui@jmi.ac.in

    2016-06-10

    : We report here synthesis and subsequent nitrogen ion implantation of indium oxide (In{sub 2}O{sub 3}) thin films. The films were implanted with 25 keV N{sup +} beam for different ion doses between 3E15 to 1E16 ions/cm{sup 2}. The resulting changes in structural and optical properties were investigated using XRD, SEM-EDAX and UV-Vis Spectrometry. XRD studies reveal decrease in crystallite size from 20.06 to 12.42 nm with increase in ion dose. SEM micrographs show an increase in the grain size from 0.8 to 1.35 µm with increase in ion dose because of the agglomeration of the grains. Also, from EDAXmore » data on pristine and N-implanted thin films the presence of indium and oxygen without any traces of impurity elements could be seen. However, at lower ion doses such as 3E15 and 5E15 ions/cm{sup 2}, no evidence of the presence of nitrogen ion was seen. However, for the ion dose of 1E16 ions/cm{sup 2}, evidence of presence of nitrogen can be seen in the EDAX data. Band gap calculations reveal a decrease in band gap from 3.54 to 3.38 eV with increasing ion dose. However, the band gap was found to again show an increase to 3.58 eV at the highest ion dose owing to quantum confinement effect.« less

  15. Laser generated Ge ions accelerated by additional electrostatic field for implantation technology

    NASA Astrophysics Data System (ADS)

    Rosinski, M.; Gasior, P.; Fazio, E.; Ando, L.; Giuffrida, L.; Torrisi, L.; Parys, P.; Mezzasalma, A. M.; Wolowski, J.

    2013-05-01

    The paper presents research on the optimization of the laser ion implantation method with electrostatic acceleration/deflection including numerical simulations by the means of the Opera 3D code and experimental tests at the IPPLM, Warsaw. To introduce the ablation process an Nd:YAG laser system with repetition rate of 10 Hz, pulse duration of 3.5 ns and pulse energy of 0.5 J has been applied. Ion time of flight diagnostics has been used in situ to characterize concentration and energy distribution in the obtained ion streams while the postmortem analysis of the implanted samples was conducted by the means of XRD, FTIR and Raman Spectroscopy. In the paper the predictions of the Opera 3D code are compared with the results of the ion diagnostics in the real experiment. To give the whole picture of the method, the postmortem results of the XRD, FTIR and Raman characterization techniques are discussed. Experimental results show that it is possible to achieve the development of a micrometer-sized crystalline Ge phase and/or an amorphous one only after a thermal annealing treatment.

  16. Oxygen depth profiling by resonant RBS in NiTi after plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Mändl, S.; Lindner, J. K. N.

    2006-08-01

    NiTi exhibits super-elastic as well as shape-memory properties, which results in a large potential application field in biomedical technology. Using oxygen ion implantation at elevated temperatures, it is possible to improve the biocompatibility. Resonant Rutherford backscattering spectroscopy (RRBS) is used to investigate the oxygen depth profile obtained after oxygen plasma immersion ion implantation (PIII) at 25 kV and 400-600 °C. At all temperatures, a layered structure consisting of TiO2/Ni3Ti/NiTi was found with sharp interfaces while no discernible content of oxygen inside Ni3Ti or nickel in TiO2 was found. These data are compatible with a titanium diffusion from the bulk towards the implanted oxygen.

  17. Quantification of in vitro wear of a synthetic meniscus implant using gravimetric and micro-CT measurements.

    PubMed

    Elsner, Jonathan J; Shemesh, Maoz; Shefy-Peleg, Adaya; Gabet, Yankel; Zylberberg, Eyal; Linder-Ganz, Eran

    2015-09-01

    A synthetic meniscus implant was recently developed for the treatment of patients with mild to moderate osteoarthritis with knee pain associated with medial joint overload. The implant is distinctively different from most orthopedic implants in its pliable construction, and non-anchored design, which enables implantation through a mini-arthrotomy without disruption to the bone, cartilage, and ligaments. Due to these features, it is important to show that the material and design can withstand knee joint conditions. This study evaluated the long-term performance of this device by simulating loading for a total of 5 million gait cycles (Mc), corresponding to approximately five years of service in-vivo. All five implants remained in good condition and did not dislodge from the joint space during the simulation. Mild abrasion was detected by electron microscopy, but µ-CT scans of the implants confirmed that the damage was confined to the superficial surfaces. The average gravimetric wear rate was 14.5 mg/Mc, whereas volumetric changes in reconstructed µ-CT scans point to an average wear rate of 15.76 mm(3)/Mc (18.8 mg/Mc). Particles isolated from the lubricant had average diameter of 15 µm. The wear performance of this polycarbonate-urethane meniscus implant concept under ISO-14243 loading conditions is encouraging. Copyright © 2015 Elsevier Ltd. All rights reserved.

  18. Improved yields for MOST’s using ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brockman, H. E.

    1976-04-01

    Conventionally diffused source and drain polysilicon gate MOST's commonly exhibit one type of fault, namely, that of polysilicon-to-diffusion short circuits. Investigations into the yields of large-area devices fabricated using ion-implanted sources and drains are compared with those of diffused structures. An improved technology for the chemical shaping of the polysilicon gates, which improves the yields for both types of devices, is also described. (AIP)

  19. Origins of low resistivity in Al ion-implanted ZnO bulk single crystals

    NASA Astrophysics Data System (ADS)

    Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2011-06-01

    The origins of low resistivity in Al ion-implanted ZnO bulk single crystals are studied by combining Rutherford backscattering spectroscopy (RBS), nuclear reaction analysis (NRA), photoluminescence (PL), and Van der Pauw methods. The Al-ion implantation (peak concentration: 2.6 × 1020cm-3) into ZnO is performed using a multiple-step energy. The resistivity decreases from ˜104 Ω cm for un-implanted ZnO to 1.4 × 10-1 Ω cm for as-implanted, and reaches 6.0 × 10-4 Ω cm for samples annealed at 1000 °C. RBS and NRA measurements for as-implanted ZnO suggest the existence of the lattice displacement of Zn (Zni) and O (Oi), respectively. After annealing at 1000 °C, the Zni related defects remain and the Oi related defects disappear. The origin of the low resistivity in the as-implanted sample is attributed to the Zni (˜30 meV [Look et al., Phys. Rev. Lett. 82, 2552 (1999)]). In contrast, the origin of the low resistivity in the sample annealed at 1000 °C is assigned to both of the Zni related defects and the electrically activated Al donor. A new PL emission appears at around 3.32 eV after annealing at 1000 °C, suggesting electrically activated Al donors.

  20. High level active n+ doping of strained germanium through co-implantation and nanosecond pulsed laser melting

    NASA Astrophysics Data System (ADS)

    Pastor, David; Gandhi, Hemi H.; Monmeyran, Corentin P.; Akey, Austin J.; Milazzo, Ruggero; Cai, Yan; Napolitani, Enrico; Gwilliam, Russell M.; Crowe, Iain F.; Michel, Jurgen; Kimerling, L. C.; Agarwal, Anuradha; Mazur, Eric; Aziz, Michael J.

    2018-04-01

    Obtaining high level active n+ carrier concentrations in germanium (Ge) has been a significant challenge for further development of Ge devices. By ion implanting phosphorus (P) and fluorine (F) into Ge and restoring crystallinity using Nd:YAG nanosecond pulsed laser melting (PLM), we demonstrate 1020 cm-3 n+ carrier concentration in tensile-strained epitaxial germanium-on-silicon. Scanning electron microscopy shows that after laser treatment, samples implanted with P have an ablated surface, whereas P + F co-implanted samples have good crystallinity and a smooth surface topography. We characterize P and F concentration depth profiles using secondary ion mass spectrometry and spreading resistance profiling. The peak carrier concentration, 1020 cm-3 at 80 nm below the surface, coincides with the peak F concentration, illustrating the key role of F in increasing donor activation. Cross-sectional transmission electron microscopy of the co-implanted sample shows that the Ge epilayer region damaged during implantation is a single crystal after PLM. High-resolution X-ray diffraction and Raman spectroscopy measurements both indicate that the as-grown epitaxial layer strain is preserved after PLM. These results demonstrate that co-implantation and PLM can achieve the combination of n+ carrier concentration and strain in Ge epilayers necessary for next-generation, high-performance Ge-on-Si devices.

  1. Biofunctionalization of surfaces by energetic ion implantation: Review of progress on applications in implantable biomedical devices and antibody microarrays

    NASA Astrophysics Data System (ADS)

    Bilek, Marcela M. M.

    2014-08-01

    Despite major research efforts in the field of biomaterials, rejection, severe immune responses, scar tissue and poor integration continue to seriously limit the performance of today's implantable biomedical devices. Implantable biomaterials that interact with their host via an interfacial layer of active biomolecules to direct a desired cellular response to the implant would represent a major and much sought after improvement. Another, perhaps equally revolutionary, development that is on the biomedical horizon is the introduction of cost-effective microarrays for fast, highly multiplexed screening for biomarkers on cell membranes and in a variety of analyte solutions. Both of these advances will rely on effective methods of functionalizing surfaces with bioactive molecules. After a brief introduction to other methods currently available, this review will describe recently developed approaches that use energetic ions extracted from plasma to facilitate simple, one-step covalent surface immobilization of bioactive molecules. A kinetic theory model of the immobilization process by reactions with long-lived, mobile, surface-embedded radicals will be presented. The roles of surface chemistry and microstructure of the ion treated layer will be discussed. Early progress on applications of this technology to create diagnostic microarrays and to engineer bioactive surfaces for implantable biomedical devices will be reviewed.

  2. Magnetic phase composition of strontium titanate implanted with iron ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dulov, E.N., E-mail: evgeny.dulov@ksu.ru; Ivoilov, N.G.; Strebkov, O.A.

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The origin of RT-ferromagnetism in iron implanted strontium titanate. Black-Right-Pointing-Pointer Metallic iron nanoclusters form during implantation and define magnetic behaviour. Black-Right-Pointing-Pointer Paramagnetic at room temperature iron-substituted strontium titanate identified. -- Abstract: Thin magnetic films were synthesized by means of implantation of iron ions into single-crystalline (1 0 0) substrates of strontium titanate. Depth-selective conversion electron Moessbauer spectroscopy (DCEMS) indicates that origin of the samples magnetism is {alpha}-Fe nanoparticles. Iron-substituted strontium titanate was also identified but with paramagnetic behaviour at room temperature. Surface magneto-optical Kerr effect (SMOKE) confirms that the films reveal superparamagnetism (the low-fluence sample) or ferromagnetism (themore » high-fluence sample), and demonstrate absence of magnetic in-plane anisotropy. These findings highlight iron implanted strontium titanate as a promising candidate for composite multiferroic material and also for gas sensing applications.« less

  3. Preparation of graphene on Cu foils by ion implantation with negative carbon clusters

    NASA Astrophysics Data System (ADS)

    Li, Hui; Shang, Yan-Xia; Zhang, Zao-Di; Wang, Ze-Song; Zhang, Rui; Fu, De-Jun

    2015-01-01

    We report on few-layer graphene synthesized on Cu foils by ion implantation using negative carbon cluster ions, followed by annealing at 950 °C in vacuum. Raman spectroscopy reveals IG/I2D values varying from 1.55 to 2.38 depending on energy and dose of the cluster ions, indicating formation of multilayer graphene. The measurements show that the samples with more graphene layers have fewer defects. This is interpreted by graphene growth seeded by the first layers formed via outward diffusion of C from the Cu foil, though nonlinear damage and smoothing effects also play a role. Cluster ion implantation overcomes the solubility limit of carbon in Cu, providing a technique for multilayer graphene synthesis. Project supported by the National Natural Science Foundation of China (Grant Nos. 11105100, 11205116, and 11375135) and the State Key Laboratory of Advanced Welding and Joining, Harbin Institute of Technology, China (Grant No. AWJ-M13-03).

  4. Evaluation of the ion implantation process for production of solar cells from silicon sheet materials

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.

    1983-01-01

    For the ion implantation tooling was fabricated with which to hold dendritic web samples. This tooling permits the expeditious boron implantation of the back to form the back surface field (BSF). Baseline BSF web cells were fabricated.

  5. Formation of a periodic diffractive structure based on poly(methyl methacrylate) with ion-implanted silver nanoparticles

    NASA Astrophysics Data System (ADS)

    Galyautdinov, M. F.; Nuzhdin, V. I.; Fattakhov, Ya. V.; Farrakhov, B. F.; Valeev, V. F.; Osin, Yu. N.; Stepanov, A. L.

    2016-02-01

    We propose to form optical diffractive elements on the surface of poly(methyl methacrylate) (PMMA) by implanting the polymer with silver ions ( E = 30 keV; D = 5.0 × 1014 to 1.5 × 1017 ion/cm2; I = 2 μA/cm2) through a nickel grid (mask). Ion implantation leads to the nucleation and growth of silver nanoparticles in unmasked regions of the polymer. The formation of periodic surface microstructures during local sputtering of the polymer by incident ions was monitored using an optical microscope. The diffraction efficiency of obtained gratings is demonstrated under conditions of their probing with semiconductor laser radiation in the visible spectral range.

  6. High carrier activation of Mg ion-implanted GaN by conventional rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Niwa, Takaki; Fujii, Takahiro; Oka, Tohru

    2017-09-01

    A high activation ratio of Mg ion implantation by conventional rapid thermal annealing (RTA) was demonstrated. To obtain the high activation ratio of Mg ion implantation, the dependence of hole concentration on Mg dose was investigated. A maximum hole concentration and a high activation ratio of 2.3% were obtained at a Mg dose of 2.3 × 1014 cm-2 between 9.2 × 1013 and 2.3 × 1015 cm-2. The ratio is, to the best of our knowledge, the highest ever obtained by conventional RTA.

  7. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayedh, H. M.; Svensson, B. G.; Hallén, A.

    The carbon vacancy (V{sub C}) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the V{sub C}-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (C{sub i}'s) and annihilation of V{sub C}'s in the epi-layer “bulk”. Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the V{sub C} annihilation. Actually, employing normalized implantation conditions with respect to displaced Cmore » atoms, other heavier ions like Al and Si are found to be more efficient in annihilating V{sub C}'s. Concentrations of V{sub C} below ∼2 × 10{sup 11} cm{sup −3} can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the V{sub C}-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote C{sub i}-clustering and reduce dynamic defect annealing. These C{sub i}-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced C{sub i} injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the V{sub C}-concentration, which limit the net effect of the C{sub i} injection, and a competition between the two processes occurs.« less

  8. Advanced Sodium Ion Battery Anode Constructed via Chemical Bonding between Phosphorus, Carbon Nanotube, and Cross-Linked Polymer Binder.

    PubMed

    Song, Jiangxuan; Yu, Zhaoxin; Gordin, Mikhail L; Li, Xiaolin; Peng, Huisheng; Wang, Donghai

    2015-12-22

    Maintaining structural stability is a great challenge for high-capacity conversion electrodes with large volume change but is necessary for the development of high-energy-density, long-cycling batteries. Here, we report a stable phosphorus anode for sodium ion batteries by the synergistic use of chemically bonded phosphorus-carbon nanotube (P-CNT) hybrid and cross-linked polymer binder. The P-CNT hybrid was synthesized through ball-milling of red phosphorus and carboxylic group functionalized carbon nanotubes. The P-O-C bonds formed in this process help maintain contact between phosphorus and CNTs, leading to a durable hybrid. In addition, cross-linked carboxymethyl cellulose-citric acid binder was used to form a robust electrode. As a result, this anode delivers a stable cycling capacity of 1586.2 mAh/g after 100 cycles, along with high initial Coulombic efficiency of 84.7% and subsequent cycling efficiency of ∼99%. The unique electrode framework through chemical bonding strategy reported here is potentially inspirable for other electrode materials with large volume change in use.

  9. Down to 2 nm Ultra Shallow Junctions : Fabrication by IBS Plasma Immersion Ion Implantation Prototype PULSION registered

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Torregrosa, Frank; Etienne, Hasnaa; Mathieu, Gilles

    Classical beam line implantation is limited in low energies and cannot achieve P+/N junctions requirements for <45nm node. Compared to conventional beam line ion implantation, limited to a minimum of about 200 eV, the efficiency of Plasma Immersion Ion Implantation (PIII) is no more to prove for the realization of Ultra Shallow Junctions (USJ) in semiconductor applications: this technique allows to get ultimate shallow profiles (as implanted) thanks to no lower limitation of energy and offers high dose rate. In the field of the European consortium NANOCMOS, Ultra Shallow Junctions implanted on a semi-industrial PIII prototype (PULSION registered ) designedmore » by the French company IBS, have been studied. Ultra shallow junctions implanted with BF3 at acceleration voltages down to 20V were realized. Contamination level, homogeneity and depth profile are studied. The SIMS profiles obtained show the capability to make ultra shallow profiles (as implanted) down to 2nm.« less

  10. Processing of silicon solar cells by ion implantation and laser annealing

    NASA Technical Reports Server (NTRS)

    Minnucci, J. A.; Matthei, K. W.; Greenwald, A. C.

    1981-01-01

    Methods to improve the radiation tolerance of silicon cells for spacecraft use are described. The major emphasis of the program was to reduce the process-induced carbon and oxygen impurities in the junction and base regions of the solar cell, and to measure the effect of reduced impurity levels on the radiation tolerance of cells. Substrates of 0.1, 1.0 and 10.0 ohm-cm float-zone material were used as starting material in the process sequence. High-dose, low-energy ion implantation was used to form the junction in n+p structures. Implant annealing was performed by conventional furnace techniques and by pulsed laser and pulsed electron beam annealing. Cells were tested for radiation tolerance at Spire and NASA-LeRC. After irradiation by 1 MeV electrons to a fluence of 10 to the 16th power per sq cm, the cells tested at Spire showed no significant process induced variations in radiation tolerance. However, for cells tested at Lewis to a fluence of 10 to the 15th power per sq cm, ion-implanted cells annealed in vacuum by pulsed electron beam consistently showed the best radiation tolerance for all cell resistivities.

  11. Impact of He and H relative depth distributions on the result of sequential He+ and H+ ion implantation and annealing in silicon

    NASA Astrophysics Data System (ADS)

    Cherkashin, N.; Daghbouj, N.; Seine, G.; Claverie, A.

    2018-04-01

    Sequential He++H+ ion implantation, being more effective than the sole implantation of H+ or He+, is used by many to transfer thin layers of silicon onto different substrates. However, due to the poor understanding of the basic mechanisms involved in such a process, the implantation parameters to be used for the efficient delamination of a superficial layer are still subject to debate. In this work, by using various experimental techniques, we have studied the influence of the He and H relative depth-distributions imposed by the ion energies onto the result of the sequential implantation and annealing of the same fluence of He and H ions. Analyzing the characteristics of the blister populations observed after annealing and deducing the composition of the gas they contain from FEM simulations, we show that the trapping efficiency of He atoms in platelets and blisters during annealing depends on the behavior of the vacancies generated by the two implants within the H-rich region before and after annealing. Maximum efficiency of the sequential ion implantation is obtained when the H-rich region is able to trap all implanted He ions, while the vacancies it generated are not available to favor the formation of V-rich complexes after implantation then He-filled nano-bubbles after annealing. A technological option is to implant He+ ions first at such an energy that the damage it generates is located on the deeper side of the H profile.

  12. Structured back gates for high-mobility two-dimensional electron systems using oxygen ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berl, M., E-mail: mberl@phys.ethz.ch; Tiemann, L.; Dietsche, W.

    2016-03-28

    We present a reliable method to obtain patterned back gates compatible with high mobility molecular beam epitaxy via local oxygen ion implantation that suppresses the conductivity of an 80 nm thick silicon doped GaAs epilayer. Our technique was optimized to circumvent several constraints of other gating and implantation methods. The ion-implanted surface remains atomically flat which allows unperturbed epitaxial overgrowth. We demonstrate the practical application of this gating technique by using magneto-transport spectroscopy on a two-dimensional electron system (2DES) with a mobility exceeding 20 × 10{sup 6} cm{sup 2}/V s. The back gate was spatially separated from the Ohmic contacts of the 2DES,more » thus minimizing the probability for electrical shorts or leakage and permitting simple contacting schemes.« less

  13. Plasma Immersion Ion Implantation for Interdigitated Back Passivated Contact (IBPC) Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, David L.; Nemeth, William; LaSalvia, Vincenzo

    2016-11-21

    We present progress to develop low-cost interdigitated back contact solar cells with pc-Si/SiO2/c-Si passivated contacts formed by plasma immersion ion implantation (PIII). PIII is a lower-cost implantation technique than traditional beam-line implantation due to its simpler design, lower operating costs, and ability to run high doses (1E14-1E18 cm-2) at low ion energies (20 eV-10 keV). These benefits make PIII ideal for high throughput production of patterned passivated contacts, where high-dose, low-energy implantations are made into thin (20-200 nm) a-Si layers instead of into the wafer itself. For this work symmetric passivated contact test structures grown on n-Cz wafers with PH3more » PIII doping gave implied open circuit voltage (iVoc) values of 730 mV with Jo values of 2 fA/cm2. Samples doped with B2H6 gave iVoc values of 690 mV and Jo values of 24 fA/cm2, outperforming BF3 doping, which gave iVoc values in the 660-680 mV range. Samples were further characterized by photoluminescence and SIMS depth profiles. Initial IBPC cell results are presented.« less

  14. Phosphorus-doped silicon nanorod anodes for high power lithium-ion batteries.

    PubMed

    Yan, Chao; Liu, Qianru; Gao, Jianzhi; Yang, Zhibo; He, Deyan

    2017-01-01

    Heavy-phosphorus-doped silicon anodes were fabricated on CuO nanorods for application in high power lithium-ion batteries. Since the conductivity of lithiated CuO is significantly better than that of CuO, after the first discharge, the voltage cut-off window was then set to the range covering only the discharge-charge range of Si. Thus, the CuO core was in situ lithiated and acts merely as the electronic conductor in the following cycles. The Si anode presented herein exhibited a capacity of 990 mAh/g at the rate of 9 A/g after 100 cycles. The anode also presented a stable rate performance even at a current density as high as 20 A/g.

  15. Large-Area Carbon Nanosheets Doped with Phosphorus: A High-Performance Anode Material for Sodium-Ion Batteries.

    PubMed

    Hou, Hongshuai; Shao, Lidong; Zhang, Yan; Zou, Guoqiang; Chen, Jun; Ji, Xiaobo

    2017-01-01

    Large-area phosphorus-doped carbon nanosheets (P-CNSs) are first obtained from carbon dots (CDs) through self-assembly driving from thermal treatment with Na catalysis. This is the first time to realize the conversion from 0D CDs to 2D nanosheets doped with phosphorus. The sodium storage behavior of phosphorus-doped carbon material is also investigated for the first time. As anode material for sodium-ion batteries (SIBs), P-CNSs exhibit superb performances for electrochemical storage of sodium. When cycled at 0.1 A g -1 , the P-CNSs electrode delivers a high reversible capacity of 328 mAh g -1 , even at a high current density of 20 A g -1 , a considerable capacity of 108 mAh g -1 can still be maintained. Besides, this material also shows excellent cycling stability, at a current density of 5 A g -1 , the reversible capacity can still reach 149 mAh g -1 after 5000 cycles. This work will provide significant value for the development of both carbon materials and SIBs anode materials.

  16. Laser characterization of the depth profile of complex refractive index of PMMA implanted with 50 keV silicon ions

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Stoyanov, Hristiyan Y.; Petrova, Elitza; Russev, Stoyan C.; Tsutsumanova, Gichka G.; Hadjichristov, Georgi B.

    2013-03-01

    The depth profile of the complex refractive index of silicon ion (Si+) implanted polymethylmethacrylate (PMMA) is studied, in particular PMMA implanted with Si+ ions accelerated to a relatively low energy of 50 keV and at a fluence of 3.2 × 1015 cm-2. The ion-modified material with nano-clustered structure formed in the near(sub)surface layer of a thickness of about 100 nm is optically characterized by simulation based on reflection ellipsometry measurements at a wavelength of 632.8 nm (He-Ne laser). Being of importance for applications of ion-implanted PMMA in integrated optics, optoelectronics and optical communications, the effect of the index depth profile of Si+-implanted PMMA on the profile of the reflected laser beam due to laser-induced thermo-lensing in reflection is also analyzed upon illumination with a low power cw laser (wavelength 532 nm, optical power 10 - 50 mW).

  17. Formation of donors in germanium–silicon alloys implanted with hydrogen ions with different energies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pokotilo, Yu. M., E-mail: Pokotilo@bsu.by; Petukh, A. N.; Litvinov, V. V.

    2016-08-15

    The distributions of hydrogen-containing donors in Ge{sub 1–x}Si{sub x} (0 ≤ x ≤ 0.06) alloys implanted with hydrogen ions with an energy of 200 and 300 keV and a dose of 1 × 10{sup 15} cm{sup –2} are studied. It is established that, at the higher ion energy, the limiting donor concentration after postimplantation heat treatment (275°C) is attained within ~30 min and, at the lower energy, within ~320 min. In contrast to donors formed near the surface, a portion of hydrogen-containing donors formed upon the implantation of ions with the higher energy possess the property of bistability. The limitingmore » donor concentration is independent of the ion energy, but decreases from 1.3 × 10{sup 16} to 1.5 × 10{sup 15} cm{sup –3}, as the Si impurity content in the alloy is increased from x = 0.008 to x = 0.062. It is inferred that the observed differences arise from the participation of the surface in the donor formation process, since the surface significantly influences defect-formation processes involving radiation-induced defects, whose generation accompanies implantation.« less

  18. Analysis techniques of charging damage studied on three different high-current ion implanters

    NASA Astrophysics Data System (ADS)

    Felch, S. B.; Larson, L. A.; Current, M. I.; Lindsey, D. W.

    1989-02-01

    One of the Greater Silicon Valley Implant Users' Group's recent activities has been to sponsor a round-robin on charging damage, where identical wafers were implanted on three different state-of-the-art, high-current ion implanters. The devices studied were thin-dielectric (250 Å SiO2), polysilicon-gate MOS capacitors isolated by thick field oxide. The three implanters involved were the Varian/Extrion 160XP, the Eaton/Nova 10-80, and the Applied Materials PI9000. Each implanter vendor was given 48 wafers to implant with 100 keV As+ ions at a dose of 1 × 1016 cm-2. Parameters that were varied include the beam current, electron flood gun current, and chamber pressure. The charge-to-breakdown, breakdown voltage, and leakage current of several devices before anneal have been measured. The results from these tests were inconclusive as to the physical mechanism of charging and as to the effectiveness of techniques to reduce its impact on devices. However, the methodology of this study is discussed in detail to aid in the planning of future experiments. Authors' industrial affiliations: S.B. Felch, Varian Research Center, 611 Hansen Way, Palo Alto, CA 94303, USA; L.A. Larson, National Semiconductor Corp., P.O. Box 58090, Santa Clara, CA 95052-8090, USA; M.I. Current, Applied Materials, 3050 Bowers Ave., Santa Clara, CA 95054, USA; D.W. Lindsey, Eaton/NOVA, 931 Benicia Ave, Sunnyvale, CA 94086, USA.

  19. Mechanical properties of ion-beam-textured surgical implant alloys

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.

    1977-01-01

    An electron-bombardment Hg ion thruster was used as an ion source to texture surfaces of materials used to make orthopedic and/or dental prostheses or implants. The materials textured include 316 stainless steel, titanium-6% aluminum, 4% vanadium, and cobalt-20% chromium, 15% tungsten. To determine the effect of ion texturing on the ultimate strength and yield strength, stainless steel and Co-Cr-W alloy samples were tensile tested to failure. Three types of samples of both materials were tested. One type was ion-textured (the process also heats each sample to 300 C), another type was simply heated to 300 C in an oven, and the third type was untreated. Stress-strain diagrams, 0.2% offset yield strength data, total elongation data, and area reduction data are presented. Fatigue specimens of ion textured and untextured 316 stainless steel and Ti-6% Al-4% V were tested. Included as an ion textured sample is a Ti-6% Al-4% V sample which was ion machined by means of Ni screen mask so as to produce an array of 140 mu m x 140 mu m x 60 mu m deep pits. Scanning electron microscopy was used to characterize the ion textured surfaces.

  20. Study of the effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation on stainless steel samples

    NASA Astrophysics Data System (ADS)

    Pillaca, E. J. D. M.; Ueda, M.; Oliveira, R. M.; Pichon, L.

    2014-08-01

    Effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation (PIII) have been investigated. This magnetic configuration when used in PIII allows obtaining high nitrogen plasma density close to the ion implantation region. Consequently, high ions dose on the target is possible to be achieved compared with standard PIII. In this scenario, nitrogen and carbon ions were implanted simultaneously on stainless steel, as measured by GDOES and detected by X-ray diffraction. Carbon-tape disposed on the sample-holder was sputtered by intense bombardment of nitrogen ions, being the source of carbon atoms in this experiment. The implantation of both N and C caused changes on sample morphology and improvement of the tribological properties of the stainless steel.

  1. Synthetic Ion Channels and DNA Logic Gates as Components of Molecular Robots.

    PubMed

    Kawano, Ryuji

    2018-02-19

    A molecular robot is a next-generation biochemical machine that imitates the actions of microorganisms. It is made of biomaterials such as DNA, proteins, and lipids. Three prerequisites have been proposed for the construction of such a robot: sensors, intelligence, and actuators. This Minireview focuses on recent research on synthetic ion channels and DNA computing technologies, which are viewed as potential candidate components of molecular robots. Synthetic ion channels, which are embedded in artificial cell membranes (lipid bilayers), sense ambient ions or chemicals and import them. These artificial sensors are useful components for molecular robots with bodies consisting of a lipid bilayer because they enable the interface between the inside and outside of the molecular robot to function as gates. After the signal molecules arrive inside the molecular robot, they can operate DNA logic gates, which perform computations. These functions will be integrated into the intelligence and sensor sections of molecular robots. Soon, these molecular machines will be able to be assembled to operate as a mass microrobot and play an active role in environmental monitoring and in vivo diagnosis or therapy. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Nuclear reaction analysis of Ge ion-implanted ZnO bulk single crystals: The evaluation of the displacement in oxygen lattices

    NASA Astrophysics Data System (ADS)

    Kamioka, K.; Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2014-08-01

    The displacement of oxygen lattices in Ge ion-implanted ZnO bulk single crystals is studied by nuclear reaction analysis (NAR), photoluminescence (PL), and Van der Pauw methods. The Ge ion-implantation (net concentration: 2.6 × 1020 cm-3) into ZnO is performed using a multiple-step energy. The high resistivity of ∼103 Ω cm in un-implanted samples remarkably decreased to ∼10-2 Ω cm after implanting Ge-ion and annealing subsequently. NRA measurements of as-implanted and annealed samples suggest the existence of the lattice displacement of O atoms acting as acceptor defects. As O related defects still remain after annealing, these defects are not attributed to the origin of the low resistivity in 800 and 1000 °C annealed ZnO.

  3. Comparison of surface characteristics of retrieved cobalt-chromium femoral heads with and without ion implantation.

    PubMed

    McGrory, Brian J; Ruterbories, James M; Pawar, Vivek D; Thomas, Reginald K; Salehi, Abraham B

    2012-01-01

    Nitrogen ion implantation of CoCr is reported to produce increased surface hardness and a lower friction surface. Femoral heads with and without ion implantation retrieved from 1997 to 2003 were evaluated for surface roughness (average surface roughness [Ra], mean peak height [Rpm], and maximum distance from peak to valley [Rmax]), nanohardness, and the ion-treated layer thickness. The difference in average Rmax (P = .033) and average Rpm (P = .008) was statistically significant, but there was no correlation between the average or maximum roughness parameters (average surface roughness, Rmax, and Rpm) and time in vivo (P > .05). Overall, nanohardness was greater for the low-friction ion-treated heads (P < .001); and it decreased with increasing time in vivo (P = .01). Ion treatment produces an increased surface hardness, but the advantage of this increased hardness appears to dissipate over time in vivo. Copyright © 2012 Elsevier Inc. All rights reserved.

  4. Au3+ ion implantation on FTO coated glasses: Effect on structural, electrical, optical and phonon properties

    NASA Astrophysics Data System (ADS)

    Sahu, Bindu; Dey, Ranajit; Bajpai, P. K.

    2017-06-01

    Effects of 11.00 MeV Au3+ ions implanted in FTO coated (thickness ≈300 nm) silicate glasses on structural, electrical optical and phonon behavior have been explored. It has been observed that metal clustering near the surface and sub-surface region below glass-FTO interface changes electrical and optical properties significantly. Ion implantation does not affect the crystalline structure of the coated films; however, the unit cell volume decreases with increase in fluence and the tetragonal distortion (c/a ratio) also decreases systematically in the implanted samples. The sheet resistivity of the films increases from 11 × 10-5 ohm-cm (in pristine) to 7.5 × 10-4 ohm-cm for highest ion beam fluence ≈1015 ions/cm2. The optical absorption decreases with increasing fluence whereas, the optical transmittance as well as reflectance increases with increasing fluence. The Raman spectra are observed at ∼530 cm-1 and ∼1103 cm-1 in pristine sample. The broad band at 530 cm-1 shifts towards higher wave number in the irradiated samples. This may be correlated with increased disorder and strain relaxation in the samples as a result of ion beam irradiation.

  5. Quantitative Evaluation of Ion-implanted Arsenic in Silicon by Instrumental Neutron Activation Analysis

    NASA Astrophysics Data System (ADS)

    Takatsuka, Toshiko; Hirata, Kouichi; Kobayashi, Yoshinori; Kuroiwa, Takayoshi; Miura, Tsutomu; Matsue, Hideaki

    2008-11-01

    Certified reference materials (CRMs) of shallow arsenic implants in silicon are now under development at the National Metrology Institute of Japan (NMIJ). The amount of ion-implanted arsenic atoms is quantified by Instrumental Neutron Activation Analysis (INAA) using research reactor JRR-3 in Japan Atomic Energy Agency (JAEA). It is found that this method can evaluate arsenic amounts of 1015 atoms/cm2 with small uncertainties, and is adaptable to shallower dopants. The estimated uncertainties can satisfy the industrial demands for reference materials to calibrate the implanted dose of arsenic at shallow junctions.

  6. [The primary stability between manual and robot assisted implantation of hip prostheses: A biomechanical study on synthetic femurs].

    PubMed

    Decking, J; Gerber, A; Kränzlein, J; Meurer, A; Böhm, B; Plitz, W

    2004-01-01

    We investigated the initial stability of cementless stems implanted with robotic milling and conventional manual broaching. Proximally porous structured stems (G2, ESKA-Implants, Luebeck, Germany) were implanted into synthetic femora. In one group, the femoral cavity was prepared by a CT-based robot (CASPAR, URS-Ortho, Germany) with a high-speed milling head. In the other group, femora were rasped manually with broaches. The broaches had 1 mm proximal press-fit, the robotic cavities 1.5 mm. The implants were exposed to 15 000 loading cycles with 1 000 +/- 500 N. The direction of forces on the implant head were chosen to simulate stair climbing. Internal rotation and translation (caudal, dorsal and lateral) of the implants were measured by linear transducers. The robotic group showed significantly less reversible motion regarding translation in caudal, dorsal and lateral directions. The standard deviations of implant motions were smaller in the robotic group. Using robotic preparation of the femur, initial stability was higher and more consistent than with manual broaching, but differences in undersizing of the cavities created in the femur in relation to the implant may have contributed to these differences for the most part. In-vitro-loading experiments focusing on femoral cavities with varying press-fits are recommended before the introduction of new implants or operating procedures.

  7. Gettering of Residual Impurities by Ion Implantation Damage in Poly-AlN UV Diode Detectors

    NASA Astrophysics Data System (ADS)

    Khan, A. H.; Stacy, T.; Meese, J. M.

    1996-03-01

    UV diode detectors have been fabricated from oriented polycrystalline AlN grown on (111) n-type 3-15Ω-cm Si substrates by CVD using AlCl3 and ammonia with a hydrogen carrier gas at 760-800C, 40-45 torr and gas flow rates of 350, 120, and 120 sccm for hydrogen, ammonia and hydrogen over heated AlCl_3. Half of the AlN film of thickness 1.5-2.0 microns was masked off prior to ion implantation. Samples were ion-implanted at 5 kV with methane, nitrogen and argon to a dose of 5-6 x 10^18 ions/cm^2. The AlN was contacted with sputtered Au while the Si was contacted with evaporated Al. No annealing was performed. Rectification was obtained as a result of radiation damage in the AlN. SIMs analysis showed a reduction of oxygen, hydrogen, chlorine and carbon by several orders of magnitude and to a depth of several microns in the ion implanted samples compared to the masked samples. The quantum efficiency was 16nm uncorrected for reflection from the AlN and thin metal contact.

  8. A Hierarchical Phosphorus Nanobarbed Nanowire Hybrid: Its Structure and Electrochemical Properties.

    PubMed

    Zhao, Dan; Li, Beibei; Zhang, Jinying; Li, Xin; Xiao, Dingbin; Fu, Chengcheng; Zhang, Lihui; Li, Zhihui; Li, Jun; Cao, Daxian; Niu, Chunming

    2017-06-14

    Nanostructured phosphorus-carbon composites are promising materials for Li-ion and Na-ion battery anodes. A hierarchical phosphorus hybrid, SiC@graphene@P, has been synthesized by the chemical vapor deposition of phosphorus on the surfaces of barbed nanowires, where the barbs are vertically grown graphene nanosheets and the cores are SiC nanowires. A temperature-gradient vaporization-condensation method has been used to remove the unhybridized phosphorus particles formed by homogeneous nucleation. The vertically grown barb shaped graphene nanosheets and a high concentration of edge carbon atoms induced a fibrous red phosphorus (f-RP) growth with its {001} planes in parallel to {002} planes of nanographene sheets and led to a strong interpenetrated interface interaction between phosphorus and the surfaces of graphene nanosheets. This hybridization has been demonstrated to significantly enhance the electrochemical performances of phosphorus.

  9. High Density Ion Implanted Contiguous Disk Bubble Technology.

    DTIC Science & Technology

    1987-10-31

    magnetic garnet films were grown by liquid phase epitaxy ( LPE ) from a Bi 20 3-PbO flux system. Films were grown with a 600C to 700C supercooling at...Matsutera, "Large Magnetic Anisotropy Change Induced By Hydrogen Ion Implantation In Europium Iron Garnet LPE Films ", J. of Magnetism and Magnetic...summarizes the design, development and growth of various bubble garnet films in our facility, to be used in the fabrication of high density bubble storage

  10. PMMA and polystyrene films modification under ion implantation studied by spectroscopic ellipsometry

    NASA Astrophysics Data System (ADS)

    Leontyev, A. V.; Kovalev, V. I.; Khomich, A. V.; Komarov, Fadei F.; Grigoryev, V. V.; Kamishan, A. S.

    2004-05-01

    We have applied spectroscopic ellipsometry with binary polarization modulation to study the refractive index n(λ) and extinction coefficient k(λ) spectra of as-deposited and irradiated with nitrogen ions polymethylmethacrylate (PMMA) and polystyrene (PS) films in 300-1030 nm range. The results of performed investigation confirmed the possibility and estimate restrictions of the ion implantation for local change the refractive index of polymeric materials.

  11. Raman spectroscopy of few-layer graphene prepared by C2-C6 cluster ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Z. S.; Zhang, R.; Zhang, Z. D.; Huang, Z. H.; Liu, C. S.; Fu, D. J.; Liu, J. R.

    2013-07-01

    Few-layer graphene has been prepared on 300 nm-thick Ni films by C2-C6 cluster ion implantation at 20 keV/cluster. Raman spectroscopy reveals significant influence of the number of atoms in the cluster, the implantation dose, and thermal treatment on the structure of the graphene layers. In particular, the graphene samples exhibit a sharp G peak at 1584 cm-1 and 2D peaks at 2711-2717 cm-1. The IG/I2D ratios higher than 1.70 and IG/ID ratio as high as 1.95 confirm that graphene sheets with low density of defects have been synthesized with much improved quality by ion implantation with larger clusters of C4-C6.

  12. Examining metallic glass formation in LaCe:Nb by ion implantation

    DOE PAGES

    Sisson, Richard; Reinhart, Cameron; Bridgman, Paul; ...

    2017-01-01

    In order to combine niobium (Nb) with lanthanum (La) and cerium (Ce), Nb ions were deposited within a thin film of these two elements. According to the Hume-Rothery rules, these elements cannot be combined into a traditional crystalline metallic solid. The creation of an amorphous metallic glass consisting of Nb, La, and Ce is then investigated. Amorphous metallic glasses are traditionally made using fast cooling of a solution of molten metals. In this paper, we show the results of an experiment carried out to form a metallic glass by implanting 9 MeV Nb 3+ atoms into a thin film ofmore » La and Ce. Prior to implantation, the ion volume distribution is calculated by Monte Carlo simulation using the SRIM tool suite. As a result, using multiple methods of electron microscopy and material characterization, small quantities of amorphous metallic glass are indeed identified.« less

  13. Graphene on silicon dioxide via carbon ion implantation in copper with PMMA-free transfer

    NASA Astrophysics Data System (ADS)

    Lehnert, Jan; Spemann, Daniel; Hamza Hatahet, M.; Mändl, Stephan; Mensing, Michael; Finzel, Annemarie; Varga, Aron; Rauschenbach, Bernd

    2017-06-01

    In this work, a synthesis method for the growth of low-defect large-area graphene using carbon ion beam implantation into metallic Cu foils is presented. The Cu foils (1 cm2 in size) were pre-annealed in a vacuum at 950 °C for 2 h, implanted with 35 keV carbon ions at room temperature, and subsequently annealed at 850 °C for 2 h to form graphene layers with the layer number controlled by the implantation fluence. The graphene was then transferred to SiO2/Si substrates by a PMMA-free wet chemical etching process. The obtained regions of monolayer graphene are of ˜900 μm size. Raman spectroscopy, atomic force microscopy, scanning electron microscopy, and optical microscopy performed at room temperature demonstrated a good quality and homogeneity of the graphene layers, especially for monolayer graphene.

  14. The formation and optical properties of planar waveguide in laser crystal Nd:YGG by carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Zhao, Jin-Hua; Qin, Xi-Feng; Wang, Feng-Xiang; Jiao, Yang; Guan, Jing; Fu, Gang

    2017-10-01

    As one kind of prominent laser crystal, Nd:Y3Ga5O12 (Nd:YGG) crystal has outstanding performance on laser excitation at multi-wavelength which have shown promising applications in optical communication field. In addition, Nd:YGG crystal has potential applications in medical field due to its ability of emit the laser at 1110 nm. Optical waveguide structure with high quality could improve the efficiency of laser emission. In this work, we fabricated the optical planar waveguide on Nd:YGG crystal by medium mass ion implantation which was convinced an effective method to realize a waveguide structure with superior optical properties. The sample is implanted by C ions at energy of 5.0 MeV with the fluence of 1 × 1015 ions/cm2. We researched the optical propagation properties in the Nd:YGG waveguide by end-face coupling and prism coupling method. The Nd ions fluorescent properties are obtained by a confocal micro-luminescence measurement. The fluorescent properties of Nd ions obtained good reservation after C ion implantation. Our work has reference value for the application of Nd:YGG crystal in the field of optical communication.

  15. All-ion-implanted planar-gate current aperture vertical Ga2O3 MOSFETs with Mg-doped blocking layer

    NASA Astrophysics Data System (ADS)

    Wong, Man Hoi; Goto, Ken; Morikawa, Yoji; Kuramata, Akito; Yamakoshi, Shigenobu; Murakami, Hisashi; Kumagai, Yoshinao; Higashiwaki, Masataka

    2018-06-01

    A vertical β-Ga2O3 metal–oxide–semiconductor field-effect transistor featuring a planar-gate architecture is presented. The device was fabricated by an all-ion-implanted process without requiring trench etching or epitaxial regrowth. A Mg-ion-implanted current blocking layer (CBL) provided electrical isolation between the source and the drain except at an aperture opening through which drain current was conducted. Successful transistor action was realized by gating a Si-ion-implanted channel above the CBL. Thermal diffusion of Mg induced a large source–drain leakage current through the CBL, which resulted in compromised off-state device characteristics as well as a reduced peak extrinsic transconductance compared with the results of simulations.

  16. Bio-functionalisation of polyether ether ketone using plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Wakelin, Edgar; Yeo, Giselle; Kondyurin, Alexey; Davies, Michael; McKenzie, David; Weiss, Anthony; Bilek, Marcela

    2015-12-01

    Plasma immersion ion implantation (PIII) is used here to improve the surface bioactivity of polyether ether ketone (PEEK) by modifying the chemical and mechanical properties and by introducing radicals. Modifications to the chemical and mechanical properties are characterised as a function of ion fluence (proportional to treatment time) to determine the suitability of the treated surfaces for biological applications. Radical generation increases with treatment time, where treatments greater than 400 seconds result in a high concentration of long-lived radicals. Radical reactions are responsible for oxidation of the surface, resulting in a permanent increase in the polar surface energy. The nano-scale reduced modulus was found to increase with treatment time at the surface from 4.4 to 5.2 GPa. The macromolecular Young's modulus was also found to increase, but by an amount corresponding to the volume fraction of the ion implanted region. The treated surface layer exhibited cracking under cyclical loads, associated with an increased modulus due to dehydrogenation and crosslinking, however it did not show any sign of delamination, indicating that the modified layer is well integrated with the substrate - a critical factor for bioactive surface coatings to be used in-vivo. Protein immobilisation on the PIII treated surfaces was found to saturate after 240 seconds of treatment, indicating that there is room to tune surface mechanical properties for specific applications without affecting the protein coverage. Our findings indicate that the modification of the chemical and mechanical properties by PIII treatments as well as the introduction of radicals render PEEK well suited for use in orthopaedic implantable devices.

  17. Enhancement of interaction of L-929 cells with functionalized graphene via COOH+ ion implantation vs. chemical method

    PubMed Central

    Zhao, Meng-li; Liu, Xiao-qi; Cao, Ye; Li, Xi-fei; Li, De-jun; Sun, Xue-liang; Gu, Han-qing; Wan, Rong-xin

    2016-01-01

    Low hydrophilicity of graphene is one of the major obstacles for biomaterials application. To create some hydrophilic groups on graphene is addressed this issue. Herein, COOH+ ion implantation modified graphene (COOH+/graphene) and COOH functionalized graphene were designed by physical ion implantation and chemical methods, respectively. The structure and surface properties of COOH+/graphene and COOH functionalized graphene were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and contact angle measurement. Compared with graphene, COOH+/graphene and COOH functionalized graphene revealed improvement of cytocompatibility, including in vitro cell viability and morphology. More importantly, COOH+/graphene exhibited better improvement effects than functionalized graphene. For instance, COOH+/graphene with 1 × 1018 ions/cm2 showed the best cell-viability, proliferation and stretching. This study demonstrated that ion implantation can better improve the cytocompatibility of the graphene. PMID:27845420

  18. Friction and Wear Properties of As-deposited and Carbon Ion-implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1994-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 ke V ion energy, resulting in a dose of 1.2310(exp 17) carbon ions/cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, x-ray photoelectron spectroscopy, and x-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40 percent relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and were properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to 10(exp -8)mm(exp 3)/N-m) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4)mm(exp 3/N-m) in ultrahigh vacuum. The carbon ion implanation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, nondiamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine- and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7)mm(exp 3/N-m). Even in ultrahigh vacuum, the presence of the nondiamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6)mm(exp 3)/N-m. Thus, the carbon ion-implanted, fine

  19. The effects of incomplete annealing on the temperature dependence of sheet resistance and gage factor in aluminum and phosphorus implanted silicon on sapphire

    NASA Technical Reports Server (NTRS)

    Pisciotta, B. P.; Gross, C.

    1976-01-01

    Partial annealing of damage to the crystal lattice during ion implantation reduces the temperature coefficient of resistivity of ion-implanted silicon, while facilitating controlled doping. Reliance on this method for temperature compensation of the resistivity and strain-gage factor is discussed. Implantation conditions and annealing conditions are detailed. The gage factor and its temperature variation are not drastically affected by crystal damage for some crystal orientations. A model is proposed to account for the effects of electron damage on the temperature dependence of resistivity and on silicon piezoresistance. The results are applicable to the design of silicon-on-sapphire strain gages with high gage factors.

  20. Application of Coaxial Ion Gun for Film Generation and Ion Implantation

    NASA Astrophysics Data System (ADS)

    Takatsu, Mikio; Asai, Tomohiko; Kurumi, Satoshi; Suzuki, Kaoru; Hirose, Hideharu; Masutani, Shigeyuki

    A magnetized coaxial plasma gun (MCPG) is here utilized for deposition on high-melting-point metals. MCPGs have hitherto been studied mostly in the context of nuclear fusion research, for particle and magnetic helicity injection and spheromak formation. During spheromak formation, the electrode materials are ionized and mixed into the plasmoid. In this study, this ablation process by gun-current sputtering is enhanced for metallic thin-film generation. In the proposed system geometry, only ionized materials are electromagnetically accelerated by the self-Lorentz force, with ionized operating gas as a magnetized thermal plasmoid, contributing to the thin-film deposition. This reduces the impurity and non-uniformity of the deposited thin-film. Furthermore, as the ions are accelerated in a parallel direction to the injection axis, vertical implantation of the ions into the substrate surface is achieved. To test a potential application of the developed system, experiments were conducted involving the formation of a buffer layer on hard ceramics, for use in dental materials.

  1. In vivo evaluation of biofunctionalized implant surfaces with a synthetic peptide (P-15) and its impact on osseointegration. A preclinical animal study.

    PubMed

    Schmitt, Christian M; Koepple, Markus; Moest, Tobias; Neumann, Konrad; Weisel, Tamara; Schlegel, Karl Andreas

    2016-11-01

    The overall aim of the study was to investigate a biofunctionalized implant surface with electrochemically deposition of hydroxyapatite and the synthetic peptide (P-15) and its effect on osseointegration. Three modified implant types of ANKYLOS ® C/X implants were used; (1) machined implants used as negative control (M, n = 20), (2) implants with the FRIADENT ® plus surface (grit blasted and acid-etched) used as positive control (P, n = 20), and (3) implants with a biomimetic surface consisting of hydroxyapatite and the synthetic 15 aminoacids containing peptide P-15 (BP, n = 40). The implants were randomly inserted in the mandibles of 10 beagle dogs following 4 months after tooth extraction (P1-P4). Three animals were sacrificed 2 and 7 days after implant insertion, respectively, and four animals were sacrificed 6 months post implant insertion. Bone-to-implant contacts (BICs) were analyzed via histomorphometrical analyses at five different region of interests (ROIs); two at the middle part on either side of the implant (ROI 1/4), two at the apical part of the implant at each side (ROI 2/3), and one at the tip of the implant (ROI 5). All implant surfaces showed a high level of osseointegration and osteoconductivity. The cumulative implant survival rate (CSR) was 93.8%, 100% in the M, 85% in the P, and 95% in the BP group. No statistical difference in BICs at ROI 1/4, 2/3, and 5 could be shown between implant types following 2 and 7 days of healing. BIC values increased in all groups over time. After 6 months of healing the BP group showed superiority in BIC in ROI 2/3 (73.2 ± 15.6%) compared to the P (48.3 ± 10.6%) and M group (66.3 ± 30.2%) with a significant difference between BP and P (P = 0.002). It is hypothesized, that the surface biofunctionalization improves peri-implant bone formation and remodeling, leading to an increased bone-to implant contact. However, within the limitations of the study set-up no benefit in the early phase of

  2. Process yield improvements with process control terminal for varian serial ion implanters

    NASA Astrophysics Data System (ADS)

    Higashi, Harry; Soni, Ameeta; Martinez, Larry; Week, Ken

    Implant processes in a modern wafer production fab are extremely complex. There can be several types of misprocessing, i.e. wrong dose or species, double implants and missed implants. Process Control Terminals (PCT) for Varian 350Ds installed at Intel fabs were found to substantially reduce the number of misprocessing steps. This paper describes those misprocessing steps and their subsequent reduction with use of PCTs. Reliable and simple process control with serial process ion implanters has been in increasing demand. A well designed process control terminal greatly increases device yield by monitoring all pertinent implanter functions and enabling process engineering personnel to set up process recipes for simple and accurate system operation. By programming user-selectable interlocks, implant errors are reduced and those that occur are logged for further analysis and prevention. A process control terminal should also be compatible with office personal computers for greater flexibility in system use and data analysis. The impact from the capability of a process control terminal is increased productivity, ergo higher device yield.

  3. Bioglass implant-coating interactions in synthetic physiological fluids with varying degrees of biomimicry.

    PubMed

    Popa, A C; Stan, G E; Husanu, M A; Mercioniu, I; Santos, L F; Fernandes, H R; Ferreira, Jmf

    2017-01-01

    Synthetic physiological fluids are currently used as a first in vitro bioactivity assessment for bone grafts. Our understanding about the interactions taking place at the fluid-implant interface has evolved remarkably during the last decade, and does not comply with the traditional International Organization for Standardization/final draft International Standard 23317 protocol in purely inorganic simulated body fluid. The advances in our knowledge point to the need of a true paradigm shift toward testing physiological fluids with enhanced biomimicry and a better understanding of the materials' structure-dissolution behavior. This will contribute to "upgrade" our vision of entire cascades of events taking place at the implant surfaces upon immersion in the testing media or after implantation. Starting from an osteoinductive bioglass composition with the ability to alleviate the oxidative stress, thin bioglass films with different degrees of polymerization were deposited onto titanium substrates. Their biomineralization activity in simulated body fluid and in a series of new inorganic-organic media with increasing biomimicry that more closely simulated the human intercellular environment was compared. A comprehensive range of advanced characterization tools (scanning electron microscopy; grazing-incidence X-ray diffraction; Fourier-transform infrared, micro-Raman, energy-dispersive, X-ray photoelectron, and surface-enhanced laser desorption/ionization time-of-flight mass spectroscopies; and cytocompatibility assays using mesenchymal stem cells) were used. The information gathered is very useful to biologists, biophysicists, clinicians, and material scientists with special interest in teaching and research. By combining all the analyses, we propose herein a step forward toward establishing an improved unified protocol for testing the bioactivity of implant materials.

  4. Ion sheath dynamics in a plasma for plasma-based ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yatsuzuka, M.; Miki, S.; Azuma, K.

    1999-07-01

    Spatial and temporal growth and collapse of ion sheath around an electrode of a negative high-voltage pulse (voltage: {minus}10 kV, pulse duration: 10 {micro}s) have been studied in a plasma for plasma-based ion implantation. A spherical electrode of 1.9 cm in a diameter is immersed in a nitrogen plasma with the plasma density range of 10{sup 9} to 10{sup 10} cm{sup {minus}3}, the electron temperature of 1.4 eV and the gas pressure of 8x10{sup {minus}4} Torr. The transient sheath dynamics was observed by the measurement of electron saturation current to a Langmuir probe, where a depletion of electron saturation currentmore » indicates the arrival time of sheath edge at the probe position. The expanding speed of sheath edge is higher than the ion acoustic speed until the sheath length reaches the steady-state extent determined by Child-Langmuir law. In the region beyond the steady-state extent, the rarefying disturbance produced by sheath expansion continues to propagate into the plasma at the ion acoustic peed. After the pulse voltage is returned to zero (more exactly, the floating potential), the electron current begins to recover. When the pulse fall time is shorter than the plasma transit time, the electron saturation current overshoots the steady-state saturation current at once, resulting in an excess of plasma density which propagates like a tidal wave into the plasma at the ion acoustic speed.« less

  5. High performance red phosphorus electrode in ionic liquid-based electrolyte for Na-ion batteries

    NASA Astrophysics Data System (ADS)

    Dahbi, Mouad; Fukunishi, Mika; Horiba, Tatsuo; Yabuuchi, Naoaki; Yasuno, Satoshi; Komaba, Shinichi

    2017-09-01

    Electrochemical performance of the red phosphorus electrode was examined in ionic-liquid electrolyte, 0.25 mol dm-3 sodium bisfluorosulfonylamide (NaFSA) dissolved N-methyl-N-propylpyridinium-bisfluorosulfonylamide (MPPFSA), at room temperature. We compared its electrochemical performance to conventional EC/PC/DEC, EC/DEC, and PC solutions containing 1 mol dm-3 NaPF6. The electrode in NaFSA/MPPFSA demonstrated a reversible capacity of 1480 mAh g-1 and excellent capacity retention of 93% over 80 cycles, which is much better than those in the conventional electrolytes. The difference in capacity retention for the electrolytes correlates to the different solid electrolyte interphase (SEI) layer formed on the phosphorus electrode. To understand the SEI formation in NaFSA/MPPFSA and its evolution during cycling, we investigate the surface layer of the red phosphorus electrodes with hard X-ray photoelectron spectroscopy (HAXPES) and time-of-flight secondary ion mass spectrometry (TOF-SIMS). A detailed analysis of HAXPES spectra demonstrates that SEI layer consists of major inorganic and minor organic species, originating from decomposition of MPP+ and FSA-. Homogenous surface layer is formed during the first cycle in NaFSA/MPPFSA while in alkyl carbonate ester electrolytes, continuous growth of surface film up to the 20th cycle is observed. Possibility of red phosphorous electrode for battery applications with pure ionic liquid is discussed.

  6. Bias in bonding behavior among boron, carbon, and nitrogen atoms in ion implanted a-BN, a-BC, and diamond like carbon films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genisel, Mustafa Fatih; Uddin, Md. Nizam; Say, Zafer

    2011-10-01

    In this study, we implanted N{sup +} and N{sub 2}{sup +} ions into sputter deposited amorphous boron carbide (a-BC) and diamond like carbon (DLC) thin films in an effort to understand the chemical bonding involved and investigate possible phase separation routes in boron carbon nitride (BCN) films. In addition, we investigated the effect of implanted C{sup +} ions in sputter deposited amorphous boron nitride (a-BN) films. Implanted ion energies for all ion species were set at 40 KeV. Implanted films were then analyzed using x-ray photoelectron spectroscopy (XPS). The changes in the chemical composition and bonding chemistry due to ion-implantationmore » were examined at different depths of the films using sequential ion-beam etching and high resolution XPS analysis cycles. A comparative analysis has been made with the results from sputter deposited BCN films suggesting that implanted nitrogen and carbon atoms behaved very similar to nitrogen and carbon atoms in sputter deposited BCN films. We found that implanted nitrogen atoms would prefer bonding to carbon atoms in the films only if there is no boron atom in the vicinity or after all available boron atoms have been saturated with nitrogen. Implanted carbon atoms also preferred to either bond with available boron atoms or, more likely bonded with other implanted carbon atoms. These results were also supported by ab-initio density functional theory calculations which indicated that carbon-carbon bonds were energetically preferable to carbon-boron and carbon-nitrogen bonds.« less

  7. Silicon solar cells by ion implantation and pulsed energy processing

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, A. R.; Minnucci, J. A.; Shaughnessy, T. S.; Greenwald, A. C.

    1976-01-01

    A new method for fabrication of silicon solar cells is being developed around ion implantation in conjunction with pulsed electron beam techniques to replace conventional furnace processing. Solar cells can be fabricated totally in a vacuum environment at room temperature. Cells with 10% AM0 efficiency have been demonstrated. High efficiency cells and effective automated processing capabilities are anticipated.

  8. Calculation of recoil implantation profiles using known range statistics

    NASA Technical Reports Server (NTRS)

    Fung, C. D.; Avila, R. E.

    1985-01-01

    A method has been developed to calculate the depth distribution of recoil atoms that result from ion implantation onto a substrate covered with a thin surface layer. The calculation includes first order recoils considering projected range straggles, and lateral straggles of recoils but neglecting lateral straggles of projectiles. Projectile range distributions at intermediate energies in the surface layer are deduced from look-up tables of known range statistics. A great saving of computing time and human effort is thus attained in comparison with existing procedures. The method is used to calculate recoil profiles of oxygen from implantation of arsenic through SiO2 and of nitrogen from implantation of phosphorus through Si3N4 films on silicon. The calculated recoil profiles are in good agreement with results obtained by other investigators using the Boltzmann transport equation and they also compare very well with available experimental results in the literature. The deviation between calculated and experimental results is discussed in relation to lateral straggles. From this discussion, a range of surface layer thickness for which the method applies is recommended.

  9. Effect of structural transformation of C+-ion implanted PMMA into quasi-continuous carbonaceous layer on its optical and electrical properties

    NASA Astrophysics Data System (ADS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Sagheer, Riffat

    2018-02-01

    The samples of Polymethylmethacrylate (PMMA) have been implanted with 500 keV C+-ions at different ion fluences ranging from 9.3 × 1013 to 8.4 × 1014 ions/cm2. The structural modifications are examined by Fourier Transform Infrared and Raman spectral studies. For the investigation of optical, electrical and surface morphological properties of implanted samples UV-Visible spectrometer, four probe apparatus and optical microscope have been employed. The FTIR spectra confirmed the cleavage of chemicals bonds as a consequence of polymer chain scission, whereas, Raman studies revealed the transformation of PMMA structure into quasi-continuous amorphous carbon with increasing ion fluences. A continuous reduction has been observed in the optical band gap of PMMA from 3.16 to 1.42 eV. Moreover, the refractive index, extinction coefficient and electrical conductivity of implanted PMMA are found to be an increasing function of the ion fluence. The micrographic images revealed the signatures of ion-induced defects like cracking, dehydrogenation, stress and swelling on the surface of PMMA. These implanted samples have a potential to be used in the field of optical communications and thin plastic flexible electronics.

  10. Photoluminescence of magnesium-associated color centers in LiF crystals implanted with magnesium ions

    NASA Astrophysics Data System (ADS)

    Nebogin, S. A.; Ivanov, N. A.; Bryukvina, L. I.; V. Shipitsin, N.; E. Rzhechitskii, A.; Papernyi, V. L.

    2018-05-01

    In the present paper, the effect of magnesium nanoparticles implanted in a LiF crystal on the optical properties of color centers is studied. The transmittance spectra and AFM images demonstrate effective formation of the color centers and magnesium nanoparticles in an implanted layer of ∼ 60-100 nm in thickness. Under thermal annealing, a periodical structure is formed on the surface of the crystal and in the implanted layer due to self-organization of the magnesium nanoparticles. Upon excitation by argon laser with a wavelength of 488 nm at 5 K, in a LiF crystal, implanted with magnesium ions as well as in heavily γ-irradiated LiF: Mg crystals, luminescence of the color centers at λmax = 640 nm with a zero-phonon line at 601.5 nm is observed. The interaction of magnesium nanoparticles and luminescing color centers in a layer implanted with magnesium ions has been revealed. It is shown that the luminescence intensity of the implanted layer at a wavelength of 640 nm is by more than two thousand times higher than that of a heavily γ-irradiated LiF: Mg crystal. The broadening of the zero-phonon line at 601.5 nm in the spectrum of the implanted layer indicates the interaction of the emitting quantum system with local field of the surface plasmons of magnesium nanoparticles. The focus of this work is to further optimize the processing parameters in a way to result in luminescence great enhancement of color centers by magnesium nanoparticles in LiF.

  11. Structural Changes in Polymer Films by Fast Ion Implantation

    NASA Astrophysics Data System (ADS)

    Parada, M. A.; Minamisawa, R. A.; Muntele, C.; Muntele, I.; De Almeida, A.; Ila, D.

    2006-11-01

    In applications from food wrapping to solar sails, polymers films can be subjected to intense charged panicle bombardment and implantation. ETFE (ethylenetetrafluoroethylene) with high impact resistance is used for pumps, valves, tie wraps, and electrical components. PFA (tetrafluoroethylene-per-fluoromethoxyethylene) and FEP (tetrafluoroethylene-hexa-fluoropropylene) are sufficiently biocompatible to be used as transcutaneous implants since they resist damage from the ionizing space radiation, they can be used in aerospace engineering applications. PVDC (polyvinyllidene-chloride) is used for food packaging, and combined with others plastics, improves the oxygen barrier responsible for the food preservation. Fluoropolymers are also known for their radiation dosimetry applications, dependent on the type and energy of the radiation, as well as of the beam intensity. In this work ETFE, PFA, FEP and PVDC were irradiated with ions of keV and MeV energies at several fluences and were analyzed through techniques as RGA, OAP, FTIR, ATR and Raman spectrophotometry. CF3 is the main specie emitted from PFA and FEP when irradiated with MeV protons. H and HF are released from ETFE due to the broken C-F and C-H bonds when the polymer is irradiated with keV Nitrogen ions and protons. At high fluence, especially for keV Si and N, damage due to carbonization is observed with the formation of hydroperoxide and polymer dehydroflorination. The main broken bonds in PVDC are C-O and C-Cl, with the release of Cl and the formation of double carbon bonds. The ion fluence that causes damage, which could compromise fluoropolymer film applications, has been determined.

  12. Optical and Structural Properties of Ion-implanted InGaZnO Thin Films Studied with Spectroscopic Ellipsometry and Transmission Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Park, Jun Woo; Jeong, Pil Seong; Choi, Suk-Ho; Lee, Hosun; Kong, Bo Hyun; Koun Cho, Hyung

    2009-11-01

    Amorphous InGaZnO (IGZO) thin films were grown using RF sputtering deposition at room temperature and their corresponding dielectric functions were measured. In order to reduce defects and increase carrier concentrations, we examined the effect of forming gas annealing and ion implantation. The band gap energy increased with increasing forming gas annealing temperature. We implanted the IGZO thin films with F- ions in order to decrease oxygen vacancies. For comparison, we also implanted InO- ions. Transmission electron microscopy showed that the amorphous phase undergoes transformation to a nanocrystalline phase due to annealing. We also observed InGaZnO4 nanocrystals having an In-(Ga/Zn) superlattice structure. As the annealing temperature increased, the optical gap energy increased due to crystallization. After annealing, we observed an oxygen-vacancy-related 1.9 eV peak for both unimplanted and InO-implanted samples. However, F- ion implantation substantially reduced the amplitude of the 1.9 eV peak, which disappeared completely at a F fluence of 5×1015 cm-2. We observed other defect-related peaks at 3.6 and 4.2 eV after annealing, which also disappeared after F implantation.

  13. Etching and structure changes in PMMA coating under argon plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Kondyurin, Alexey; Bilek, Marcela

    2011-06-01

    A thin (120 nm) polymethylmethacrylate coating was treated by plasma immersion ion implantation with Ar using pulsed bias at 20 kV. Ellipsometry and FTIR spectroscopy and gel-fraction formation were used to detect the structure transformations as a function of ion fluence. The kinetics of etching, variations in refractive index and extinction coefficient in 400-1000 nm of wavelength, concentration changes in carbonyl, ether, methyl and methylene groups all as a function of ion fluence were analyzed. A critical ion fluence of 10 15 ions/cm 2 was observed to be a border between competing depolymerization and carbonization processes. Chemical reactions responsible for reorganization of the PMMA chemical structure under ion beam treatment are proposed.

  14. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    NASA Astrophysics Data System (ADS)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  15. Passivation of uranium towards air corrosion by N 2+ and C + ion implantation

    NASA Astrophysics Data System (ADS)

    Arkush, R.; Mintz, M. H.; Shamir, N.

    2000-10-01

    The passivation of uranium surfaces against air corrosion, by ion implantation processes was studied, using surface analysis methods. Implanting 45 keV N +2 and C + ions produces thin modified surface layers with gradual gradients of the corresponding compounds (i.e., nitrides and carbides, respectively), which avoid the formation of discontinuous interfaces typical to coatings. Such gradual interfaces impart excellent mechanical stability and adhesion to the modified layers, in spite of the large misfit between the metal substrate and the implantation on induced compounds. It turns out that these layers provide an almost absolute protection against air corrosion. A rapid initial stage of oxidation of the modified surface layers takes place, forming very thin protective oxidation zones (1-4 nm thick), which practically stop further air oxidation for years. The mechanism of the initial oxidation stage of the modified layers seems to vary with the type of surface (i.e., either nitrides or carbides). However, in any case the protection ability of the formed oxidation products is excellent, probably due to the close match between these compounds and the underlying nitrides or carbides.

  16. Surface modification by carbon ion implantation for the application of ni-based amorphous alloys as bipolar plate in proton exchange membrane fuel cells

    NASA Astrophysics Data System (ADS)

    Kim, Min-Uk; Kim, Do-Hyang; Han, Seung-hee; Fleury, Eric; Seok, Hyun-Kwang; Cha, Pil-Ryung; Kim, Yu-Chan

    2011-04-01

    Ni-based amorphous alloys with surface modification by carbon ion implantation are proposed as an alternative bipolar plate material for polymer electrolyte membrane fuel cells (PEMFCs). Both Ni60Nb20Ti10Zr10 alloys with and without carbon ion implantation have corrosion resistance as good as graphite as well as much lower contact resistance than 316L stainless steel in the PEMFC environment. The formation of conductive surface carbide due to carbon ion implantation results in a decrease in the contact resistance to a level comparable to that of graphite. This combination of excellent properties indicates that carbon ion implanted Ni-based amorphous alloys can be potential candidate materials for bipolar plates in PEMFCs.

  17. Removal of ion-implanted photoresists on GaAs using two organic solvents in sequence

    NASA Astrophysics Data System (ADS)

    Oh, Eunseok; Na, Jihoon; Lee, Seunghyo; Lim, Sangwoo

    2016-07-01

    Organic solvents can effectively remove photoresists on III-V channels without damage or etching of the channel material during the process. In this study, a two-step sequential photoresist removal process using two different organic solvents was developed to remove implanted ArF and KrF photoresists at room temperature. The effects of organic solvents with either low molar volumes or high affinities for photoresists were evaluated to find a proper combination that can effectively remove high-dose implanted photoresists without damaging GaAs surfaces. The performance of formamide, acetonitrile, nitromethane, and monoethanolamine for the removal of ion-implanted ArF and KrF photoresists were compared using a two-step sequential photoresist removal process followed by treatment in dimethyl sulfoxide (DMSO). Among the various combinations, the acetonitrile + DMSO two-step sequence exhibited the best removal of photoresists that underwent ion implantation at doses of 5 × 1013-5 × 1015 atoms/cm2 on both flat and trench-structured GaAs surfaces. The ability of the two-step process using organic solvents to remove the photoresists can be explained by considering the affinities of solvents for a polymer and its permeability through the photoresist.

  18. Treatment of first metatarsophalangeal joint arthritis using hemiarthroplasty with a synthetic cartilage implant or arthrodesis: A comparison of operative and recovery time.

    PubMed

    Glazebrook, Mark; Younger, Alastair S E; Daniels, Timothy R; Singh, Dishan; Blundell, Chris; de Vries, Gwyneth; Le, Ian L D; Nielsen, Dominic; Pedersen, M Elizabeth; Sakellariou, Anthony; Solan, Matthew; Wansbrough, Guy; Baumhauer, Judith F

    2017-05-29

    First metatarsophalangeal joint (MTPJ1) hemiarthroplasty using a novel synthetic cartilage implant was as effective and safe as MTPJ1 arthrodesis in a randomized clinical trial. We retrospectively evaluated operative time and recovery period for implant hemiarthroplasty (n=152) and MTPJ1 arthrodesis (n=50). Perioperative data were assessed for operative and anaesthesia times. Recovery and return to function were prospectively assessed with the Foot and Ankle Ability Measure (FAAM) Sports and Activities of Daily Living (ADL) subscales and SF-36 Physical Functioning (PF) subscore. Mean operative time for hemiarthroplasty was 35±12.3min and 58±21.5min for arthrodesis (p<0.001). Anaesthesia duration was 28min shorter with hemiarthroplasty (p<0.001). At weeks 2 and 6 postoperative, hemiarthroplasty patients demonstrated clinically and statistically significantly higher FAAM Sport, FAAM ADL, and SF-36 PF subscores versus arthrodesis patients. MTPJ1 hemiarthroplasty with a synthetic cartilage implant took less operative time and resulted in faster recovery than arthrodesis. III, Retrospective case control study. Copyright © 2017 European Foot and Ankle Society. Published by Elsevier Ltd. All rights reserved.

  19. Effect of exposure environment on surface decomposition of SiC-silver ion implantation diffusion couples

    DOE PAGES

    Gerczak, Tyler J.; Zheng, Guiqui; Field, Kevin G.; ...

    2014-10-05

    SiC is a promising material for nuclear applications and is a critical component in the construction of tristructural isotropic (TRISO) fuel. A primary issue with TRISO fuel operation is the observed release of 110m Ag from intact fuel particles. The release of Ag has prompted research efforts to directly measure the transport mechanism of Ag in bulk SiC. Recent research efforts have focused primarily on Ag ion implantation designs. The effect of the thermal exposure system on the ion implantation surface has been investigated. Results indicate the utilization of a mated sample geometry and the establishment of a static thermalmore » exposure environment is critical to maintaining an intact surface for diffusion analysis. In conclusion, the nature of the implantation surface and its potential role in Ag diffusion analysis are discussed.« less

  20. Surface modification by metal ion implantation forming metallic nanoparticles in an insulating matrix

    NASA Astrophysics Data System (ADS)

    Salvadori, M. C.; Teixeira, F. S.; Sgubin, L. G.; Cattani, M.; Brown, I. G.

    2014-08-01

    There is special interest in the incorporation of metallic nanoparticles in a surrounding dielectric matrix for obtaining composites with desirable characteristics such as for surface plasmon resonance, which can be used in photonics and sensing, and controlled surface electrical conductivity. We have investigated nanocomposites produced by metal ion implantation into insulating substrates, where the implanted metal self-assembles into nanoparticles. The nanoparticles nucleate near the maximum of the implantation depth profile (projected range), which can be estimated by computer simulation using the TRIDYN code. TRIDYN is a Monte Carlo simulation program based on the TRIM (Transport and Range of Ions in Matter) code that takes into account compositional changes in the substrate due to two factors: previously implanted dopant atoms, and sputtering of the substrate surface. Our study show that the nanoparticles form a bidimentional array buried a few nanometers below the substrate surface. We have studied Au/PMMA (polymethylmethacrylate), Pt/PMMA, Ti/alumina and Au/alumina systems. Transmission electron microscopy of the implanted samples show that metallic nanoparticles form in the insulating matrix. These nanocomposites have been characterized by measuring the resistivity of the composite layer as a function of the implantation dose. The experimental results are compared with a model based on percolation theory, in which electron transport through the composite is explained by conduction through a random resistor network formed by the metallic nanoparticles. Excellent agreement is found between the experimental results and the predictions of the theory. We conclude in that the conductivity process is due only to percolation (when the conducting elements are in geometric contact) and that the contribution from tunneling conduction is negligible.

  1. The Reduction of TED in Ion Implanted Silicon

    NASA Astrophysics Data System (ADS)

    Jain, Amitabh

    2008-11-01

    The leading challenge in the continued scaling of junctions made by ion implantation and annealing is the control of the undesired transient enhanced diffusion (TED) effect. Spike annealing has been used as a means to reduce this effect and has proven successful in previous nodes. The peak temperature in this process is typically 1050 °C and the time spent within 50 °C of the peak is of the order of 1.5 seconds. As technology advances along the future scaling roadmap, further reduction or elimination of the enhanced diffusion effect is necessary. We have shown that raising the peak temperature to 1175 °C or more and reduction of the anneal time at peak temperature to less than a millisecond is effective in eliminating enhanced diffusion. We show that it is possible to employ a sequence of millisecond anneal followed by spike anneal to obtain profiles that do not exhibit gradient degradation at the junction and have junction depth and sheet resistance appropriate to the needs of future technology nodes. We have implemented millisecond annealing using a carbon dioxide laser to support high-volume manufacturing of 65 nm microprocessors and system-on-chip products. We further show how the use of molecular ion implantation to produce amorphousness followed by laser annealing to produce solid phase epitaxial regrowth results in junctions that meet the shallow depth and abruptness requirements of the 32 nm node.

  2. Bioglass implant-coating interactions in synthetic physiological fluids with varying degrees of biomimicry

    PubMed Central

    Popa, AC; Stan, GE; Husanu, MA; Mercioniu, I; Santos, LF; Fernandes, HR; Ferreira, JMF

    2017-01-01

    Synthetic physiological fluids are currently used as a first in vitro bioactivity assessment for bone grafts. Our understanding about the interactions taking place at the fluid–implant interface has evolved remarkably during the last decade, and does not comply with the traditional International Organization for Standardization/final draft International Standard 23317 protocol in purely inorganic simulated body fluid. The advances in our knowledge point to the need of a true paradigm shift toward testing physiological fluids with enhanced biomimicry and a better understanding of the materials’ structure-dissolution behavior. This will contribute to “upgrade” our vision of entire cascades of events taking place at the implant surfaces upon immersion in the testing media or after implantation. Starting from an osteoinductive bioglass composition with the ability to alleviate the oxidative stress, thin bioglass films with different degrees of polymerization were deposited onto titanium substrates. Their biomineralization activity in simulated body fluid and in a series of new inorganic–organic media with increasing biomimicry that more closely simulated the human intercellular environment was compared. A comprehensive range of advanced characterization tools (scanning electron microscopy; grazing-incidence X-ray diffraction; Fourier-transform infrared, micro-Raman, energy-dispersive, X-ray photoelectron, and surface-enhanced laser desorption/ionization time-of-flight mass spectroscopies; and cytocompatibility assays using mesenchymal stem cells) were used. The information gathered is very useful to biologists, biophysicists, clinicians, and material scientists with special interest in teaching and research. By combining all the analyses, we propose herein a step forward toward establishing an improved unified protocol for testing the bioactivity of implant materials. PMID:28176941

  3. Stress influenced trapping processes in Si based multi-quantum well structures and heavy ions implanted Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ciurea, Magdalena Lidia, E-mail: ciurea@infim.ro; Lazanu, Sorina, E-mail: ciurea@infim.ro

    2014-10-06

    Multi-quantum well structures and Si wafers implanted with heavy iodine and bismuth ions are studied in order to evaluate the influence of stress on the parameters of trapping centers. The experimental method of thermostimullatedcurrents without applied bias is used, and the trapping centers are filled by illumination. By modeling the discharge curves, we found in multilayered structures the parameters of both 'normal' traps and 'stress-induced' ones, the last having a Gaussian-shaped temperature dependence of the cross section. The stress field due to the presence of stopped heavy ions implanted into Si was modeled by a permanent electric field. The increasemore » of the strain from the neighborhood of I ions to the neighborhood of Bi ions produces the broadening of some energy levels and also a temperature dependence of the cross sections for all levels.« less

  4. Stress influenced trapping processes in Si based multi-quantum well structures and heavy ions implanted Si

    NASA Astrophysics Data System (ADS)

    Ciurea, Magdalena Lidia; Lazanu, Sorina

    2014-10-01

    Multi-quantum well structures and Si wafers implanted with heavy iodine and bismuth ions are studied in order to evaluate the influence of stress on the parameters of trapping centers. The experimental method of thermostimullatedcurrents without applied bias is used, and the trapping centers are filled by illumination. By modeling the discharge curves, we found in multilayered structures the parameters of both 'normal' traps and 'stress-induced' ones, the last having a Gaussian-shaped temperature dependence of the cross section. The stress field due to the presence of stopped heavy ions implanted into Si was modeled by a permanent electric field. The increase of the strain from the neighborhood of I ions to the neighborhood of Bi ions produces the broadening of some energy levels and also a temperature dependence of the cross sections for all levels.

  5. Effect of different sterilization modes on the surface morphology, ion release, and bone reaction of retrieved micro-implants.

    PubMed

    El-Wassefy, Noha; El-Fallal, Abeer; Taha, Mahasen

    2015-01-01

    To compare as-received and sterilized micro-implants in order to assess the prospects of reusing them. Forty micro-implants from a single manufacturing lot were used in the study. Thirty were retrieved from patients after successful service in their mouth and with no signs of failure. The retrieved micro-implants were divided into three groups, according to method of sterilization: autoclave, gamma radiation, or ultraviolet radiation. All groups were subjected to scanning electron microscope analysis for surface morphology assessment. The specimens were immersed in a standard simulated body-fluid solution kept at 37°C in an incubator; the solution was then withdrawn at 24 hours and 30 days to evaluate aluminum and vanadium ion release by atomic absorption spectrophotometer in parts per billion. The micro-implants were then surgically implanted into the tibia of rabbits for a 1-month healing period, and the bone-implant blocks were processed for routine histologic examination. This study revealed that sterilized micro-implants had altered surface topography, different ion release values, and different histologic cell reactions than the as-received micro-implants. Within the limitations of this study, it can be concluded that retrieved self-drilling micro-implants have tip sharpness variations that require correction before insertion by bone drilling. The autoclave-sterilized micro-implants showed better histologic results than micro-implants sterilized by gamma or ultraviolet rays.

  6. Simultaneous determination of total nitrogen and total phosphorus in environmental waters using alkaline persulfate digestion and ion chromatography.

    PubMed

    De Borba, Brian M; Jack, Richard F; Rohrer, Jeffrey S; Wirt, Joan; Wang, Dongmei

    2014-11-21

    An ion chromatography (IC) method was developed for the simultaneous determination of total nitrogen and total phosphorus after alkaline persulfate digestion. This study takes advantage of advances in construction of high-resolution, high-capacity anion-exchange columns that can better tolerate the matrices typically encountered when a determination of total nitrogen and total phosphorous is required. Here, we used an electrolytically generated hydroxide eluent combined with a high-capacity, hydroxide-selective, anion-exchange column for the determination of total nitrogen (as nitrate-N) and total phosphorus (as phosphate-P) in environmental samples by IC. This method yielded LODs for nitrate-N and phosphate-P of 1.0 and 1.3 μg/L, respectively. The LOQs determined for these analytes were 3.4 and 4.2 μg/L, respectively. Due to the dilution factor required and the blank nitrate-N concentration after the persulfate digestion, the quantification limits increased for nitrate-N and phosphate-P to 171 and 63 μg/L, respectively. The suitability of the method was evaluated by determining the nitrogen and phosphorus concentrations from known concentrations of organic-containing nitrogen and phosphorus compounds. In addition, environmental samples consisting of six different wastewaters and 48 reservoir samples were evaluated for total nitrogen and phosphorus. The recoveries of nitrogen and phosphorus from the organic-containing compounds ranged from 93.1 to 100.1% and 85.2 to 97.1%, respectively. In addition, good correlation between results obtained by the colorimetric method and IC was also observed. The linearity, accuracy, and evaluation of potential interferences for determining TN and TP will be discussed. Copyright © 2014 Elsevier B.V. All rights reserved.

  7. Bulk unipolar diodes formed in GaAs by ion implantation

    NASA Astrophysics Data System (ADS)

    Hutchinson, S.; Kelly, M. J.; Gwilliam, R.; Sealy, B. J.; Carr, M.

    1999-01-01

    In an attempt to emulate epitaxially manufactured semiconductor multilayers for microwave device applications, we have produced a camel diode structure in GaAs for the first time, using the tail of a Mg + implant into a molecular beam epitaxially grown n +-n --n + structure. Using a range of ion energies and doses, samples are observed to exhibit bulk unipolar diode characteristics. With low dose and energy, a diode with barrier height of ˜0.8 V and ideality factor ˜1.25 is achieved. 'Punch through' diode characteristics are obtained at high ion dose and energy, some with knee voltages in excess of 7 V.

  8. Structural and optical properties of DC magnetron sputtered ZnO films on glass substrate and their modification by Ag ions implantation

    NASA Astrophysics Data System (ADS)

    Ahmad, R.; Afzal, Naveed; Amjad, U.; Jabbar, S.; Hussain, T.; Hussnain, A.

    2017-07-01

    This work is focused on investigating the effects of deposition time and Ag ions implantation on structural and optical properties of ZnO film. The ZnO film was prepared on glass substrate by pulsed DC magnetron sputtering of pure Zn target in reactive oxygen environment for 2 h, 3 h, 4 h and 5 h respectively. X-ray diffraction results revealed polycrystalline ZnO film whose crystallinity was improved with increase of the deposition time. The morphological features indicated agglomeration of smaller grains into larger ones by increasing the deposition time. The UV-vis spectroscopy analysis depicted a small decrease in the band gap of ZnO from 3.36 eV to 3.27 eV with increase of deposition time. The Ag ions implantation in ZnO films deposited for 5 h on glass was carried out by using Pelletron Accelerator at different ions fluences ranging from 1  ×  1011 ions cm-2 to 2  ×  1012 ions cm-2. XRD patterns of Ag ions implanted ZnO did not show significant change in crystallite size by increasing ions fluence from 1  ×  1011 ions cm-2 to 5  ×  1011 ions cm-2. However, with further increase of the ions fluence, the crystallite size was decreased. The band gap of Ag ions implanted ZnO indicated anomalous variations with increase of the ions fluence.

  9. Growth of rutile TiO2 nanorods in Ti and Cu ion sequentially implanted SiO2 and the involved mechanisms

    NASA Astrophysics Data System (ADS)

    Mu, Xiaoyu; Liu, Xiaoyu; Wang, Xiaohu; Dai, Haitao; Liu, Changlong

    2018-01-01

    TiO2 in nanoscale exhibits unique physicochemical and optoelectronic properties and has attracted much more interest of the researchers. In this work, TiO2 nanostructures are synthesized in amorphous SiO2 slices by implanting Ti ions, or sequentially implanting Ti and Cu ions combined with annealing at high temperature. The morphology, structure, spatial distribution and optical properties of the formed nanostructures have been investigated in detail. Our results clearly show that the thermal growth of TiO2 nanostructures in SiO2 substrate is significantly enhanced by presence of post Cu ion implantation, which depends strongly on the applied Cu ion fluence, as well as the annealing atmosphere. Due to the formation of Cu2O in the substrate, rutile TiO2 nanorods of large size have been well fabricated in the Ti and Cu sequentially implanted SiO2 after annealing in N2 atmosphere, in which Cu2O plays a role as a catalyst. Moreover, the sample with well-fabricated TiO2 nanorods exhibits a narrowed band gap, an enhanced optical absorption in visible region, and catalase-/peroxidase-like catalytic characteristics. Our findings provide an effective route to fabricate functional TiO2 nanorods in SiO2 via ion implantation.

  10. On-chip very low junction temperature GaN-based light emitting diodes by selective ion implantation

    NASA Astrophysics Data System (ADS)

    Cheng, Yun-Wei; Chen, Hung-Hsien; Ke, Min-Yung; Chen, Cheng-Pin; Huang, JianJang

    2008-08-01

    We propose an on-wafer heat relaxation technology by selectively ion-implanted in part of the p-type GaN to decrease the junction temperature in the LED structure. The Si dopant implantation energy and concentration are characterized to exhibit peak carrier density 1×1018 cm-3 at the depth of 137.6 nm after activation in nitrogen ambient at 750 °C for 30 minutes. The implantation schedule is designed to neutralize the selected region or to create a reverse p-n diode in the p-GaN layer, which acts as the cold zone for heat dissipation. The cold zone with lower effective carrier concentration and thus higher resistance is able to divert the current path. Therefore, the electrical power consumption through the cold zone was reduced, resulting in less optical power emission from the quantum well under the cold zone. Using the diode forward voltage method to extract junction temperature, when the injection current increases from 10 to 60 mA, the junction temperature of the ion-implanted LED increases from 34.3 °C to 42.3 °C, while that of the conventional one rises from 30.3 °C to 63.6 °C. At 100 mA, the output power of the ion-implanted device is 6.09 % higher than that of the conventional device. The slight increase of optical power is due to the increase of current density outside the cold zone region of the implanted device and reduced junction temperature. The result indicates that our approach improves thermal dissipation and meanwhile maintains the linearity of L-I curves.

  11. Tailoring the structural and magnetic properties of masked CoPt thin films using ion implantation

    NASA Astrophysics Data System (ADS)

    Kumar, Durgesh; Gupta, Surbhi; Jin, Tianli; Nongjai, R.; Asokan, K.; Piramanayagam, S. N.

    2018-05-01

    The effects of ion implantations through a mask on the structural and magnetic properties of Co80Pt20 films were investigated. The mask was patterned using the self-assembly of diblock copolymers. For implantation, high (40 keV for 14N+ and 100 keV for 40Ar+) and low (7.5 keV for 14N+ and 4.5 keV for 40Ar+) energy 14N+ and 40Ar+ ions were used to modify the structural and magnetic properties of these films. X-ray diffraction and TRIM simulations were performed for understanding the structural changes due to ion implantations. These results revealed the intermixing of Co atoms in lower layers and lattice expansion in Co80Pt20 magnetic and Ru layers. A lateral straggling of Co caused an increase in the exchange coupling in the masked region. Depletion of Co atoms in Co80Pt20 layer caused a decrease in the anisotropy constant, which were further confirmed by the alternating gradient force magnetometer and magnetic force microscopy results. The magnetic force microscopy images showed an increase in domain width and domain wall width confirming the above-mentioned effects.

  12. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    NASA Astrophysics Data System (ADS)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-12-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO2 implanted AISI 304 - examined for different implantation and annealing parameters - is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 1016 cm-2 (Ti+) and 1 × 1017 cm-2 (O+) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 1015 cm-2 (Ti+) and 1 × 1016 cm-2 (O+). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  13. Effect of low-energy hydrogen ion implantation on dendritic web silicon solar cells

    NASA Technical Reports Server (NTRS)

    Rohatgi, A.; Meier, D. L.; Rai-Choudhury, P.; Fonash, S. J.; Singh, R.

    1986-01-01

    The effect of a low-energy (0.4 keV), short-time (2-min), heavy-dose (10 to the 18th/sq cm) hydrogen ion implant on dendritic web silicon solar cells and material was investigated. Such an implant was observed to improve the cell open-circuit voltage and short-circuit current appreciably for a number of cells. In spite of the low implant energy, measurements of internal quantum efficiency indicate that it is the base of the cell, rather than the emitter, which benefits from the hydrogen implant. This is supported by the observation that the measured minority-carrier diffusion length in the base did not change when the emitter was removed. In some cases, a threefold increase of the base diffusion length was observed after implantation. The effects of the hydrogen implantation were not changed by a thermal stress test at 250 C for 111 h in nitrogen. It is speculated that hydrogen enters the bulk by traveling along dislocations, as proposed recently for edge-defined film-fed growth silicon ribbon.

  14. Effects of nitrogen ion implantation time on tungsten films deposited by DC magnetron sputtering on AISI 410 martensitic stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malau, Viktor, E-mail: malau@ugm.ac.id; Ilman, Mochammad Noer, E-mail: noer-ilman@yahoo.com; Iswanto, Priyo Tri, E-mail: priyatri@yahoo.com

    Nitrogen ion implantation time on tungsten thin film deposited on surface of AISI 410 steel has been performed. Tungsten thin film produced by dc magnetron sputtering method was deposited on AISI 410 martensitic stainless steel substrates, and then the nitrogen ions were implanted on tungsten thin film. The objective of this research is to investigate the effects of implantation deposition time on surface roughness, microhardness, specific wear and corrosion rate of nitrogen implanted on tungsten film. Magnetron sputtering process was performed by using plasma gas of argon (Ar) to bombardier tungsten target (W) in a vacuum chamber with a pressuremore » of 7.6 x 10{sup −2} torr, a voltage of 300 V, a sputter current of 80 mA for sputtered time of 10 minutes. Nitrogen implantation on tungsten film was done with an initial pressure of 3x10{sup −6} mbar, a fluence of 2 x 10{sup 17} ions/cm{sup 2}, an energy of 100 keV and implantation deposition times of 0, 20, 30 and 40 minutes. The surface roughness, microhardness, specific wear and corrosion rate of the films were evaluated by surfcorder test, Vickers microhardness test, wear test and potentiostat (galvanostat) test respectively. The results show that the nitrogen ions implanted deposition time on tungsten film can modify the surface roughness, microhardness, specific wear and corrosion rate. The minimum surface roughness, specific wear and corrosion rate can be obtained for implantation time of 20 minutes and the maximum microhardness of the film is 329 VHN (Vickers Hardness Number) for implantation time of 30 minutes. The specific wear and corrosion rate of the film depend directly on the surface roughness.« less

  15. Ion beam sputter etching of orthopedic implanted alloy MP35N and resulting effects on fatigue

    NASA Technical Reports Server (NTRS)

    Wintucky, E. G.; Christopher, M.; Bahnuik, E.; Wang, S.

    1981-01-01

    The effects of two types of argon ion sputter etched surface structures on the tensile stress fatigue properties of orthopedic implant alloy MP35N were investigated. One surface structure was a natural texture resulting from direct bombardment by 1 keV argon ions. The other structure was a pattern of square holes milled into the surface by a 1 keV argon ion beam through a Ni screen mask. The etched surfaces were subjected to tensile stress only in fatigue tests designed to simulate the cyclic load conditions experienced by the stems of artificial hip joint implants. Both types of sputter etched surface structures were found to reduce the fatigue strength below that of smooth surface MP35N.

  16. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V.; Chesnokov, Yu. M.

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpestmore » boundaries at a lower energy of implantable ions.« less

  17. Nanostructural evolution and behavior of H and Li in ion-implanted γ-LiAlO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Weilin; Zhang, Jiandong; Edwards, Danny J.

    In-situ He+ ion irradiation is performed under a helium ion microscope to study nanostructural evolution in polycrystalline gamma-LiAlO2 pellets. Various locations within a grain, across grain boundaries and at a cavity are selected. The results exhibit He bubble formation, grain-boundary cracking, nanoparticle agglomeration, increasing surface brightness with dose, and material loss from the surface. Similar brightening effects at grain boundaries are also observed under a scanning electron microscope. Li diffusion and loss from polycrystalline gamma-LiAlO2 is faster than its monocrystalline counterpart during H2+ ion implantation at elevated temperatures. There is also more significant H diffusion and release from polycrystalline pelletsmore » during thermal annealing of 300 K implanted samples. Grain boundaries and cavities could provide a faster pathway for H and Li diffusion. H release is slightly faster from the 573 K implanted monocrystalline gamma-LiAlO2 during annealing at 773 K. Metal hydrides could be formed preferentially along the grain boundaries to immobilize hydrogen.« less

  18. Synthesis of Ag metallic nanoparticles by 120 keV Ag- ion implantation in TiO2 matrix

    NASA Astrophysics Data System (ADS)

    Sharma, Himanshu; Singhal, Rahul

    2017-12-01

    TiO2 thin film synthesized by the RF sputtering method has been implanted by 120 keV Ag- ion with different doses (3 × 1014, 1 × 1015, 3 × 1015, 1 × 1016 and 3 × 1016 ions/cm2). Further, these were characterized by Rutherford back Scattering, XRD, X-ray photoelectron spectroscopy (XPS), UV-visible and fluorescence spectroscopy. Here we reported that after implantation, localized surface Plasmon resonance has been observed for the fluence 3 × 1016 ions/cm2, which was due to the formation of silver nanoparticles. Ag is in metallic form in the matrix of TiO2, which is very interestingly as oxidation of Ag was reported after implantation. Also, we have observed the interaction between nanoparticles of Ag and TiO2, which results in an increasing intensity in lower charge states (Ti3+) of Ti. This interaction is supported by XPS and fluorescence spectroscopy, which can help improve photo catalysis and antibacterial properties.

  19. Phase transformations induced by spherical indentation in ion-implanted amorphous silicon

    NASA Astrophysics Data System (ADS)

    Haberl, B.; Bradby, J. E.; Ruffell, S.; Williams, J. S.; Munroe, P.

    2006-07-01

    The deformation behavior of ion-implanted (unrelaxed) and annealed ion-implanted (relaxed) amorphous silicon (a-Si) under spherical indentation at room temperature has been investigated. It has been found that the mode of deformation depends critically on both the preparation of the amorphous film and the scale of the mechanical deformation. Ex situ measurements, such as Raman microspectroscopy and cross-sectional transmission electron microscopy, as well as in situ electrical measurements reveal the occurrence of phase transformations in all relaxed a-Si films. The preferred deformation mode of unrelaxed a-Si is plastic flow, only under certain high load conditions can this state of a-Si be forced to transform. In situ electrical measurements have revealed more detail of the transformation process during both loading and unloading. We have used ELASTICA simulations to obtain estimates of the depth of the metallic phase as a function of load, and good agreement is found with the experiment. On unloading, a clear change in electrical conductivity is observed to correlate with a "pop-out" event on load versus penetration curves.

  20. High-dose boron and silver ion implantation into PMMA probed by slow positrons: Effects of carbonization and formation of metal nanoparticles

    NASA Astrophysics Data System (ADS)

    Kavetskyy, T.; Iida, K.; Nagashima, Y.; Kuczumow, A.; Šauša, O.; Nuzhdin, V.; Valeev, V.; Stepanov, A. L.

    2017-01-01

    The Doppler broadening slow positron beam spectroscopy (SPBS) data for the previously observed effect of carbonization in high-dose (>1016 ion/cm2) 40 keV boron-ion-implanted polymethylmethacrylate (B:PMMA) and another one obtained for the effect of formation of metal nanoparticles in high-dose 30 keV silver-ion-implanted polymer (Ag:PMMA) are compared. Following to the Doppler broadening SPBS results, a difference in the high-dose ion-irradiation-induced processes in B:PMMA and Ag:PMMA is detected.

  1. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    NASA Astrophysics Data System (ADS)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  2. The effects of cortical bone thickness and trabecular bone strength on noninvasive measures of the implant primary stability using synthetic bone models.

    PubMed

    Hsu, Jui-Ting; Fuh, Lih-Jyh; Tu, Ming-Gene; Li, Yu-Fen; Chen, Kuan-Ting; Huang, Heng-Li

    2013-04-01

    This study investigated how the primary stability of a dental implant as measured by the insertion torque value (ITV), Periotest value (PTV), and implant stability quotient (ISQ) is affected by varying thicknesses of cortical bone and strengths of trabecular bone using synthetic bone models. Four synthetic cortical shells (with thicknesses of 0, 1, 2, and 3 mm) were attached to four cellular rigid polyurethane foams (with elastic moduli of 137, 47.5, 23, and 12.4 MPa) and one open-cell rigid polyurethane foam which mimic the osteoporotic bone (with an elastic modulus 6.5 MPa), to represent the jawbones with various cortical bone thicknesses and strengths of trabecular bone. A total of 60 bone specimens accompanied with implants was examined by a torque meter, Osstell resonance frequency analyzer, and Periotest electronic device. All data were statistically analyzed by two-way analysis of variance. In addition, second-order nonlinear regression was utilized to assess the correlations of the primary implant stability with the four cortex thicknesses and five strengths of trabecular bone. ITV, ISQ, and PTV differed significantly (p < .05) and were strongly correlated with the thickness of cortical bone (R(2) > 0.9) and the elastic modulus of trabecular bone (R(2) = 0.74-0.99). The initial stability at the time of implant placement is influenced by both the cortical bone thickness and the strength of trabecular bone; however, these factors are mostly nonlinearly correlated with ITV, PTV, and ISQ. Using ITV and PTV seems more suitable for identifying the primary implant stability in osteoporotic bone with a thin cortex. © 2011 Wiley Periodicals, Inc.

  3. Bioactivity of plasma implanted biomaterials

    NASA Astrophysics Data System (ADS)

    Chu, Paul K.

    2006-01-01

    Plasma immersion ion implantation and deposition (PIII&D) is an effective technique to enhance the surface bioactivity of materials. In this paper, recent progress made in our laboratory on plasma surface modification of biomedical materials is described. NiTi alloys have unique super-elastic and shape memory properties and are suitable for orthopedic implants but the leaching of toxic Ni may pose health hazards in humans. We have recently investigated the use of acetylene, oxygen and nitrogen PIII&D to prevent out-diffusion of nickel and good results have been obtained. Silicon is the most important material in the microelectronics industry but its surface biocompatibility has not been investigated in details. We have recently performed hydrogen PIII into silicon to improve the surface bioactivity and observed biomimetic growth of apatite on the surface in simulated body fluids. Diamond-like carbon (DLC) is widely used in the industry due to its excellent mechanical properties and chemical inertness and by incorporation of elements such as nitrogen and phosphorus, the surface blood compatibility can be improved. The properties as well as in vitro biological test results are discussed in this article.

  4. Sodium adsorption and diffusion on monolayer black phosphorus with intrinsic defects

    NASA Astrophysics Data System (ADS)

    Sun, Xiaoli; Wang, Zhiguo

    2018-01-01

    Monolayer black phosphorus is a potential anode material for rechargeable ion batteries. In this work, the effects of intrinsic defects including mono-vacancy (MV), di-vacancy, and Stone-Wales (SW) defects on the adsorption and diffusion of sodium on monolayer black phosphorus were investigated using first-principles calculations. The adsorption energies for sodium on monolayer black phosphorus are in the range of -1.80 to -0.56 eV, which is lower than the value of -0.48 eV for sodium adsorbed on pristine monolayer phosphorus. This indicates that these defects can enhance the adsorption of sodium on monolayer black phosphorus. The diffusivity of sodium on monolayer phosphorus with SW and MV defects is 2.35 × 10-4-3.36 × 10-6 cm2/s, and 7.38 × 10-5-1.48 × 10-9 cm2/s, respectively. Although these values are smaller than that of the pristine monolayer phosphorus at 7.38 × 10-5 cm2/s, defects are inevitably introduced during these fabrication processes. These diffusivity values are reasonable for defective monolayer phosphorus used as an effective anode for sodium ion batteries.

  5. Multiple Gas-Phase Conformations of a Synthetic Linear Poly(acrylamide) Polymer Observed Using Ion Mobility-Mass Spectrometry.

    PubMed

    Haler, Jean R N; Far, Johann; Aqil, Abdelhafid; Claereboudt, Jan; Tomczyk, Nick; Giles, Kevin; Jérôme, Christine; De Pauw, Edwin

    2017-11-01

    Ion mobility-mass spectrometry (IM-MS) has emerged as a powerful separation and identification tool to characterize synthetic polymer mixtures and topologies (linear, cyclic, star-shaped,…). Electrospray coupled to IM-MS already revealed the coexistence of several charge state-dependent conformations for a single charge state of biomolecules with strong intramolecular interactions, even when limited resolving power IM-MS instruments were used. For synthetic polymers, the sample's polydispersity allows the observation of several chain lengths. A unique collision cross-section (CCS) trend is usually observed when increasing the degree of polymerization (DP) at constant charge state, allowing the deciphering of different polymer topologies. In this paper, we report multiple coexisting CCS trends when increasing the DP at constant charge state for linear poly(acrylamide) PAAm in the gas phase. This is similar to observations on peptides and proteins. Biomolecules show in addition population changes when collisionally heating the ions. In the case of synthetic PAAm, fragmentation occurred before reaching the energy for conformation conversion. These observations, which were made on two different IM-MS instruments (SYNAPT G2 HDMS and high resolution multi-pass cyclic T-Wave prototype from Waters), limit the use of ion mobility for synthetic polymer topology interpretations to polymers where unique CCS values are observed for each DP at constant charge state. Graphical Abstract ᅟ.

  6. Multiple Gas-Phase Conformations of a Synthetic Linear Poly(acrylamide) Polymer Observed Using Ion Mobility-Mass Spectrometry

    NASA Astrophysics Data System (ADS)

    Haler, Jean R. N.; Far, Johann; Aqil, Abdelhafid; Claereboudt, Jan; Tomczyk, Nick; Giles, Kevin; Jérôme, Christine; De Pauw, Edwin

    2017-08-01

    Ion mobility-mass spectrometry (IM-MS) has emerged as a powerful separation and identification tool to characterize synthetic polymer mixtures and topologies (linear, cyclic, star-shaped,…). Electrospray coupled to IM-MS already revealed the coexistence of several charge state-dependent conformations for a single charge state of biomolecules with strong intramolecular interactions, even when limited resolving power IM-MS instruments were used. For synthetic polymers, the sample's polydispersity allows the observation of several chain lengths. A unique collision cross-section (CCS) trend is usually observed when increasing the degree of polymerization (DP) at constant charge state, allowing the deciphering of different polymer topologies. In this paper, we report multiple coexisting CCS trends when increasing the DP at constant charge state for linear poly(acrylamide) PAAm in the gas phase. This is similar to observations on peptides and proteins. Biomolecules show in addition population changes when collisionally heating the ions. In the case of synthetic PAAm, fragmentation occurred before reaching the energy for conformation conversion. These observations, which were made on two different IM-MS instruments (SYNAPT G2 HDMS and high resolution multi-pass cyclic T-Wave prototype from Waters), limit the use of ion mobility for synthetic polymer topology interpretations to polymers where unique CCS values are observed for each DP at constant charge state. [Figure not available: see fulltext.

  7. Ion implantation modified stainless steel as a substrate for hydroxyapatite deposition. Part I. Surface modification and characterization.

    PubMed

    Pramatarova, L; Pecheva, E; Krastev, V; Riesz, F

    2007-03-01

    Material surfaces play critical role in biology and medicine since most biological reactions occur on surfaces and interfaces. There are many examples showing that the surface properties of the materials control and are directly involved in biological reactions and processes in-vitro like blood compatibility, protein absorption, cell development, etc. The rules that govern the diversity of biological surface phenomenon are fundamental physical laws. Stainless steel doped with Cr, Ni and Mo is widely used material in medicine and dentistry due to its excellent corrosion resistance and mechanical properties. The interest in this material has stimulated extensive studies on improving its bone-bonding properties. This paper describes the surface modification of Cr-Ni stainless steel (AISI 316) by a whole surface sequential implantation of Ca and P ions (the basic ions of hydroxyapatite). Three groups of stainless steel samples are prepared: (i) ion-implanted, (ii) ion-implanted and thermally treated at 600( composite function)C in air for 1 h and (iii) initials. The surface chemistry and topography before and after the surface modification are characterized by X-ray photoelectron spectroscopy, Auger electron spectroscopy, magic mirror method, atomic force microscopy and contact angle measurements.

  8. Au Colloids Formed by Ion Implantation in Muscovite Mica Studied by Vibrational and Electronic Spectroscopes and Atomic Force Microscopy

    NASA Technical Reports Server (NTRS)

    Tung, Y. S.; Henderson, D. O.; Mu, R.; Ueda, A.; Collins, W. E.; White, C. W.; Zuhr, R. A.; Zhu, Jane G.

    1997-01-01

    Au was implanted into the (001) surface of Muscovite mica at an energy of 1.1 MeV and at doses of 1, 3, 6, and 10 x 10(exp 16) ions/cu cm. Optical spectra of the as-implanted samples revealed a peak at 2.28 eV (545 nm) which is attributed to the surface plasmon absorption of Au colloids. The infrared reflectance measurements show a decreasing reflectivity with increasing ion dose in the Si-O stretching region (900-1200 /cm). A new peak observed at 967 /cm increases with the ion dose and is assigned to an Si-O dangling bond. Atomic force microscopy images of freshly cleaved samples implanted with 6 and 10 x 10(exp 16) ions/sq cm indicated metal colloids with diameters between 0.9- 1.5 nm. AFM images of the annealed samples showed irregularly shaped structures with a topology that results from the fusion of smaller colloids.

  9. Association Between Patient Factors and Outcome of Synthetic Cartilage Implant Hemiarthroplasty vs First Metatarsophalangeal Joint Arthrodesis in Advanced Hallux Rigidus.

    PubMed

    Goldberg, Andy; Singh, Dishan; Glazebrook, Mark; Blundell, Chris M; De Vries, Gwyneth; Le, Ian L D; Nielsen, Dominic; Pedersen, M Elizabeth; Sakellariou, Anthony; Solan, Matthew; Younger, Alastair S E; Daniels, Timothy R; Baumhauer, Judith F

    2017-11-01

    We evaluated data from a clinical trial of first metatarsophalangeal joint (MTPJ1) implant hemiarthroplasty and arthrodesis to determine the association between patient factors and clinical outcomes. Patients ≥18 years with hallux rigidus grade 2, 3, or 4 were treated with synthetic cartilage implant MTPJ1 hemiarthroplasty or arthrodesis. Pain visual analog scale (VAS), Foot and Ankle Ability Measure (FAAM) sports and activities of daily living (ADL) scores, and Short Form-36 Physical Function (SF-36 PF) subscore were obtained preoperatively, and at 2, 6, 12, 24, 52, and 104 weeks postoperatively. Final outcome data, great toe active dorsiflexion motion, secondary procedures, radiographs, and safety parameters were evaluated for 129 implant hemiarthroplasties and 47 arthrodeses. The composite primary endpoint criteria for clinical success included VAS pain reduction ≥30%, maintenance/improvement in function, no radiographic complications, and no secondary surgical intervention at 24 months. Predictor variables included hallux rigidus grade; gender; age; body mass index (BMI); symptom duration; prior MTPJ1 surgery; preoperative hallux valgus angle, range of motion (ROM), and pain. Two-sided Fisher exact test was used ( P < .05). Patient demographics and baseline outcome measures were similar. Success rates between implant MTPJ1 hemiarthroplasty and arthrodesis were similar ( P > .05) when stratified by hallux rigidus grade, gender, age, BMI, symptom duration, prior MTPJ1 surgery status, and preoperative VAS pain, hallux valgus, and ROM. Synthetic cartilage implant hemiarthroplasty was appropriate for patients with grade 2, 3, or 4 hallux rigidus. Its results in those with associated mild hallux valgus (≤20 degrees) or substantial preoperative stiffness were equivalent to MTPJ1 fusion, irrespective of gender, age, BMI, hallux rigidus grade, preoperative pain or symptom duration. Level II, randomized clinical trial.

  10. Ion implantation modified stainless steel as a substrate for hydroxyapatite deposition. Part II. Biomimetic layer growth and characterization.

    PubMed

    Pramatarova, L; Pecheva, E; Krastev, V

    2007-03-01

    The interest in stainless steel as a material widely used in medicine and dentistry has stimulated extensive studies on improving its bone-bonding properties. AISI 316 stainless steel is modified by a sequential ion implantation of Ca and P ions (the basic ions of hydroxyapatite), and by Ca and P implantation and subsequent thermal treatment in air (600( composite function)C, 1 h). This paper investigates the ability of the as-modified surfaces to induce hydroxyapatite deposition by using a biomimetic approach, i.e. immersion in a supersaturated aqueous solution resembling the human blood plasma (the so-called simulated body fluid). We describe our experimental procedure and results, and discuss the physico-chemical properties of the deposed hydroxyapatite on the modified stainless steel surfaces. It is shown that the implantation of a selected combination of ions followed by the applied methodology of the sample soaking in the simulated body fluid yield the growth of hydroxyapatite layers with composition and structure resembling those of the bone apatite. The grown layers are found suitable for studying the process of mineral formation in nature (biomineralization).

  11. A prospective 1-year clinical and radiographic study of implants placed after maxillary sinus floor augmentation with synthetic biphasic calcium phosphate or deproteinized bovine bone.

    PubMed

    Lindgren, Christer; Mordenfeld, Arne; Hallman, Mats

    2012-03-01

    The technique of using bone grafts or different biomaterials for augmentation of the maxillary sinus prior to implant placement is well accepted by clinicians. However, clinical documentation of some bone substitutes is still lacking. This prospective study was designed to evaluate the success rate of implants placed after maxillary sinus augmentation with a novel synthetic biphasic calcium phosphate (BCP) or deproteinized bovine bone (DBB), the latter acting as control. Nine edentulous patients and two partially edentulous patients with a mean age of 67 years with a bilateral need for sinus augmentation, < 5 mm residual bone in the floor of the sinus and a crestal width ≥ 4 mm, were included in the study. After bilateral elevation of the Schneiderian membrane, all patients were randomized for augmentation with synthetic BCP in one side and DBB in the contralateral side. After 8 months of graft healing, 62 implants with an SLActive surface were placed. Implant survival, graft resorption, plaque index, bleeding on probing, sulcus bleeding index, probing pocket depth, and implant success rate were evaluated after 1 year of functional loading. After a mean of 118 days, all patients received their fixed prosthetic constructions. One implant was lost in each biomaterial, giving an overall survival rate of 96.8%. Success rates for implants placed in BCP and DBB were 91.7 and 95.7%, respectively. No significant difference in marginal bone loss was found around implants placed in BCP, DBB, or residual bone, respectively. The mean graft resorption was 0.43 mm (BCP) and 0.29 mm (DBB). In this limited study, implant success rate was not dependent on the biomaterial used for maxillary sinus augmentation. Similar results were found after 1 year of functional loading for implants placed after sinus augmentation using BCP or DBB. © 2010 Wiley Periodicals, Inc.

  12. Impact energy and retained dose uniformity in enhanced glow discharge plasma immersion ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Q. Y.; Fu, Ricky K. Y.; Chu, Paul K.

    2009-08-10

    The implantation energy and retained dose uniformity in enhanced glow discharge plasma immersion ion implantation (EGD-PIII) is investigated numerically and experimentally. Depth profiles obtained from different samples processed by EGD-PIII and traditional PIII are compared. The retained doses under different pulse widths are calculated by integrating the area under the depth profiles. Our results indicate that the improvement in the impact energy and retained dose uniformity by this technique is remarkable.

  13. Slow positron beam study of hydrogen ion implanted ZnO thin films

    NASA Astrophysics Data System (ADS)

    Hu, Yi; Xue, Xudong; Wu, Yichu

    2014-08-01

    The effects of hydrogen related defect on the microstructure and optical property of ZnO thin films were investigated by slow positron beam, in combination with x-ray diffraction, infrared and photoluminescence spectroscopy. The defects were introduced by 90 keV proton irradiation with doses of 1×1015 and 1×1016 ions cm-2. Zn vacancy and OH bonding (VZn+OH) defect complex were identified in hydrogen implanted ZnO film by positron annihilation and infrared spectroscopy. The formation of these complexes led to lattice disorder in hydrogen implanted ZnO film and suppressed the luminescence process.

  14. Structural and electrical properties of Se-hyperdoped Si via ion implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Liu, Fang; Prucnal, S.; Yuan, Ye; Heller, R.; Berencén, Y.; Böttger, R.; Rebohle, L.; Skorupa, W.; Helm, M.; Zhou, S.

    2018-06-01

    We report on the hyperdoping of silicon with selenium obtained by ion implantation followed by flash lamp annealing. It is shown that the degree of crystalline lattice recovery of the implanted layers and the Se substitutional fraction depend on the pulse duration and energy density of the flash. While the annealing at low energy densities leads to an incomplete recrystallization, annealing at high energy densities results in a decrease of the substitutional fraction of impurities. The electrical properties of the implanted layers are well-correlated with the structural properties resulting from different annealing processing.

  15. A study of the wear behaviour of ion implanted pure iron

    NASA Astrophysics Data System (ADS)

    Goode, P. D.; Peacock, A. T.; Asher, J.

    1983-05-01

    The technique of Thin Layer Activation (TLA) has been used to monitor disc wear in pin-on-disc wear tests. By simultaneously monitoring the pin wear the relationship between the wear rates of the two components of the wear couple has been studied. Tests were carried out using untreated pins wearing against ion implanted and untreated pure iron discs. The ratio of pin/disc volumetric wear rates was found to be constant in tests with unimplanted discs. In the implanted case the ratio was 8 initially, rising to the unimplatned value of 24 by a sliding distance of 25 km. The relationship between pin and disc wear after nitrogen implantation of the disc was approximately independent of dose between values of 7×10 16 and 1.2×10 18 N atoms cm -2. The actual wear rates of both pin and disc were significantly lower after implantation with the greater effects being observed om the unimplanted pin. The effects are explained in terms of the model of oxidative wear. In the unimplanted case the high pin wear relative to disc wear is considered to result from the higher mean temperature of pin asperities. Implantation appears to alter the mean asperity temperatures in such a way as to reduce the oxidation rate of the pin preferentially. Alternatively the effect of the implantation could be to reduce the critical thickness for removal of oxide formed on disc asperities.

  16. Temperature dependences of the photoluminescence intensities of centers in silicon implanted with erbium and oxygen ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobolev, N. A., E-mail: nick@sobolev.ioffe.rssi.ru; Shtel’makh, K. F.; Kalyadin, A. E.

    2015-12-15

    Low-temperature photoluminescence in n-Cz-Si after the implantation of erbium ions at an elevated temperature and subsequent implantation of oxygen ions at room temperature is studied. So-called X and W centers formed from self-interstitial silicon atoms, H and P centers containing oxygen atoms, and Er centers containing Er{sup 3+} ions are observed in the photoluminescence spectra. The energies of enhancing and quenching of photoluminescence for these centers are determined. These energies are determined for the first time for X and H centers. In the case of P and Er centers, the values of the energies practically coincide with previously published data.more » For W centers, the energies of the enhancing and quenching of photoluminescence depend on the conditions of the formation of these centers.« less

  17. Ultra-fast vapour-liquid-solid synthesis of Si nanowires using ion-beam implanted gallium as catalyst.

    PubMed

    Hetzel, Martin; Lugstein, Alois; Zeiner, Clemens; Wójcik, Tomasz; Pongratz, Peter; Bertagnolli, Emmerich

    2011-09-30

    The feasibility of gallium as a catalyst for vapour-liquid-solid (VLS) nanowire (NW) growth deriving from an implantation process in silicon by a focused ion beam (FIB) is investigated. Si(100) substrates are subjected to FIB implantation of gallium ions with various ion fluence rates. NW growth is performed in a hot wall chemical vapour deposition (CVD) reactor at temperatures between 400 and 500 °C with 2% SiH(4)/He as precursor gas. This process results in ultra-fast growth of (112)- and (110)-oriented Si-NWs with a length of several tens of micrometres. Further investigation by transmission electron microscopy indicates the presence of a NW core-shell structure: while the NW core yields crystalline structuring, the shell consists entirely of amorphous material.

  18. Gallium nitride junction field-effect transistor

    DOEpatents

    Zolper, J.C.; Shul, R.J.

    1999-02-02

    An ion implanted gallium-nitride (GaN) junction field-effect transistor (JFET) and method of making the same are disclosed. Also disclosed are various ion implants, both n- and p-type, together with or without phosphorus co-implantation, in selected III-V semiconductor materials. 19 figs.

  19. Technology for recovery of phosphorus from animal wastewater through calcium phosphate precipitation

    USDA-ARS?s Scientific Manuscript database

    A wastewater treatment process was developed for removal of phosphorus from livestock wastewater. The phosphorus is recovered as calcium phosphate with addition of only small quantities of liquid lime. The process is based on the distinct chemical equilibrium between phosphorus and calcium ions when...

  20. Improvement of Vitamin K2 Production by Escherichia sp. with Nitrogen Ion Beam Implantation Induction

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Wang, Li; Zheng, Zhiming; Wang, Peng; Zhao, Genhai; Liu, Hui; Gong, Guohong; Wu, Hefang; Liu, Hongxia; Tan, Mu; Li, Zhemin

    2015-02-01

    Low-energy ion implantation as a novel mutagen has been increasingly applied in the microbial mutagenesis for its higher mutation frequency and wider mutation spectra. In this work, N+ ion beam implantation was used to enhance Escherichia sp. in vitamin K2 yield. Optimization of process parameters under submerged fermentation was carried out to improve the vitamin K2 yield of mutant FM5-632. The results indicate that an excellent mutant FM5-632 with a yield of 123.2±1.6 μg/L, that is four times that of the original strain, was achieved by eight successive implantations under the conditions of 15 keV and 60×2.6×1013 ions/cm2. A further optimization increased the yield of the mutant by 39.7%, i.e. 172.1±1.2 μg/L which occurred in the mutant cultivated in the optimal fermentation culture medium composed of (per liter): 15.31 g glycerol, 10 g peptone, 2.89 g yeast extract, 5 g K2HPO4, 1 g NaCl, 0.5 g MgSO4·7H2O and 0.04 g cedar wood oil, incubated at 33 °C, pH 7.0 and 180 rpm for 120 h.

  1. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  2. Resonance ultrasonic vibrations in Cz-Si wafers as a possible diagnostic technique in ion implantation

    NASA Astrophysics Data System (ADS)

    Zhao, Z. Y.; Ostapenko, S.; Anundson, R.; Tvinnereim, M.; Belyaev, A.; Anthony, M.

    2001-07-01

    The semiconductor industry does not have effective metrology for well implants. The ability to measure such deep level implants will become increasingly important as we progress along the technology road map. This work explores the possibility of using the acoustic whistle effect on ion implanted silicon wafers. The technique detects the elastic stress and defects in silicon wafers by measuring the sub-harmonic f/2 resonant vibrations on a wafer induced via backside contact to create standing waves, which are measured by a non-contact ultrasonic probe. Preliminary data demonstrates that it is sensitive to implant damage, and there is a direct correlation between this sub-harmonic acoustic mode and some of the implant and anneal conditions. This work presents the results of a feasibility study to assess and quantify the correspondent whistle effect to implant damage, residual damage after annealing and intrinsic defects.

  3. Real World Experience With Ion Implant Fault Detection at Freescale Semiconductor

    NASA Astrophysics Data System (ADS)

    Sing, David C.; Breeden, Terry; Fakhreddine, Hassan; Gladwin, Steven; Locke, Jason; McHugh, Jim; Rendon, Michael

    2006-11-01

    The Freescale automatic fault detection and classification (FDC) system has logged data from over 3.5 million implants in the past two years. The Freescale FDC system is a low cost system which collects summary implant statistics at the conclusion of each implant run. The data is collected by either downloading implant data log files from the implant tool workstation, or by exporting summary implant statistics through the tool's automation interface. Compared to the traditional FDC systems which gather trace data from sensors on the tool as the implant proceeds, the Freescale FDC system cannot prevent scrap when a fault initially occurs, since the data is collected after the implant concludes. However, the system can prevent catastrophic scrap events due to faults which are not detected for days or weeks, leading to the loss of hundreds or thousands of wafers. At the Freescale ATMC facility, the practical applications of the FD system fall into two categories: PM trigger rules which monitor tool signals such as ion gauges and charge control signals, and scrap prevention rules which are designed to detect specific failure modes that have been correlated to yield loss and scrap. PM trigger rules are designed to detect shifts in tool signals which indicate normal aging of tool systems. For example, charging parameters gradually shift as flood gun assemblies age, and when charge control rules start to fail a flood gun PM is performed. Scrap prevention rules are deployed to detect events such as particle bursts and excessive beam noise, events which have been correlated to yield loss. The FDC system does have tool log-down capability, and scrap prevention rules often use this capability to automatically log the tool into a maintenance state while simultaneously paging the sustaining technician for data review and disposition of the affected product.

  4. Simultaneous Sterilization With Surface Modification Of Plastic Bottle By Plasma-Based Ion Implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakudo, N.; Ikenaga, N.; Ikeda, F.

    2011-01-07

    Dry sterilization of polymeric material is developed. The technique utilizes the plasma-based ion implantation which is same as for surface modification of polymers. Experimental data for sterilization are obtained by using spores of Bacillus subtilis as samples. On the other hand we previously showed that the surface modification enhanced the gas barrier characteristics of plastic bottles. Comparing the implantation conditions for the sterilization experiment with those for the surface modification, we find that both sterilization and surface modification are simultaneously performed in a certain range of implantation conditions. This implies that the present bottling system for plastic vessels will bemore » simplified and streamlined by excluding the toxic peroxide water that has been used in the traditional sterilization processes.« less

  5. Effect of Fe-ion implantation doping on structural and optical properties of CdS thin films

    NASA Astrophysics Data System (ADS)

    Chandramohan, S.; Kanjilal, A.; Sarangi, S. N.; Majumder, S.; Sathyamoorthy, R.; Som, T.

    2010-06-01

    We report on effects of Fe implantation doping-induced changes in structural, optical, morphological, and vibrational properties of cadmium sulfide thin films. Films were implanted with 90 keV Fe+ ions at room temperature for a wide range of fluences from 0.1×1016 to 3.6×1016 ions cm-2 (corresponding to 0.38-12.03 at.% of Fe). Glancing angle X-ray diffraction analysis revealed that the implanted Fe atoms tend to supersaturate by occupying the substitutional cationic sites rather than forming metallic clusters or secondary phase precipitates. In addition, Fe doping does not lead to any structural phase transformation although it induces structural disorder and lattice contraction. Optical absorption studies show a reduction in the optical band gap from 2.39 to 2.17 eV with increasing Fe concentration. This is attributed to disorder-induced band tailing in semiconductors and ion-beam-induced grain growth. The strain associated with a lattice contraction is deduced from micro-Raman scattering measurements and is found that size and shape fluctuations of grains, at higher fluences, give rise to inhomogeneity in strain.

  6. Effect of Ti Substrate Ion Implantation on the Physical Properties of Anodic TiO2 Nanotubes

    NASA Astrophysics Data System (ADS)

    Jedi-Soltanabadi, Zahra; Ghoranneviss, Mahmood; Ghorannevis, Zohreh; Akbari, Hossein

    2018-03-01

    The influence of nitrogen-ion implantation on the titanium (Ti) surface is studied. The nontreated Ti and the Ti treated with ion implantation were anodized in an ethylene-glycol-based electrolyte solution containing 0.3 wt% ammonium fluoride (NH4F) and 3 vol% deionized (DI) water at a potential of 60 V for 1 h at room temperature. The current density during the growth of the TiO2 nanotubes was monitored in-situ. The surface roughnesses of the Ti substrates before and after the ion implantation were investigated with atomic force microscopy (AFM). The surface roughness was lower for the treated Ti substrate. The morphology of the anodic TiO2 nanotubes was studied by using field-emission scanning electron microscopy (FESEM). Clearly, the titanium nanotubes grown on the treated substrate were longer. In addition, some ribs were observed on their walls. The optical band gap of the anodic TiO2 nanotubes was characterized by using a diffuse reflection spectral (DRS) analysis. The anodic TiO2 nanotubes grown on the treated Ti substrate revealed a band gap energy of approximately 3.02 eV.

  7. Mechanical properties improvement of pulsed laser-deposited hydroxyapatite thin films by high energy ion-beam implantation

    NASA Astrophysics Data System (ADS)

    Nelea, V.; Pelletier, H.; Müller, D.; Broll, N.; Mille, P.; Ristoscu, C.; Mihailescu, I. N.

    2002-01-01

    Major problems in the hydroxyapatite (HA), Ca 5(PO 4) 3OH, thin films processing still keep the poor mechanical properties and the lack in density. We present a study on the feasibility of high energy ion-beam implantation technique to densify HA bioceramic films. Crystalline HA films were grown by pulsed laser deposition (PLD) method using an excimer KrF ∗ laser ( λ=248 nm, τ FWHM≥20 ns). The films were deposited on Ti-5Al-2.5Fe alloys substrates previously coated with a ceramic TiN buffer layer. After deposition the films were implanted with Ar + ions at high energy. Optical microscopy (OM), white light confocal microscopy (WLCM), grazing incidence X-ray diffraction (GIXRD) and Berkovich nanoindentation in normal and scratch options have been applied for the characterization of the obtained structures. We put into evidence an enhancement of the mechanical characteristics after implantation, while GIXRD measurements confirm that the crystalline structure of HA phase is preserved. The improvement in mechanical properties is an effect of a densification after ion treatment as a result of pores elimination and grains regrowth.

  8. Breeding of D(-)-lactic acid high producing strain by low-energy ion implantation and preliminary analysis of related metabolism.

    PubMed

    Xu, Ting-Ting; Bai, Zhong-Zhong; Wang, Li-Juan; He, Bing-Fang

    2010-01-01

    The low-energy nitrogen ion beam implantation technique was used in the breeding of mutant D(-)-lactic-acid-producing strains. The wild strain Sporolactobacillus sp. DX12 was mutated by an N(+) ion beam with energy of 10keV and doses ranging from 0.4 x 10(15) to 6.60 x 10(15) ions/cm(2). Combined with an efficient screening method, an efficient mutant Y2-8 was selected after two times N(+) ion beam implantation. By using the mutant Y2-8, 121.6g/l of D-lactic acid was produced with the molar yields of 162.1% to the glucose. The yield of D-lactic acid by strain Y2-8 was 198.8% higher than the wild strain. Determination of anaerobic metabolism by Biolog MT2 was used to analyze the activities of the concerned enzymes in the lactic acid metabolic pathway. The results showed that the activities of the key enzymes responded on the substrates such as 6-phosphofructokinase, pyruvate kinase, and D-lactate dehydrogenase were considerably higher in the mutants than the wild strain. These might be affected by ion beam implantation.

  9. Dimer self-organization of impurity ytterbium ions in synthetic forsterite single crystals

    NASA Astrophysics Data System (ADS)

    Tarasov, V. F.; Sukhanov, A. A.; Dudnikova, V. B.; Zharikov, E. V.; Lis, D. A.; Subbotin, K. A.

    2017-07-01

    Paramagnetic centers formed by impurity Yb3+ ions in synthetic forsterite (Mg2SiO4) grown by the Czochralski technique are studied by X-band CW and pulsed EPR spectroscopy. These centers are single ions substituting magnesium in two different crystallographic positions denoted M1 and M2, and dimer associates formed by two Yb3+ ions in nearby positions M1. It is established that there is a pronounced mechanism favoring self-organization of ytterbium ions in dimer associates during the crystal growth, and the mechanism of the spin-spin coupling between ytterbium ions in the associate has predominantly a dipole-dipole character, which makes it possible to control the energy of the spin-spin interaction by changing the orientation of the external magnetic field. The structural computer simulation of cluster ytterbium centers in forsterite crystals is carried out by the method of interatomic potentials using the GULP 4.0.1 code (General Utility Lattice Program). It is established that the formation of dimer associates in the form of a chain parallel to the crystallographic axis consisting of two ytterbium ions with a magnesium vacancy between them is the most energetically favorable for ytterbium ions substituting magnesium in the position M1.

  10. N doped ZnO and ZnO nanorods based p-n homojunction fabricated by ion implantation

    NASA Astrophysics Data System (ADS)

    Chakraborty, Mohua; Thangavel, R.; Asokan, K.

    2018-05-01

    Nitrogen (N) doped and undoped Zinc Oxide (ZnO) nanorod p-n homojunctions were fabricated by ion implantation method. The structural and optical characterizations showed that the N atoms doped into the ZnO crystal lattice. The UV-Vis absorption spectra revealed shift in optical absorption edge towards higher wavelength with ion implantation on ZnO, which attributed N acceptor levels above the valence band. The current-voltage (I-V) measurements exhibit a typical semiconductor rectification characteristic indicating the electrical conductivity of the N-doped ZnO nanorod have p-type conductivity. Moreover, a high photocurrent response has been observed with these p-n homojunctions.

  11. Prospective, Randomized, Multi-centered Clinical Trial Assessing Safety and Efficacy of a Synthetic Cartilage Implant Versus First Metatarsophalangeal Arthrodesis in Advanced Hallux Rigidus.

    PubMed

    Baumhauer, Judith F; Singh, Dishan; Glazebrook, Mark; Blundell, Chris; De Vries, Gwyneth; Le, Ian L D; Nielsen, Dominic; Pedersen, M Elizabeth; Sakellariou, Anthony; Solan, Matthew; Wansbrough, Guy; Younger, Alastair S E; Daniels, Timothy

    2016-05-01

    Although a variety of great toe implants have been tried in an attempt to maintain toe motion, the majority have failed with loosening, malalignment/dislocation, implant fragmentation and bone loss. In these cases, salvage to arthrodesis is more complicated and results in shortening of the ray or requires structural bone graft to reestablish length. This prospective study compared the efficacy and safety of this small (8/10 mm) hydrogel implant to the gold standard of a great toe arthrodesis for advanced-stage hallux rigidus. In this prospective, randomized non-inferiority study, patients from 12 centers in Canada and the United Kingdom were randomized (2:1) to a synthetic cartilage implant or first metatarsophalangeal (MTP) joint arthrodesis. VAS pain scale, validated outcome measures (Foot and Ankle Ability Measure [FAAM] sport scale), great toe active dorsiflexion motion, secondary procedures, radiographic assessment, and safety parameters were evaluated. Analysis was performed using intent-to-treat (ITT) and modified ITT (mITT) methodology. The primary endpoint for the study consisted of a single composite endpoint using the 3 primary study outcomes (pain, function, and safety). The individual subject's outcome was considered a success if all of the following criteria were met: (1) improvement (decrease) from baseline in VAS pain of ≥30% at 12 months; (2) maintenance of function from baseline in FAAM sports subscore at 12 months; and (3) absence of major safety events at 2 years. The proportion of successes in each group was determined and 1-sided 95% confidence interval for the difference between treatment groups was calculated. Noninferiority of the implant to arthrodesis was considered statistically significant if the 1-sided 95% lower confidence interval was greater than the equivalence limit (<15%). A total of 236 patients were initially enrolled; 17 patients withdrew prior to randomization, 17 patients withdrew after randomization, and 22 were

  12. Surface topographical and structural analysis of Ag+-implanted polymethylmethacrylate

    NASA Astrophysics Data System (ADS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Naab, Fabian; Toader, Ovidiu; Sagheer, Riffat; Bashir, Shazia; Zia, Rehana; Siraj, Khurram; Iqbal, Saman

    2016-08-01

    Specimens of polymethylmethacrylate (PMMA) were implanted with 400-keV Ag+ ions at different ion fluences ranging from 1 × 1014 to 5 × 1015 ions/cm2 using a 400-kV NEC ion implanter. The surface topographical features of the implanted PMMA were investigated by a confocal microscope. Modifications in the structural properties of the implanted specimens were analyzed in comparison with pristine PMMA by X-ray diffraction (XRD) and Raman spectroscopy. UV-Visible spectroscopy was applied to determine the effects of ion implantation on optical transmittance of the implanted PMMA. The confocal microscopic images revealed the formation of hillock-like microstructures along the ion track on the implanted PMMA surface. The increase in ion fluence led to more nucleation of hillocks. The XRD pattern confirmed the amorphous nature of pristine and implanted PMMA, while the Raman studies justified the transformation of Ag+-implanted PMMA into amorphous carbon at the ion fluence of ⩾5 × 1014 ions/cm2. Moreover, the decrease in optical transmittance of PMMA is associated with the formation of hillocks and ion-induced structural modifications after implantation.

  13. Nonvolatile memories using deep traps formed in HfO2 by Nb ion implantation

    NASA Astrophysics Data System (ADS)

    Choul Kim, Min; Oh Kim, Chang; Taek Oh, Houng; Choi, Suk-Ho; Belay, K.; Elliman, R. G.; Russo, S. P.

    2011-03-01

    We report nonvolatile memories (NVMs) based on deep-energy trap levels formed in HfO2 by metal ion implantation. A comparison of Nb- and Ta-implanted samples shows that suitable charge-trapping centers are formed in Nb-implanted samples, but not in Ta-implanted samples. This is consistent with density-functional theory calculations which predict that only Nb will form deep-energy levels in the bandgap of HfO2. Photocurrent spectroscopy exhibits characteristics consistent with one of the trap levels predicted in these calculations. Nb-implanted samples showing memory windows in capacitance-voltage (V) curves always exhibit current (I) peaks in I-V curves, indicating that NVM effects result from deep traps in HfO2. In contrast, Ta-implanted samples show dielectric breakdowns during the I-V sweeps between 5 and 11 V, consistent with the fact that no trap levels are present. For a sample implanted with a fluence of 1013 Nb cm-2, the charge losses after 104 s are ˜9.8 and ˜25.5% at room temperature (RT) and 85°C, respectively, and the expected charge loss after 10 years is ˜34% at RT, very promising for commercial NVMs.

  14. Applications of ions produced by low intensity repetitive laser pulses for implantation into semiconductor materials

    NASA Astrophysics Data System (ADS)

    Wołowski, J.; Badziak, J.; Czarnecka, A.; Parys, P.; Pisarek, M.; Rosinski, M.; Turan, R.; Yerci, S.

    This work reports experiment concerning specific applications of implantation of laser-produced ions for production of semiconductor nanocrystals. The investigation was carried out in the IPPLM within the EC STREP `SEMINANO' project. A repetitive pulse laser system of parameters: energy up to 0.8 J in a 3.5 ns-pulse, wavelength of 1.06 μ m, repetition rate of up to 10 Hz, has been employed in these investigations. The characterisation of laser-produced ions was performed with the use of `time-of-flight' ion diagnostics simultaneously with other diagnostic methods in dependence on laser pulse parameters, illumination geometry and target material. The properties of laser-implanted and modified SiO2 layers on sample surface were characterised with the use of different methods (XPS + ASD, Raman spectroscopy, PL spectroscopy) at the Middle East Technological University in Ankara and at the Warsaw University of Technology. The production of the Ge nanocrystallites has been demonstrated for annealed samples prepared in different experimental conditions.

  15. Potential role of ammoniagenesis in the hypocalciuric effect of phosphorus in rats.

    PubMed

    Cerklewski, F L

    1995-02-01

    Hypocalciuria associated with a high phosphorus intake is known to be both a parathyroid hormone and non-parathyroid hormone dependent event. The present study was designed to define the role that ammoniagenesis may play in the non-parathyroid hormone dependent pathway. Male rats, initially weighing 160 g, were fed a purified diet containing, in g/kg diet, a single level of protein (200) and variable inorganic phosphorus (1.8, 4.5, 9.0) for 20 days. Food intake and body weight were similar for the three groups. Significant inverse correlations were found for both urinary calcium and phosphorus and for urinary ammonia nitrogen and calcium excretion (r = -0.62, p < 0.01). Urinary ammonia nitrogen excretion was highly correlated with both phosphorus intake (r = 0.89, p < 0.001) and urinary phosphorus (r = 0.88, p < 0.001). Urinary urea nitrogen tended to vary inversely with phosphorus intake. High dietary phosphorus decreased the activity of glutamine synthetase and increased the activity of glutaminase I in kidney. Tying-up some of the hydrogen ions destined for excretion by phosphorus-stimulated ammoniagenesis could reduce the interfering effect of hydrogen ion on kidney calcium reabsorption and provide a mechanism to explain why phosphorus can have a direct positive impact upon tubular calcium reabsorption.

  16. Study of the effects of focused high-energy boron ion implantation in diamond

    NASA Astrophysics Data System (ADS)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  17. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    NASA Astrophysics Data System (ADS)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  18. Structural properties of buried conducting layers formed by very low energy ion implantation of gold into polymer

    NASA Astrophysics Data System (ADS)

    Teixeira, F. S.; Salvadori, M. C.; Cattani, M.; Brown, I. G.

    2009-09-01

    We have investigated the fundamental structural properties of conducting thin films formed by implanting gold ions into polymethylmethacrylate (PMMA) polymer at 49 eV using a repetitively pulsed cathodic arc plasma gun. Transmission electron microscopy images of these composites show that the implanted ions form gold clusters of diameter ˜2-12 nm distributed throughout a shallow, buried layer of average thickness 7 nm, and small angle x-ray scattering (SAXS) reveals the structural properties of the PMMA-gold buried layer. The SAXS data have been interpreted using a theoretical model that accounts for peculiarities of disordered systems.

  19. Electrochemical quantification of iodide ions in synthetic urine using silver nanoparticles: a proof-of-concept.

    PubMed

    Toh, Her Shuang; Tschulik, Kristina; Batchelor-McAuley, Christopher; Compton, Richard G

    2014-08-21

    Typical urinary iodide concentrations range from 0.3 μM to 6.0 μM. The conventional analytical method is based on the Sandell-Kolthoff reaction. It involves the toxic reagent, arsenic acid, and a waiting time of 30 minutes for the iodide ions to reduce the cerium(iv) ions. In the presented work, an alternative fast electrochemical method based on a silver nanoparticle modified electrode is proposed. Cyclic voltammetry was performed with a freshly modified electrode in presence of iodide ions and the voltammetric peaks corresponding to the oxidation of silver to silver iodide and the reverse reaction were recorded. The peak height of the reduction signal of silver iodide was used to plot a calibration line for the iodide ions. Two calibration plots for the iodide ions were obtained, one in 0.1 M sodium nitrate (a chloride-ion free environment to circumvent any interference from the other halides) and another in synthetic urine (which contains 0.2 M KCl). In both of the calibration plots, linear relationships were found between the reduction peak height and the iodide ion concentration of 0.3 μM to 6.0 μM. A slope of 1.46 × 10(-2) A M(-1) and a R(2) value of 0.999 were obtained for the iodide detection in sodium nitrate. For the synthetic urine experiments, a slope of 3.58 × 10(-3) A M(-1) and a R(2) value of 0.942 were measured. A robust iodide sensor with the potential to be developed into a point-of-care system has been validated.

  20. Characterization of retrieved orthodontic miniscrew implants.

    PubMed

    Eliades, Theodore; Zinelis, Spiros; Papadopoulos, Moschos A; Eliades, George

    2009-01-01

    The purposes of this study were to characterize the morphologic, structural, and compositional alterations and to assess any hardness changes in used orthodontic miniscrew implants. Eleven miniscrew implants (Aarhus Anchorage System, Medicon eG, Tuttlingen, Germany) placed in 5 patients were retrieved after successful service of 3.5 to 17.5 months; none showed signs of mobility or failure. These implants, and brand-, type-, and size-matched specimens as controls, were subjected to multi-technique characterization. Optical microscopy indicated loss of gloss with variable discoloration. Scanning electron microscopy and x-ray microanalysis showed morphologic alteration of the miniscrew implant surfaces with integuments formed on the surface. The materials precipitated on the surfaces were sodium, potassium, chlorine, iron, calcium, and phosphorus from the contact of the implant with biologic fluids such as blood and exudates, forming sodium chloride, potassium chloride, and calcium-phosphorus precipitates. The composition of the implant was similar to that of a titanium alloy. X-ray microtomography analysis showed no bulk structure alterations. Vickers microhardness testing showed no increased bulk or surface hardness of the retrieved specimens compared with the controls, excluding the possibility of strain-hardening phenomena as a result of self-tapping and self-drilling placement and related loading conditions. Used titanium-alloy miniscrew implants have morphologic and surface structural alterations including adsorption of an integument that is calcified as a result of contact of the implants with biologic fluids. Randomly organized osseointegration islets on these smooth titanium-alloy miniscrew surfaces might be enhanced by the extended period of retention in alveolar bone in spite of the smooth surface and immediate loading pattern of these implants.

  1. The use of an ion-beam source to alter the surface morphology of biological implant materials

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.

    1978-01-01

    An electron bombardment, ion thruster was used as a neutralized-ion beam sputtering source to texture the surfaces of biological implant materials. Scanning electron microscopy was used to determine surface morphology changes of all materials after ion-texturing. Electron spectroscopy for chemical analysis was used to determine the effects of ion texturing on the surface chemical composition of some polymers. Liquid contact angle data were obtained for ion textured and untextured polymer samples. Results of tensile and fatigue tests of ion-textured metal alloys are presented. Preliminary data of tissue response to ion textured surfaces of some metals, polytetrafluoroethylene, alumina, and segmented polyurethane were obtained.

  2. Depth profiling of high energy nitrogen ions implanted in the <1 0 0>, <1 1 0> and randomly oriented silicon crystals

    NASA Astrophysics Data System (ADS)

    Erić, M.; Petrović, S.; Kokkoris, M.; Lagoyannis, A.; Paneta, V.; Harissopulos, S.; Telečki, I.

    2012-03-01

    This work reports on the experimentally obtained depth profiles of 4 MeV 14N2+ ions implanted in the <1 0 0>, <1 1 0> and randomly oriented silicon crystals. The ion fluence was 1017 particles/cm2. The nitrogen depth profiling has been performed using the Nuclear Reaction Analysis (NRA) method, via the study of 14N(d,α0)12C and 14N(d,α1)12C nuclear reactions, and with the implementation of SRIM 2010 and SIMNRA computer simulation codes. For the randomly oriented silicon crystal, change of the density of silicon matrix and the nitrogen "bubble" formation have been proposed as the explanation for the difference between the experimental and simulated nitrogen depth profiles. During the implantation, the RBS/C spectra were measured on the nitrogen implanted and on the virgin crystal spots. These spectra provide information on the amorphization of the silicon crystals induced by the ion implantation.

  3. Formation of carbon nanoclusters by implantation of keV carbon ions in fused silica followed by thermal annealing

    NASA Astrophysics Data System (ADS)

    Olivero, P.; Peng, J. L.; Liu, A.; Reichart, P.; McCallum, J. C.; Sze, J. Y.; Lau, S. P.; Tay, B. K.; Kalish, R.; Dhar, S.; Feldman, Leonard; Jamieson, David N.; Prawer, Steven

    2005-02-01

    In the last decade, the synthesis and characterization of nanometer sized carbon clusters have attracted growing interest within the scientific community. This is due to both scientific interest in the process of diamond nucleation and growth, and to the promising technological applications in nanoelectronics and quantum communications and computing. Our research group has demonstrated that MeV carbon ion implantation in fused silica followed by thermal annealing in the presence of hydrogen leads to the formation of nanocrystalline diamond, with cluster size ranging from 5 to 40 nm. In the present paper, we report the synthesis of carbon nanoclusters by the implantation into fused silica of keV carbon ions using the Plasma Immersion Ion Implantation (PIII) technique, followed by thermal annealing in forming gas (4% 2H in Ar). The present study is aimed at evaluating this implantation technique that has the advantage of allowing high fluence-rates on large substrates. The carbon nanostructures have been characterized with optical absorption and Raman spectroscopies, cross sectional Transmission Electron Microscopy (TEM), and Parallel Electron Energy Loss Spectroscopy (PEELS). Nuclear Reaction Analysis (NRA) has been employed to evaluate the deuterium incorporation during the annealing process, as a key mechanism to stabilize the formation of the clusters.

  4. Biofunctionalization of silicone rubber with microgroove-patterned surface and carbon-ion implantation to enhance biocompatibility and reduce capsule formation.

    PubMed

    Lei, Ze-Yuan; Liu, Ting; Li, Wei-Juan; Shi, Xiao-Hua; Fan, Dong-Li

    Silicone rubber implants have been widely used to repair soft tissue defects and deformities. However, poor biocompatibility can elicit capsule formation, usually resulting in prosthesis contracture and displacement in long-term usage. To overcome this problem, this study investigated the properties of silicone rubber materials with or without a microgroove-patterned surface and with or without carbon (C)-ion implantation. Atomic force microscopy, X-ray photoelectron spectroscopy, and a water contact angle test were used to characterize surface morphology and physicochemical properties. Cytocompatibility was investigated by a cell adhesion experiment, immunofluorescence staining, a Cell Counting Kit-8 assay, and scanning electron microscopy in vitro. Histocompatibility was evaluated by studying the inflammatory response and fiber capsule formation that developed after subcutaneous implantation in rats for 7 days, 15 days, and 30 days in vivo. Parallel microgrooves were found on the surfaces of patterned silicone rubber (P-SR) and patterned C-ion-implanted silicone rubber (PC-SR). Irregular larger peaks and deeper valleys were present on the surface of silicone rubber implanted with C ions (C-SR). The silicone rubber surfaces with microgroove patterns had stable physical and chemical properties and exhibited moderate hydrophobicity. PC-SR exhibited moderately increased dermal fibroblast cell adhesion and growth, and its surface microstructure promoted orderly cell growth. Histocompatibility experiments on animals showed that both the anti-inflammatory and antifibrosis properties of PC-SR were slightly better than those of the other materials, and there was also a lower capsular contracture rate and less collagen deposition around implants made from PC-SR. Although the surface chemical properties, dermal fibroblast cell growth, and cell adhesion were not changed by microgroove pattern modification, a more orderly cell arrangement was obtained, leading to enhanced

  5. Effects of oxygen-inserted layers on diffusion of boron, phosphorus, and arsenic in silicon for ultra-shallow junction formation

    NASA Astrophysics Data System (ADS)

    Zhang, X.; Connelly, D.; Takeuchi, H.; Hytha, M.; Mears, R. J.; Rubin, L. M.; Liu, T.-J. K.

    2018-03-01

    The effects of oxygen-inserted (OI) layers on the diffusion of boron (B), phosphorus (P), and arsenic (As) in silicon (Si) are investigated, for ultra-shallow junction formation by high-dose ion implantation followed by rapid thermal annealing. The projected range (Rp) of the implanted dopants is shallower than the depth of the OI layers. Secondary ion mass spectrometry is used to compare the dopant profiles in silicon samples that have OI layers against the dopant profiles in control samples that do not have OI layers. Diffusion is found to be substantially retarded by the OI layers for B and P, and less for As, providing shallower junction depth. The experimental results suggest that the OI layers serve to block the diffusion of Si self-interstitials and thereby effectively reduce interstitial-aided diffusion beyond the depth of the OI layers. The OI layers also help to retain more dopants within the Si, which technology computer-aided design simulations indicate to be beneficial for achieving shallower junctions with lower sheet resistance to enable further miniaturization of planar metal-oxide-semiconductor field-effect transistors for improved integrated-circuit performance and cost per function.

  6. Ion Implantation of Perfluoropolyether-Lubricated Surfaces for Improved Tribological Performance

    NASA Technical Reports Server (NTRS)

    Shogrin, Brad

    1998-01-01

    For over 30 years, perfluoropolyethers (PFPE's) have been the liquid lubricants of choice for space applications because of their proven tribological performance and desirable properties, such as low vapor pressure and a wide liquid temperature range. These oils are used in such space mechanisms as gyroscopes, scanning mirrors, actuators, and filter wheels. In the past few years, there have been several incidents during which PFPE-lubricated space mechanisms have shown anomalous behavior. These anomalies are thought to be the result of PFPE degradation. Investigative research focused on understanding and modeling the degradation of PFPE lubricants has shown that PFPE's degrade and lose their desirable properties while under boundary-lubricated, sliding/rolling contacts and at elevated temperatures. These performance deficiencies are strongly dependent on the surface chemistry and reactivity of the lubricated contacts, which dictate the formation of harmful catalytic by-products. One way to inhibit tribo-induced degradation may be to use passivated surfaces that do not promote the formation of harmful by-products. Such a passivated surface would inhibit PFPE degradation and increase the lifetime of the lubricated mechanism. Ion implantation is one such passivation technique. This surface-treatment technique can modify the surface properties of materials without affecting either the properties or dimensions of the bulk material beneath the treated layer. By introducing a foreign species into a submicron surface layer, ion implantation can induce unique surface microstructures.

  7. Full wafer size investigation of N+ and P+ co-implanted layers in 4H-SiC

    NASA Astrophysics Data System (ADS)

    Blanqué, S.; Lyonnet, J.; Pérez, R.; Terziyska, P.; Contreras, S.; Godignon, P.; Mestres, N.; Pascual, J.; Camassel, J.

    2005-03-01

    We report a full wafer size investigation of the homogeneity of electrical properties in the case of co-implanted nitrogen and phosphorus ions in 4H-SiC semi-insulating wafers. To match standard industrial requirements, implantation was done at room temperature. To achieve a detailed electrical knowledge, we worked on a 35 mm wafer on which 77 different reticules have been processed. Every reticule includes one Hall cross, one Van der Pauw test structure and different TLM patterns. Hall measurements have been made on all 77 different reticules, using an Accent HL5500 Hall System® from BioRad fitted with an home-made support to collect data from room temperature down to about 150 K. At room temperature, we find that the sheet carrier concentration is only 1/4 of the total implanted dose while the average mobility is 80.6 cm2/Vs. The standard deviation is, typically, 1.5 cm2/Vs.

  8. Suppression of threshold voltage variability in MOSFETs by adjustment of ion implantation parameters

    NASA Astrophysics Data System (ADS)

    Park, Jae Hyun; Chang, Tae-sig; Kim, Minsuk; Woo, Sola; Kim, Sangsig

    2018-01-01

    In this study, we investigate threshold voltage (VTH) variability of metal-oxide-semiconductor field-effect transistors induced by random dopant fluctuation (RDF). Our simulation work demonstrates not only the influence of the implantation parameters such as its dose, tilt angle, energy, and rotation angle on the RDF-induced VTH variability, but also the solution to reduce the effect of this variability. By adjusting the ion implantation parameters, the 3σ (VTH) is reduced from 43.8 mV to 28.9 mV. This 34% reduction is significant, considering that our technique is very cost effective and facilitates easy fabrication, increasing availability.

  9. 3D silicon shapes through bulk nano structuration by focused ion beam implantation and wet etching

    NASA Astrophysics Data System (ADS)

    Salhi, Billel; Troadec, David; Boukherroub, Rabah

    2017-05-01

    The work presented in this paper concerns the synthesis of silicon (Si) 2D and 3D nanostructures using the delayed effect, caused by implanted Ga ions, on the dissolution of Si in aqueous solutions of tetramethylammonium hydroxide (TMAH). The crystalline silicon substrates (100) are first cleaned and then hydrogenated by immersion in an aqueous solution of hydrofluoric acid. The ion implantation is then carried out by a focused ion beam by varying the dose and the exposure time. Chemical etching in aqueous solutions of TMAH at 80 °C leads to the selective dissolution of the Si planes not exposed to the ions. The preliminary results obtained in the laboratory made it possible to optimize the experimental conditions for the synthesis of 2D and 3D nanoobjects of controlled shape and size. Analysis by transmission electron microscopy and energy dispersive x-ray showed the amorphous nature of the nanostructures obtained and the presence of 5%-20% Ga in these nanoobjects. The first experiments of recrystallization by rapid thermal annealing allowed to reconstitute the crystal structure of these nanoobjects.

  10. 3D silicon shapes through bulk nano structuration by focused ion beam implantation and wet etching.

    PubMed

    Salhi, Billel; Troadec, David; Boukherroub, Rabah

    2017-05-19

    The work presented in this paper concerns the synthesis of silicon (Si) 2D and 3D nanostructures using the delayed effect, caused by implanted Ga ions, on the dissolution of Si in aqueous solutions of tetramethylammonium hydroxide (TMAH). The crystalline silicon substrates (100) are first cleaned and then hydrogenated by immersion in an aqueous solution of hydrofluoric acid. The ion implantation is then carried out by a focused ion beam by varying the dose and the exposure time. Chemical etching in aqueous solutions of TMAH at 80 °C leads to the selective dissolution of the Si planes not exposed to the ions. The preliminary results obtained in the laboratory made it possible to optimize the experimental conditions for the synthesis of 2D and 3D nanoobjects of controlled shape and size. Analysis by transmission electron microscopy and energy dispersive x-ray showed the amorphous nature of the nanostructures obtained and the presence of 5%-20% Ga in these nanoobjects. The first experiments of recrystallization by rapid thermal annealing allowed to reconstitute the crystal structure of these nanoobjects.

  11. Secondary poisoning of kestrels by white phosphorus

    USGS Publications Warehouse

    Sparling, D.W.; Federoff, N.E.

    1997-01-01

    Since 1982, extensive waterfowl mortality due to white phosphorus (P4) has been observed at Eagle River Flats, a tidal marsh near Anchorage, Alaska. Ducks and swans that ingest P4 pellets become lethargic and may display severe convulsions. Intoxicated waterfowl attract raptors and gulls that feed on dead or dying birds. To determine if avian predators can be affected by secondary poisoning, we fed American kestrels (Falco sparverius) 10-day-old domestic chickens that had been dosed with white phosphorus. Eight of 15 kestrels fed intact chicks with a pellet of P4 implanted in their crops died within seven days. Three of 15 kestrels fed chicks that had their upper digestive tracts removed to eliminate any pellets of white phosphorus also died. Hematocrit and hemoglobin in kestrels decreased whereas lactate dehydrogenaseL, glucose, and alanine aminotransferase levels in plasma increased with exposure to contaminated chicks. Histological examination of liver and kidneys showed that the incidence and severity of lesions increased when kestrels were fed contaminated chicks. White phosphorus residues were measurable in 87% of the kestrels dying on study and 20% of the survivors. This study shows that raptors can become intoxicated either by ingesting portions of digestive tracts containing white phosphorus pellets or by consuming tissues of P4 contaminated prey.

  12. Removal of toxic uranium from synthetic nuclear power reactor effluents using uranyl ion imprinted polymer particles.

    PubMed

    Preetha, Chandrika Ravindran; Gladis, Joseph Mary; Rao, Talasila Prasada; Venkateswaran, Gopala

    2006-05-01

    Major quantities of uranium find use as nuclear fuel in nuclear power reactors. In view of the extreme toxicity of uranium and consequent stringent limits fixed by WHO and various national governments, it is essential to remove uranium from nuclear power reactor effluents before discharge into environment. Ion imprinted polymer (IIP) materials have traditionally been used for the recovery of uranium from dilute aqueous solutions prior to detection or from seawater. We now describe the use of IIP materials for selective removal of uranium from a typical synthetic nuclear power reactor effluent. The IIP materials were prepared for uranyl ion (imprint ion) by forming binary salicylaldoxime (SALO) or 4-vinylpyridine (VP) or ternary SALO-VP complexes in 2-methoxyethanol (porogen) and copolymerizing in the presence of styrene (monomer), divinylbenzene (cross-linking monomer), and 2,2'-azobisisobutyronitrile (initiator). The resulting materials were then ground and sieved to obtain unleached polymer particles. Leached IIP particles were obtained by leaching the imprint ions with 6.0 M HCl. Control polymer particles were also prepared analogously without the imprint ion. The IIP particles obtained with ternary complex alone gave quantitative removal of uranyl ion in the pH range 3.5-5.0 with as low as 0.08 g. The retention capacity of uranyl IIP particles was found to be 98.50 mg/g of polymer. The present study successfully demonstrates the feasibility of removing uranyl ions selectively in the range 5 microg - 300 mg present in 500 mL of synthetic nuclear power reactor effluent containing a host of other inorganic species.

  13. Characterization of synthetic dyes by comprehensive two-dimensional liquid chromatography combining ion-exchange chromatography and fast ion-pair reversed-phase chromatography.

    PubMed

    Pirok, Bob W J; Knip, Jitske; van Bommel, Maarten R; Schoenmakers, Peter J

    2016-03-04

    In the late 19th century, newly invented synthetic dyes rapidly replaced the natural dyes on the market. The characterization of mixtures of these so-called early synthetic dyes is complicated through the occurrence of many impurities and degradation products. Conventional one-dimensional liquid chromatography does not suffice to obtain fingerprints with sufficient resolution and baseline integrity. Comprehensive two-dimensional liquid chromatography (LC×LC) is employed in this study, with ion-exchange chromatography in the first dimension and fast ion-pair liquid chromatography in the second. Retention in the first dimension is largely determined by the number of charges, while the selection of a small ion-pair reagent (tetramethylammonium hydroxide) in the second dimension causes retention to be largely determined by the molecular structure of the dye. As a result, there is a high degree of orthogonality of the two dimensions, similar to the values typically encountered in GC×GC. The proposed LC×LC method shows a theroretical peak capacity of about 2000 in an analysis time of about three hours. Clear, informative fingerprints are obtained that open a way to a more efficient characterization of dyes used in objects of cultural heritage. Copyright © 2016 Elsevier B.V. All rights reserved.

  14. Dynamic defect annealing in wurtzite MgZnO implanted with Ar ions

    NASA Astrophysics Data System (ADS)

    Azarov, A. Yu.; Wendler, E.; Du, X. L.; Kuznetsov, A. Yu.; Svensson, B. G.

    2015-09-01

    Successful implementation of ion beams for modification of ternary ZnO-based oxides requires understanding and control of radiation-induced defects. Here, we study structural disorder in wurtzite ZnO and MgxZn1-xO (x ⩽ 0.3) samples implanted at room and 15 K temperatures with Ar ions in a wide fluence range (5 × 1012-3 × 1016 cm-2). The samples were characterized by Rutherford backscattering/channeling spectrometry performed in-situ without changing the sample temperature. The results show that all the samples exhibit high radiation resistance and cannot be rendered amorphous even for high ion fluences. Increasing the Mg content leads to some damage enhancement near the surface region; however, irrespective of the Mg content, the fluence dependence of bulk damage in the samples displays the so-called IV-stage evolution with a reverse temperature effect for high ion fluences.

  15. Ion Beam Processing.

    DTIC Science & Technology

    1987-03-13

    guides Taps for plastics Orthopedic implants (hip and knee joints, etc.) Extrusion spinnerettes Finishing rolls for copper rod Extrusion nozzles...detail in following sections. C. Comparison to Coating Techniques -,* Because ion implantation is a process that modifies surface properties it is often...Therefore, it is important to understand the differences between ion implantation and coating techniques, especially ion plating. The result of ion

  16. Improving Sustainability of Ion Implant Modules

    NASA Astrophysics Data System (ADS)

    Mayer, Jim

    2011-01-01

    Semiconductor fabs have long been pressured to manage capital costs, reduce energy consumption and increasingly improve efforts to recycle and recover resources. Ion implant tools have been high-profile offenders on all three fronts. They draw such large volumes of air for heat dissipation and risk reduction that historically, they are the largest consumer of cleanroom air of any process tool—and develop energy usage and resource profiles to match. This paper presents a documented approach to reduce their energy consumption and dramatically downsize on-site facilities support for cleanroom air manufacture and abatement. The combination produces significant capital expenditure savings. The case entails applying SAGS Type 1 (sub-atmospheric gas systems) toxic gas packaging to enable engineering adaptations that deliver the energy savings and cost benefits without any reduction in environmental health and safety. The paper also summarizes benefits as they relate to reducing a fabs carbon emission footprint (and longer range advantages relative to potential cap and trade programs) with existing technology.

  17. Effect of argon ion implantation on the electrical and dielectric properties of CR-39

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chawla, Mahak, E-mail: mahak.chawla@gmail.com; Shekhawat, Nidhi; Goyal, Meetika

    2016-05-23

    The objective of the present work is to study the effect of 130 keV Ar{sup +} ions on the electrical and dielectric properties of CR-39 samples at various doses 5×10{sup 14}, 1×10{sup 15} and 1×10{sup 16} Ar{sup +} cm{sup −2}. Current-Voltage (I-V characteristics) measurements have been used to study the electrical properties of virgin and Ar{sup +} implanted CR-39 specimens. The current has been found to be increased with increasing voltage as well as with increasing ion dose. The dielectric spectroscopy of these specimens has been done in the frequency range of 100 kHz-100 MHz. The dielectric constant has been found tomore » be decreasing whereas dielectric loss factor increases with increasing ion fluence. These kind of behavior observed in the implanted specimens indicate towards the formation of carbonaceous clusters due to the cross linking, chemical bond cleavage, formation of free radicals. The changes observed in the dielectric behavior have been further correlated with the structural changes observed through I-V characteristics.« less

  18. Electrodeposition of amorphous ternary nickel-chromium-phosphorus alloy

    DOEpatents

    Guilinger, Terry R.

    1990-01-01

    Amorphous ternary nickel-chromium-phosphorus alloys are electrodeposited from a bath comprising a nickel salt, a chromium salt, a phosphorus source such as sodium hypophosphite, a complexing agent for the nickel ions, supporting salts to increase conductivity, and a buffering agent. The process is carried out at about room temperature and requires a current density between about 20 to 40 A/dm.sup.2.

  19. Low-cost plasma immersion ion implantation doping for Interdigitated back passivated contact (IBPC) solar cells

    DOE PAGES

    Young, David L.; Nemeth, William; LaSalvia, Vincenzo; ...

    2016-06-01

    Here, we present progress to develop low-cost interdigitated back contact solar cells with pc-Si/SiO 2/c-Si passivated contacts formed by plasma immersion ion implantation (PIII). PIII is a lower-cost implantation technique than traditional beam line implantation due to its simpler design, lower operating costs, and ability to run high doses (1E14-1E18 cm -2) at low ion energies (20 eV-10 keV). These benefits make PIII ideal for high throughput production of patterned passivated contacts, where high-dose, low-energy implantations are made into thin (20-200 nm) a-Si layers instead of into the wafer itself. For this work symmetric passivated contact test structures (~100 nmmore » thick) grown on n-Cz wafers with pH3 PIII doping gave implied open circuit voltage (iV oc) values of 730 mV with J o values of 2 fA/cm 2. Samples doped with B 2H 6 gave iV oc values of 690 mV and J o values of 24 fA/cm 2, outperforming BF 3 doping, which gave iV oc values in the 660-680 mV range. Samples were further characterized by SIMS, photoluminescence, TEM, EELS, and post-metallization TLM to reveal micro- and macro-scopic structural, chemical and electrical information.« less

  20. Determination of Ni Release in NiTi SMA with Surface Modification by Nitrogen Plasma Immersion Ion Implantation

    NASA Astrophysics Data System (ADS)

    de Camargo, Eliene Nogueira; Oliveira Lobo, Anderson; Silva, Maria Margareth Da; Ueda, Mario; Garcia, Edivaldo Egea; Pichon, Luc; Reuther, Helfried; Otubo, Jorge

    2011-07-01

    NiTi SMA is a promising material in the biomedical area due to its mechanical properties and biocompatibility. However, the nickel in the alloy may cause allergic and toxic reactions and thus limiting its applications. It was evaluated the influence of surface modification in NiTi SMA by nitrogen plasma immersion ion implantation (varying temperatures, and exposure time as follows: <250 °C/2 h, 290 °C/2 h, and 560 °C/1 h) in the amount of nickel released using immersion test in simulated body fluid. The depth of the nitrogen implanted layer increased as the implantation temperature increased resulting in the decrease of nickel release. The sample implanted in high implantation temperature presented 35% of nickel release reduction compared to reference sample.

  1. Solution Synthesis of Iodine-Doped Red Phosphorus Nanoparticles for Lithium-Ion Battery Anodes.

    PubMed

    Chang, Wei-Chung; Tseng, Kuan-Wei; Tuan, Hsing-Yu

    2017-02-08

    Red phosphorus (RP) is a promising anode material for lithium-ion batteries due to its earth abundance and a high theoretical capacity of 2596 mA h g -1 . Although RP-based anodes for lithium-ion batteries have been reported, they were all in the form of carbon-P composites, including P-graphene, P-graphite, P-carbon nanotubes (CNTs), and P-carbon black, to improve P's extremely low conductivity and large volume change during cycling process. Here, we report the large-scale synthesis of red phosphorus nanoparticles (RPNPs) with sizes ranging from 100 to 200 nm by reacting PI 3 with ethylene glycol in the presence of cetyltrimethylammonium bromide (CTAB) in ambient environment. Unlike the insulator behavior of commercial RP (conductivity of <10 -12 S m -1 ), the conductivity of RPNPs is between 2.62 × 10 -3 and 1.81 × 10 -2 S m -1 , which is close to that of semiconductor germanium (1.02 × 10 -2 S m -1 ), and 2 orders of magnitude higher than silicon (5.35 × 10 -4 S m -1 ). Around 3-5 wt % of iodine-doping was found in RPNPs, which was speculated as the key to significantly improve the conductivity of RPNPs. The significantly improved conductivity of RPNPs and their uniform colloidal nanostructures enable them to be used solely as active materials for LIBs anodes. The RPNPs electrodes exhibit a high specific capacity of 1700 mA h g -1 (0.2 C after 100 cycles, 1 C = 2000 mA g -1 ), long cycling life (∼900 mA h g -1 after 500 cycles at 1 C), and outstanding rate capability (175 mA h g -1 at the charge current density of 120 A g -1 , 60 C). Moreover, as a proof-of-concept example, pouch-type full cells using RPNPs anodes and Li(Ni 0.5 Co 0.3 Mn 0.2 )O 2 (NCM-532) cathodes were assembled to show their practical uses.

  2. Development of a biologically based fertilizer, incorporating Bacillus megaterium A6, for improved phosphorus nutrition of oilseed rape.

    PubMed

    Hu, Xiaojia; Roberts, Daniel P; Xie, Lihua; Maul, Jude E; Yu, Changbing; Li, Yinshui; Zhang, Shujie; Liao, Xing

    2013-04-01

    Sustainable methods with diminished impact on the environment need to be developed for the production of oilseed rape in China and other regions of the world. A biological fertilizer consisting of Bacillus megaterium A6 cultured on oilseed rape meal improved oilseed rape seed yield (P < 0.0001) relative to the nontreated control in 2 greenhouse pot experiments using natural soil. This treatment resulted in slightly greater yield than oilseed rape meal without strain A6 in 1 of 2 experiments, suggesting a role for strain A6 in improving yield. Strain A6 was capable of solubilizing phosphorus from rock phosphate in liquid culture and produced enzymes capable of mineralizing organic phosphorus (acid phosphatase, phytase) in liquid culture and in the biological fertilizer. The biologically based fertilizer, containing strain A6, improved plant phosphorus nutrition in greenhouse pot experiments resulting in significantly greater available phosphorus in natural soil and in significantly greater plant phosphorus content relative to the nontreated control. Seed yield and available phosphorus in natural soil were significantly greater with a synthetic chemical fertilizer treatment, reduced in phosphorus content, than the biological fertilizer treatment, but a treatment containing the biological fertilizer combined with the synthetic fertilizer provided the significantly greatest seed yield, available phosphorus in natural soil, and plant phosphorus content. These results suggest that the biological fertilizer was capable of improving oilseed rape seed yield, at least in part, through the phosphorus-solubilizing activity of B. megaterium A6.

  3. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    NASA Astrophysics Data System (ADS)

    Xu, Gang; Wang, Xiao-teng; Gan, Cai-ling; Fang, Yan-qiong; Zhang, Meng

    2012-09-01

    To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N+ with energy of 25 keV was applied to treat the dry seed at six different doses. N+ beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 1016 to 15 × 1016 ions cm-2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 1016 ion cm-2, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 1016 ions cm-2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  4. Vacancy defect and defect cluster energetics in ion-implanted ZnO

    NASA Astrophysics Data System (ADS)

    Dong, Yufeng; Tuomisto, F.; Svensson, B. G.; Kuznetsov, A. Yu.; Brillson, Leonard J.

    2010-02-01

    We have used depth-resolved cathodoluminescence, positron annihilation, and surface photovoltage spectroscopies to determine the energy levels of Zn vacancies and vacancy clusters in bulk ZnO crystals. Doppler broadening-measured transformation of Zn vacancies to vacancy clusters with annealing shifts defect energies significantly lower in the ZnO band gap. Zn and corresponding O vacancy-related depth distributions provide a consistent explanation of depth-dependent resistivity and carrier-concentration changes induced by ion implantation.

  5. Third Order Optical Nonlinearity of Colloidal Metal Nanoclusters Formed by MeV Ion Implantation

    NASA Technical Reports Server (NTRS)

    Sarkisov, S. S.; Williams, E.; Curley, M.; Ila, D.; Venkateswarlu, P.; Poker, D. B.; Hensley, D. K.

    1997-01-01

    We report the results of characterization of nonlinear refractive index of the composite material produced by MeV Ag ion implantation of LiNbO(sub 3) crystal (z-cut). The material after implantation exhibited a linear optical absorption spectrum with the surface plasmon peak near 430 nm attributed to the colloidal silver nanoclusters. Heat treatment of the material at 500 deg C caused a shift of the absorption peak to 550 nm. The nonlinear refractive index of the sample after heat treatment was measured in the region of the absorption peak with the Z-scan technique using a tunable picosecond laser source (4.5 ps pulse width).The experimental data were compared against the reference sample made of MeV Cu implanted silica with the absorption peak in the same region. The nonlinear index of the Ag implanted LiNbO(sub 3) sample produced at five times less fluence is on average two times greater than that of the reference.

  6. An experiment on the dynamics of ion implantation and sputtering of surfaces

    NASA Astrophysics Data System (ADS)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B.

    2014-02-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  7. An experiment on the dynamics of ion implantation and sputtering of surfaces.

    PubMed

    Wright, G M; Barnard, H A; Kesler, L A; Peterson, E E; Stahle, P W; Sullivan, R M; Whyte, D G; Woller, K B

    2014-02-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  8. Formation of mono-layered gold nanoparticles in shallow depth of SiO 2 thin film by low-energy negative-ion implantation

    NASA Astrophysics Data System (ADS)

    Tsuji, H.; Arai, N.; Ueno, K.; Matsumoto, T.; Gotoh, N.; Adachi, K.; Kotaki, H.; Gotoh, Y.; Ishikawa, J.

    2006-01-01

    Mono-layered gold nanoparticles just below the surface of silicon oxide film have been formed by a gold negative-ion implantation at a very low-energy, where the deviation of implanted atoms was sufficiently narrow comparing to the size of nanoparticles. Gold negative ions were implanted into SiO2 thin films on Si substrate at energies of 35, 15 and 1 keV. The samples were annealed in Ar flow for 1 h at 900 or 1000 °C. Cross-sectional TEM observation for the implantation at 1 keV showed existence of Au nanoparticles aligned in the same depth of 5 nm from the surface. The nanoparticles had almost same diameter of 7 nm. The nanoparticles were found to be gold single crystal from a high-resolution TEM image.

  9. Corrosion behavior of ion implanted nickel-titanium orthodontic wire in fluoride mouth rinse solutions.

    PubMed

    Iijima, Masahiro; Yuasa, Toshihiro; Endo, Kazuhiko; Muguruma, Takeshi; Ohno, Hiroki; Mizoguchi, Itaru

    2010-01-01

    This study investigated the corrosion properties of ion implanted nickel-titanium wire (Neo Sentalloy Ionguard) in artificial saliva and fluoride mouth rinse solutions (Butler F Mouthrinse, Ora-Bliss). Non ion implanted nickel-titanium wire (Neo Sentalloy) was used as control. The anodic corrosion behavior was examined by potentiodynamic polarization measurement. The surfaces of the specimens were examined with SEM. The elemental depth profiles were characterized by XPS. Neo Sentalloy Ionguard in artificial saliva and Butler F Mouthrinse (500 ppm) had a lower current density than Neo Sentalloy. In addition, breakdown potential of Neo Sentalloy Ionguard in Ora-Bliss (900 ppm) was much higher than that of Neo Sentalloy although both wires had similar corrosion potential in Ora-Bliss (450 and 900 ppm). The XPS results for Neo Sentalloy Ionguard suggested that the layers consisted of TiO(2) and TiN were present on the surface and the layers may improve the corrosion properties.

  10. Photoluminescence from Au ion-implanted nanoporous single-crystal 12CaO•7Al2O3

    NASA Astrophysics Data System (ADS)

    Miyakawa, Masashi; Kamioka, Hayato; Hirano, Masahiro; Kamiya, Toshio; Sushko, Peter V.; Shluger, Alexander L.; Matsunami, Noriaki; Hosono, Hideo

    2006-05-01

    Implantation of Au+ ions into a single crystalline 12CaO•7Al2O3 (C12A7) was performed at high temperatures with fluences from 1×1014 to 3×1016cm-2 . This material is composed of positively charged sub-nanometer-sized cages compensated by extra-framework negatively charged species. The depth profile of concentrations of Au species was analyzed using Rutherford backscattering spectrometry. The measured optical spectra and ab initio embedded cluster calculations show that the implanted Au species are stabilized in the form of negative Au- ions below the fluences of ˜1×1016cm-2 (Au volume concentration of ˜2×1021cm-3 ). These ions are trapped in the cages and exhibit photoluminescence (PL) bands peaking at 3.05 and 2.34eV at temperatures below 150K . At fluences exceeding ˜3×1016cm-2 , the implanted Au atoms form nano-sized clusters. This is manifested in quenching of the PL bands and creation of an optical absorption band at 2.43eV due to the surface plasmon of free carriers in the cluster. The PL bands are attributed to the charge transfer transitions (Au0+e-→Au-) due to recombination of photo-excited electrons (e-) , transiently transferred by ultraviolet excitation into a nearby cages, with Au0 atoms.

  11. Channeling implantation of high energy carbon ions in a diamond crystal: Determination of the induced crystal amorphization

    NASA Astrophysics Data System (ADS)

    Erich, M.; Kokkoris, M.; Fazinić, S.; Petrović, S.

    2018-02-01

    This work reports on the induced diamond crystal amorphization by 4 MeV carbon ions implanted in the 〈1 0 0〉 oriented crystal and its determination by application of RBS/C and EBS/C techniques. The spectra from the implanted samples were recorded for 1.2, 1.5, 1.75 and 1.9 MeV protons. For the two latter ones the strong resonance of the nuclear elastic scattering 12C(p,p0)12C at 1.737 MeV was explored. The backscattering channeling spectra were successfully fitted and the ion beam induced crystal amorphization depth profile was determined using a phenomenological approach, which is based on the properly defined Gompertz type dechanneling functions for protons in the 〈1 0 0〉 diamond crystal channels and the introduction of the concept of ion beam amorphization, which is implemented through our newly developed computer code CSIM.

  12. Effect of Ar Ion Beam Implantation on Morphological and Physiological Characteristics of Liquorice (Glycyrrhiza uralensis Fisch) Under Short-Term Artificial Drought Conditions

    NASA Astrophysics Data System (ADS)

    Zhang, Xiangsheng; Wu, Lijun; Yu, Lixiang; Wei, Shenglin; Liu, Jingnan; Yu, Zengliang

    2007-04-01

    Ar+ ion beam with low energy of 30 keV was implanted into liquorice (Glycyrrhiza uralensis Fisch) seeds at the doses of 0, 600, 900 and 1200 × (2.6 × 1013) ions/cm2, respectively. The seeds were sowed in pots and after one month the plants were subjected to different drought conditions for two months. Then the plants' morphological and physiological characteristics, anti-oxidation enzymes and levels of endogenous hormones were investigated. The results showed that ion implantation at a proper dose can greatly enhance the liquorice seedlings' resistance against drought stress.

  13. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    NASA Astrophysics Data System (ADS)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  14. Effect of Ion Flux (Dose Rate) in Source-Drain Extension Ion Implantation for 10-nm Node FinFET and Beyond on 300/450mm Platforms

    NASA Astrophysics Data System (ADS)

    Shen, Ming-Yi

    The improvement of wafer equipment productivity has been a continuous effort of the semiconductor industry. Higher productivity implies lower product price, which economically drives more demand from the market. This is desired by the semiconductor manufacturing industry. By raising the ion beam current of the ion implanter for 300/450mm platforms, it is possible to increase the throughput of the ion implanter. The resulting dose rate can be comparable to the performance of conventional ion implanters or higher, depending on beam current and beam size. Thus, effects caused by higher dose rate must be investigated further. One of the major applications of ion implantation (I/I) is source-drain extension (SDE) I/I for the silicon FinFET device. This study investigated the dose rate effects on the material properties and device performance of the 10-nm node silicon FinFET. In order to gain better understanding of the dose rate effects, the dose rate study is based on Synopsys Technology CAD (TCAD) process and device simulations that are calibrated and validated using available structural silicon fin samples. We have successfully shown that the kinetic monte carlo (KMC) I/I simulation can precisely model both the silicon amorphization and the arsenic distribution in the fin by comparing the KMC simulation results with TEM images. The results of the KMC I/I simulation show that at high dose rate more activated arsenic dopants were in the source-drain extension (SDE) region. This finding matches with the increased silicon amorphization caused by the high dose-rate I/I, given that the arsenic atoms could be more easily activated by the solid phase epitaxial regrowth process. This increased silicon amorphization led to not only higher arsenic activation near the spacer edge, but also less arsenic atoms straggling into the channel. Hence, it is possible to improve the throughput of the ion implanter when the dopants are implanted at high dose rate if the same doping level

  15. Application of Sargassum biomass to remove heavy metal ions from synthetic multi-metal solutions and urban storm water runoff.

    PubMed

    Vijayaraghavan, K; Teo, Ting Ting; Balasubramanian, R; Joshi, Umid Man

    2009-05-30

    The ability of Sargassum sp. to biosorb four metal ions, namely lead, copper, zinc, and manganese from a synthetic multi-solute system and real storm water runoff has been investigated for the first time. Experiments on synthetic multi-solute systems revealed that Sargassum performed well in the biosorption of all four metal ions, with preference towards Pb, followed by Cu, Zn, and Mn. The solution pH strongly affected the metal biosorption, with pH 6 being identified as the optimal condition for achieving maximum biosorption. Experiments at different biosorbent dosages revealed that good biosorption capacity as well as high metal removal efficiency was observed at 3g/L. The biosorption kinetics was found to be fast with equilibrium being attained within 50 min. According to the Langmuir isotherm model, Sargassum exhibited maximum uptakes of 214, 67.5, 24.2 and 20.2mg/g for lead, copper, zinc, and manganese, respectively in single-solute systems. In multi-metal systems, strong competition between four metal ions in terms of occupancy binding sites was observed, and Sargassum showed preference in the order of Pb>Cu>Zn>Mn. The application of Sargassum to remove four heavy metal ions in real storm water runoff revealed that the biomass was capable of removing the heavy metal ions. However, the biosorption performance was slightly lower compared to that of synthetic metal solutions. Several factors were responsible for this difference, and the most important factor is the presence of other contaminants such as anions, organics, and other trace metals in the runoff.

  16. Biofilm formation on titanium implants counteracted by grafting gallium and silver ions.

    PubMed

    Cochis, Andrea; Azzimonti, Barbara; Della Valle, Cinzia; Chiesa, Roberto; Arciola, Carla Renata; Rimondini, Lia

    2015-03-01

    Biofilm-associated infections remain the leading cause of implant failure. Thanks to its established biocompatibility and biomechanical properties, titanium has become one of the most widely used materials for bone implants. Engineered surface modifications of titanium able to thwart biofilm formation while endowing a safe anchorage to eukaryotic cells are being progressively developed. Here surfaces of disks of commercial grade 2 titanium for bone implant were grafted with gallium and silver ions by anodic spark deposition. Scanning electron microscopy of the surface morphology and energy dispersive X-ray spectroscopy were used for characterization. Gallium-grafted titanium was evaluated in comparison with silver-grafted titanium for both in vivo and in vitro antibiofilm properties and for in vitro compatibility with human primary gingival fibroblasts. Surface-modified materials showed: (i) homogeneous porous morphology, with pores of micrometric size; (ii) absence of cytotoxic effects; (iii) ability to support in vitro the adhesion and spreading of gingival fibroblasts; and (iv) antibiofilm properties. Although both silver and gallium exhibited in vitro strong antibacterial properties, in vivo gallium was significantly more effective than silver in reducing number and viability of biofilm bacteria colonies. Gallium-based treatments represent promising titanium antibiofilm coatings to develop new bone implantable devices for oral, maxillofacial, and orthopedic applications. © 2014 Wiley Periodicals, Inc.

  17. Utilization of Glyphosate as Phosphate Source: Biochemistry and Genetics of Bacterial Carbon-Phosphorus Lyase

    PubMed Central

    Zechel, David L.; Jochimsen, Bjarne

    2014-01-01

    SUMMARY After several decades of use of glyphosate, the active ingredient in weed killers such as Roundup, in fields, forests, and gardens, the biochemical pathway of transformation of glyphosate phosphorus to a useful phosphorus source for microorganisms has been disclosed. Glyphosate is a member of a large group of chemicals, phosphonic acids or phosphonates, which are characterized by a carbon-phosphorus bond. This is in contrast to the general phosphorus compounds utilized and metabolized by microorganisms. Here phosphorus is found as phosphoric acid or phosphate ion, phosphoric acid esters, or phosphoric acid anhydrides. The latter compounds contain phosphorus that is bound only to oxygen. Hydrolytic, oxidative, and radical-based mechanisms for carbon-phosphorus bond cleavage have been described. This review deals with the radical-based mechanism employed by the carbon-phosphorus lyase of the carbon-phosphorus lyase pathway, which involves reactions for activation of phosphonate, carbon-phosphorus bond cleavage, and further chemical transformation before a useful phosphate ion is generated in a series of seven or eight enzyme-catalyzed reactions. The phn genes, encoding the enzymes for this pathway, are widespread among bacterial species. The processes are described with emphasis on glyphosate as a substrate. Additionally, the catabolism of glyphosate is intimately connected with that of aminomethylphosphonate, which is also treated in this review. Results of physiological and genetic analyses are combined with those of bioinformatics analyses. PMID:24600043

  18. Anisotropy of electrical conductivity in dc due to intrinsic defect formation in α-Al2O3 single crystal implanted with Mg ions

    NASA Astrophysics Data System (ADS)

    Tardío, M.; Egaña, A.; Ramírez, R.; Muñoz-Santiuste, J. E.; Alves, E.

    2016-07-01

    The electrical conductivity in α-Al2O3 single crystals implanted with Mg ions in two different crystalline orientations, parallel and perpendicular to c axis, was investigated. The samples were implanted at room temperature with energies of 50 and 100 keV and fluences of 1 × 1015, 5 × 1015 and 5 × 1016 ions/cm2. Optical characterization reveals slight differences in the absorption bands at 6.0 and 4.2 eV, attributed to F type centers and Mie scattering from Mg precipitates, respectively. DC electrical measurements using the four and two-point probe methods, between 295 and 490 K, were used to characterize the electrical conductivity of the implanted area (Meshakim and Tanabe, 2001). Measurements in this temperature range indicate that: (1) the electrical conductivity is thermally activated independently of crystallographic orientation, (2) resistance values in the implanted region decrease with fluence levels, and (3) the I-V characteristic of electrical contacts in samples with perpendicular c axis orientation is clearly ohmic, whereas contacts are blocking in samples with parallel c axis. When thin layers are sequentially removed from the implanted region by immersing the sample in a hot solution of nitric and fluorhydric acids the electrical resistance increases until reaching the values of non-implanted crystal (Jheeta et al., 2006). We conclude that the enhancement in conductivity observed in the implanted regions is related to the intrinsic defects created by the implantation rather than to the implanted Mg ions (da Silva et al., 2002; Tardío et al., 2001; Tardío et al., 2008).

  19. Phosphorus removal characteristics in hydroxyapatite crystallization using converter slag.

    PubMed

    Kim, Eung-Ho; Hwang, Hwan-Kook; Yim, Soo-Bin

    2006-01-01

    This study was performed to investigate the phosphorus removal characteristics in hydroxyapatite (HAP) crystallization using converter slag as a seed crystal and the usefulness of a slag column reactor system. The effects of alkalinity, and the isomorphic-substitutable presence of ionic magnesium, fluoride, and iron on HAP crystallization seeded with converter slag, were examined using a batch reactor system. The phosphorus removal efficiencies of the batch reactor system were found to increase with increases in the iron and fluoride ion concentrations, and to decrease with increases in the alkalinity and magnesium ion concentration. A column reactor system for HAP crystallization using converter slag was found to achieve high, stable levels of phosphorus elimination: the average PO4-P removal efficiency over 414 days of operation was 90.4%, in which the effluent phosphorus concentration was maintained at less than 0.5 mg/L under the appropriate phosphorus crystallization conditions. The X-ray diffraction (XRD) patterns and Fourier transform infrared (FTIR) spectra of the crystalline material deposited on the seed particles exhibited peaks consistent with HAP. Scanning electron micrograph (SEM) images showed that finely distributed crystalline material was formed on the surfaces of the seed particles. Energy dispersive X-ray spectroscopy (EDS) mapping analysis revealed that the molar Ca/P composition ratio of the crystalline material was 1.72.

  20. Formation of low charge state ions of synthetic polymers using quaternary ammonium compounds.

    PubMed

    Nasioudis, Andreas; Joyce, William F; van Velde, Jan W; Heeren, Ron M A; van den Brink, Oscar F

    2010-07-01

    Factors such as high polymer dispersity and variation in elemental composition (of copolymers) often complicate the electrospray ionization mass spectrometry (ESI-MS) analysis of synthetic polymers with high molar mass. In the experiments described in this study, quaternary ammonium compounds were observed to facilitate the production of low charge state pseudomolecular ions when added to the spray solution for ESI-MS. This approach was then used for the ESI time-of-flight mass spectrometry (TOF-MS) analysis of synthetic polymers. Hexadecyltrimethylammonium chloride permitted the successful analysis of poly(ethylene glycol) of 2-40 kDa, poly(propylene glycol) and poly(tetramethylene glycol) oligomers. Increasing the quaternary ammonium compounds' concentration results in the production of low charge state pseudomolecular ions. A comparison of structurally different quaternary ammonium compounds showed that the best performance is expected from large molecules with specific charge localization, which leaves the charge available for interactions. The applicability of the method for the MS analysis of other polymeric systems was also studied. In the case of poly(tetramethylene glycol), the method not only shifted the distributions to higher m/z values but also allowed the detection of high molecular weight material that was not observed without addition of the modifier to the spray solution.

  1. Nanostructures by ion beams

    NASA Astrophysics Data System (ADS)

    Schmidt, B.

    Ion beam techniques, including conventional broad beam ion implantation, ion beam synthesis and ion irradiation of thin layers, as well as local ion implantation with fine-focused ion beams have been applied in different fields of micro- and nanotechnology. The ion beam synthesis of nanoparticles in high-dose ion-implanted solids is explained as phase separation of nanostructures from a super-saturated solid state through precipitation and Ostwald ripening during subsequent thermal treatment of the ion-implanted samples. A special topic will be addressed to self-organization processes of nanoparticles during ion irradiation of flat and curved solid-state interfaces. As an example of silicon nanocrystal application, the fabrication of silicon nanocrystal non-volatile memories will be described. Finally, the fabrication possibilities of nanostructures, such as nanowires and chains of nanoparticles (e.g. CoSi2), by ion beam synthesis using a focused Co+ ion beam will be demonstrated and possible applications will be mentioned.

  2. Silicon Quantum Dots with Counted Antimony Donor Implants

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Meenakshi; Pacheco, Jose L.; Perry, Daniel Lee

    2015-10-01

    Deterministic control over the location and number of donors is crucial to donor spin quantum bits (qubits) in semiconductor based quantum computing. A focused ion beam is used to implant close to quantum dots. Ion detectors are integrated next to the quantum dots to sense the implants. The numbers of ions implanted can be counted to a precision of a single ion. Regular coulomb blockade is observed from the quantum dots. Charge offsets indicative of donor ionization, are observed in devices with counted implants.

  3. Polymer Treatment by Plasma Immersion Ion Implantation of Nitrogen for Formation of Diamond-Like Carbon Film

    NASA Astrophysics Data System (ADS)

    Tan, Ing Hwie; Ueda, Mario; Kostov, Konstantin; Nascente, Pedro Augusto P.; Demarquette, Nicole Raymonde

    2004-09-01

    Nitrogen ions were implanted by plasma immersion in Kapton, Mylar and polypropylene, with the objective of forming a diamond-like carbon layer on these polymers. The Raman spectrum of the implanted polypropylene showed typical Diamond-Like Carbon (DLC) graphite (G) and disorder (D) peaks, with an sp3/sp2 hybridization ratio of approximately 0.4 to 0.6. The XPS analysis of the three implanted polymers also showed peaks of C-C and N-C bonds in the sp3 configuration, with hybridization ratios in the same range as the Raman result. The implanted polymers were exposed to oxygen plasma to test the resistance of the polymers to oxygen degradation. Mass loss rate results, however, showed that the DLC layer formed is not sufficiently robust for this application. Nevertheless, the layer formed can be suitable for other applications such as in gas barriers in beverage containers. Further study of implantation conditions may improve the quality of the DLC layer.

  4. Focused-ion-beam-inflicted surface amorphization and gallium implantation--new insights and removal by focused-electron-beam-induced etching.

    PubMed

    Roediger, P; Wanzenboeck, H D; Waid, S; Hochleitner, G; Bertagnolli, E

    2011-06-10

    Recently focused-electron-beam-induced etching of silicon using molecular chlorine (Cl(2)-FEBIE) has been developed as a reliable and reproducible process capable of damage-free, maskless and resistless removal of silicon. As any electron-beam-induced processing is considered non-destructive and implantation-free due to the absence of ion bombardment this approach is also a potential method for removing focused-ion-beam (FIB)-inflicted crystal damage and ion implantation. We show that Cl(2)-FEBIE is capable of removing FIB-induced amorphization and gallium ion implantation after processing of surfaces with a focused ion beam. TEM analysis proves that the method Cl(2)-FEBIE is non-destructive and therefore retains crystallinity. It is shown that Cl(2)-FEBIE of amorphous silicon when compared to crystalline silicon can be up to 25 times faster, depending on the degree of amorphization. Also, using this method it has become possible for the first time to directly investigate damage caused by FIB exposure in a top-down view utilizing a localized chemical reaction, i.e. without the need for TEM sample preparation. We show that gallium fluences above 4 × 10(15) cm(-2) result in altered material resulting from FIB-induced processes down to a depth of ∼ 250 nm. With increasing gallium fluences, due to a significant gallium concentration close beneath the surface, removal of the topmost layer by Cl(2)-FEBIE becomes difficult, indicating that gallium serves as an etch stop for Cl(2)-FEBIE.

  5. Synthesis of Fe16N2 compound Free-Standing Foils with 20 MGOe Magnetic Energy Product by Nitrogen Ion-Implantation

    PubMed Central

    Jiang, Yanfeng; Mehedi, Md Al; Fu, Engang; Wang, Yongqiang; Allard, Lawrence F.; Wang, Jian-Ping

    2016-01-01

    Rare-earth-free magnets are highly demanded by clean and renewable energy industries because of the supply constraints and environmental issues. A promising permanent magnet should possess high remanent magnetic flux density (Br), large coercivity (Hc) and hence large maximum magnetic energy product ((BH)max). Fe16N2 has been emerging as one of promising candidates because of the redundancy of Fe and N on the earth, its large magnetocrystalline anisotropy (Ku > 1.0 × 107 erg/cc), and large saturation magnetization (4πMs > 2.4 T). However, there is no report on the formation of Fe16N2 magnet with high Br and large Hc in bulk format before. In this paper, we successfully synthesize free-standing Fe16N2 foils with a coercivity of up to 1910 Oe and a magnetic energy product of up to 20 MGOe at room temperature. Nitrogen ion implantation is used as an alternative nitriding approach with the benefit of tunable implantation energy and fluence. An integrated synthesis technique is developed, including a direct foil-substrate bonding step, an ion implantation step and a two-step post-annealing process. With the tunable capability of the ion implantation fluence and energy, a microstructure with grain size 25–30 nm is constructed on the FeN foil sample with the implantation fluence of 5 × 1017/cm2. PMID:27145983

  6. Synthesis of Fe16N2 compound Free-Standing Foils with 20 MGOe Magnetic Energy Product by Nitrogen Ion-Implantation.

    PubMed

    Jiang, Yanfeng; Mehedi, Md Al; Fu, Engang; Wang, Yongqiang; Allard, Lawrence F; Wang, Jian-Ping

    2016-05-05

    Rare-earth-free magnets are highly demanded by clean and renewable energy industries because of the supply constraints and environmental issues. A promising permanent magnet should possess high remanent magnetic flux density (Br), large coercivity (Hc) and hence large maximum magnetic energy product ((BH)max). Fe16N2 has been emerging as one of promising candidates because of the redundancy of Fe and N on the earth, its large magnetocrystalline anisotropy (Ku > 1.0 × 10(7) erg/cc), and large saturation magnetization (4πMs > 2.4 T). However, there is no report on the formation of Fe16N2 magnet with high Br and large Hc in bulk format before. In this paper, we successfully synthesize free-standing Fe16N2 foils with a coercivity of up to 1910 Oe and a magnetic energy product of up to 20 MGOe at room temperature. Nitrogen ion implantation is used as an alternative nitriding approach with the benefit of tunable implantation energy and fluence. An integrated synthesis technique is developed, including a direct foil-substrate bonding step, an ion implantation step and a two-step post-annealing process. With the tunable capability of the ion implantation fluence and energy, a microstructure with grain size 25-30 nm is constructed on the FeN foil sample with the implantation fluence of 5 × 10(17)/cm(2).

  7. Metal Ion Concentrations in Body Fluids after Implantation of Hip Replacements with Metal-on-Metal Bearing – Systematic Review of Clinical and Epidemiological Studies

    PubMed Central

    Hartmann, Albrecht; Hannemann, Franziska; Lützner, Jörg; Seidler, Andreas; Drexler, Hans; Günther, Klaus-Peter; Schmitt, Jochen

    2013-01-01

    Introduction The use of metal-on-metal (MoM) total hip arthroplasty (THA) increased in the last decades. A release of metal products (i.e. particles, ions, metallo-organic compounds) in these implants may cause local and/or systemic adverse reactions. Metal ion concentrations in body fluids are surrogate measures of metal exposure. Objective To systematically summarize and critically appraise published studies concerning metal ion concentrations after MoM THA. Methods Systematic review of clinical trials (RCTs) and epidemiological studies with assessment of metal ion levels (cobalt, chromium, titanium, nickel, molybdenum) in body fluids after implantation of metalliferous hip replacements. Systematic search in PubMed and Embase in January 2012 supplemented by hand search. Standardized abstraction of pre- and postoperative metal ion concentrations stratified by type of bearing (primary explanatory factor), patient characteristics as well as study quality characteristics (secondary explanatory factors). Results Overall, 104 studies (11 RCTs, 93 epidemiological studies) totaling 9.957 patients with measurement of metal ions in body fluids were identified and analyzed. Consistently, median metal ion concentrations were persistently elevated after implantation of MoM-bearings in all investigated mediums (whole blood, serum, plasma, erythrocytes, urine) irrespective of patient characteristics and study characteristics. In several studies very high serum cobalt concentrations above 50 µg/L were measured (detection limit typically 0.3 µg/L). Highest metal ion concentrations were observed after treatment with stemmed large-head MoM-implants and hip resurfacing arthroplasty. Discussion Due to the risk of local and systemic accumulation of metallic products after treatment with MoM-bearing, risk and benefits should be carefully balanced preoperatively. The authors support a proposed „time out“ for stemmed large-head MoM-THA and recommend a restricted indication for hip

  8. Chemical effect of Si+ ions on the implantation-induced defects in ZnO studied by a slow positron beam

    NASA Astrophysics Data System (ADS)

    Jiang, M.; Wang, D. D.; Chen, Z. Q.; Kimura, S.; Yamashita, Y.; Mori, A.; Uedono, A.

    2013-01-01

    Undoped ZnO single crystals were implanted with 300 keV Si+ ions to a dose of 6 × 1016 cm-2. A combination of X-ray diffraction (XRD), positron annihilation, Raman scattering, high resolution transmission electron microscopy (HRTEM), and photoluminescence (PL) was used to study the microstructure evolution after implantation and subsequent annealing. A very large increase of Doppler broadening S parameters in Si+-implanted region was detected by using a slow positron beam, indicating that vacancy clusters or microvoids are induced by implantation. The S parameters increase further after annealing up to 700 °C, suggesting agglomeration of these vacancies or microvoids to larger size. Most of these defects are removed after annealing up to 1100 °C. The other measurements such as XRD, Raman scattering, and PL all indicate severe damage and even disordered structure induced by Si+ implantation. The damage and disordered lattice shows recovery after annealing above 700 °C. Amorphous regions are observed by HRTEM measurement, directly testifies that amorphous phase is induced by Si+ implantation in ZnO. Analysis of the S - W correlation and the coincidence Doppler broadening spectra gives direct evidence of SiO2 precipitates in the sample annealed at 700 °C, which strongly supports the chemical effect of Si ions on the amorphization of ZnO lattice.

  9. Ion transport through lipid bilayers by synthetic ionophores: modulation of activity and selectivity.

    PubMed

    De Riccardis, Francesco; Izzo, Irene; Montesarchio, Daniela; Tecilla, Paolo

    2013-12-17

    The ion-coupled processes that occur in the plasma membrane regulate the cell machineries in all the living organisms. The details of the chemical events that allow ion transport in biological systems remain elusive. However, investigations of the structure and function of natural and artificial transporters has led to increasing insights about the conductance mechanisms. Since the publication of the first successful artificial system by Tabushi and co-workers in 1982, synthetic chemists have designed and constructed a variety of chemically diverse and effective low molecular weight ionophores. Despite their relative structural simplicity, ionophores must satisfy several requirements. They must partition in the membrane, interact specifically with ions, shield them from the hydrocarbon core of the phospholipid bilayer, and transport ions from one side of the membrane to the other. All these attributes require amphipathic molecules in which the polar donor set used for ion recognition (usually oxygens for cations and hydrogen bond donors for anions) is arranged on a lipophilic organic scaffold. Playing with these two structural motifs, donor atoms and scaffolds, researchers have constructed a variety of different ionophores, and we describe a subset of interesting examples in this Account. Despite the ample structural diversity, structure/activity relationships studies reveal common features. Even when they include different hydrophilic moieties (oxyethylene chains, free hydroxyl, etc.) and scaffolds (steroid derivatives, neutral or polar macrocycles, etc.), amphipathic molecules, that cannot span the entire phospholipid bilayer, generate defects in the contact zone between the ionophore and the lipids and increase the permeability in the bulk membrane. Therefore, topologically complex structures that span the entire membrane are needed to elicit channel-like and ion selective behaviors. In particular the alternate-calix[4]arene macrocycle proved to be a versatile

  10. An in vivo assessment of the effects of using different implant abutment occluding materials on implant microleakage and the peri-implant microbiome

    NASA Astrophysics Data System (ADS)

    Rubino, Caroline

    Microleakage may be a factor in the progression of peri-implant pathology. Microleakage in implant dentistry refers to the passage of bacteria, fluids, molecules or ions between the abutment-implant interface to and from the surrounding periodontal tissues. This creates a zone of inflammation and reservoir of bacteria at the implant-abutment interface. Bone loss typically occurs within the first year of abutment connection and then stabilizes. It has not yet been definitively proven that the occurrence of microleakage cannot contribute to future bone loss or impede the treatment of peri-implant disease. Therefore, strategies to reduce or eliminate microleakage are sought out. Recent evidence demonstrates that the type of implant abutment channel occluding material can affect the amount of microleakage in an in vitro study environment. Thus, we hypothesize that different abutment screw channel occluding materials will affect the amount of observed microleakage, vis-a-vis the correlation between the microflora found on the abutment screw channel occluding material those found in the peri-implant sulcus. Additional objectives include confirming the presence of microleakage in vivo and assessing any impact that different abutment screw channel occluding materials may have on the peri-implant microbiome. Finally, the present study provides an opportunity to further characterize the peri-implant microbiome. Eight fully edentulous patients restored with at dental implants supporting screw-retained fixed hybrid prostheses were included in the study. At the initial appointment (T1), the prostheses were removed and the implants and prostheses were cleaned. The prostheses were then inserted with polytetrafluoroethylene tape (PTFE, TeflonRTM), cotton, polyvinyl siloxane (PVS), or synthetic foam as the implant abutment channel occluding material and sealed over with composite resin. About six months later (T2), the prostheses were removed and the materials collected. Paper

  11. Microstructure investigations of U3Si2 implanted by high-energy Xe ions at 600 °C

    NASA Astrophysics Data System (ADS)

    Miao, Yinbin; Harp, Jason; Mo, Kun; Kim, Yeon Soo; Zhu, Shaofei; Yacout, Abdellatif M.

    2018-05-01

    The microstructure investigations on a high-energy Xe-implanted U3Si2 pellet were performed. The promising accident tolerant fuel (ATF) candidate, U3Si2, was irradiated by 84 MeV Xe ions at 600 °C at Argonne Tandem Linac Accelerator System (ATLAS). The characterizations of the Xe implanted sample were conducted using advanced transmission electron microscopy (TEM) techniques. An oxidation layer was observed on the sample surface after irradiation under the ∼10-5 Pa vacuum. The study on the oxidation layer not only unveils the readily oxidation behavior of U3Si2 under high-temperature irradiation conditions, but also develops an understanding of its oxidation mechanism. Intragranular Xe bubbles with bimodal size distribution were observed within the Xe deposition region of the sample induced by 84 MeV Xe ion implantation. At the irradiation temperature of 600 °C, the gaseous swelling strain contributed by intragranular bubbles was found to be insignificant, indicating an acceptable fission gas behavior of U3Si2 as a light water reactor (LWR) fuel operating at such a temperature.

  12. Surface modification of titanium and titanium alloys by ion implantation.

    PubMed

    Rautray, Tapash R; Narayanan, R; Kwon, Tae-Yub; Kim, Kyo-Han

    2010-05-01

    Titanium and titanium alloys are widely used in biomedical devices and components, especially as hard tissue replacements as well as in cardiac and cardiovascular applications, because of their desirable properties, such as relatively low modulus, good fatigue strength, formability, machinability, corrosion resistance, and biocompatibility. However, titanium and its alloys cannot meet all of the clinical requirements. Therefore, to improve the biological, chemical, and mechanical properties, surface modification is often performed. In view of this, the current review casts new light on surface modification of titanium and titanium alloys by ion beam implantation. (c) 2010 Wiley Periodicals, Inc.

  13. Boron depth profiles and residual damage following rapid thermal annealing of low-temperature BSi molecular ion implantation in silicon

    NASA Astrophysics Data System (ADS)

    Liang, J. H.; Wang, S. C.

    2007-08-01

    The influence of substrate temperature on both the implantation and post-annealing characteristics of molecular-ion-implanted 5 × 1014 cm-2 77 keV BSi in silicon was investigated in terms of boron depth profiles and damage microstructures. The substrate temperatures under investigation consisted of room temperature (RT) and liquid nitrogen temperature (LT). Post-annealing treatments were performed using rapid thermal annealing (RTA) at 1050 °C for 25 s. Boron depth profiles and damage microstructures in both the as-implanted and as-annealed specimens were determined using secondary ion mass spectrometry (SIMS) and transmission electron microscopy (TEM), respectively. The as-implanted results revealed that, compared to the RT specimen, the LT specimen yields a shallower boron depth profile with a reduced tail into the bulk. An amorphous layer containing a smooth amorphous-to-crystalline (a/c) interface is evident in the LT specimen while just the opposite is true in the as-implanted RT one. The as-annealed results illustrated that the extension of the boron depth profile into the bulk via transient-enhanced diffusion (TED) in the LT specimen is less than it is in the RT one. Only residual defects are visible in the LT specimen while two clear bands of dislocation loops appear in the RT one.

  14. Experimental studies of thorium ion implantation from pulse laser plasma into thin silicon oxide layers

    NASA Astrophysics Data System (ADS)

    Borisyuk, P. V.; Chubunova, E. V.; Lebedinskii, Yu Yu; Tkalya, E. V.; Vasilyev, O. S.; Yakovlev, V. P.; Strugovshchikov, E.; Mamedov, D.; Pishtshev, A.; Karazhanov, S. Zh

    2018-05-01

    We report the results of experimental studies related to implantation of thorium ions into thin silicon dioxide by pulsed plasma flux expansion. Thorium ions were generated by laser ablation from a metal target, and the ionic component of the laser plasma was accelerated in an electric field created by the potential difference (5, 10 and 15 kV) between the ablated target and a SiO2/Si (0 0 1) sample. The laser ablation system installed inside the vacuum chamber of the electron spectrometer was equipped with a YAG:Nd3  +  laser having a pulse energy of 100 mJ and time duration of 15 ns in the Q-switched regime. The depth profile of thorium atoms implanted into the 10 nm thick subsurface areas together with their chemical state as well as the band gap of the modified silicon oxide at different conditions of implantation processes were studied by means of x-ray photoelectron spectroscopy and reflected electron energy loss spectroscopy methods. Analysis of the chemical composition showed that the modified silicon oxide film contains complex thorium silicates. Depending on the local concentration of thorium atoms, the experimentally established band gaps were located in the range 6.0–9.0 eV. Theoretical studies of the optical properties of the SiO2 and ThO2 crystalline systems were performed by ab initio calculations within hybrid functional. The optical properties of the SiO2/ThO2 composite were interpreted on the basis of the Bruggeman effective medium approximation. A quantitative assessment of the yield of isomeric nuclei in ‘hot’ laser plasma at the early stages of expansion was performed. The estimates made with experimental results demonstrated that the laser implantation of thorium ions into the SiO2 matrix can be useful for further research of low-lying isomeric transitions in a 229Th isotope with energy of 7.8 +/- 0.5 eV.

  15. Nanocavity formation processes in MgO( 1 0 0 ) by light ion (D, He, Li) and heavy ion (Kr, Cu, Au) implantation

    NASA Astrophysics Data System (ADS)

    van Veen, A.; van Huis, M. A.; Fedorov, A. V.; Schut, H.; Labohm, F.; Kooi, B. J.; De Hosson, J. Th. M.

    2002-05-01

    In studies on the controlled growth of metallic precipitates in MgO it is attempted to use nanometer size cavities as precursors for formation of metallic precipitates. In MgO nanocavities can easily be generated by light gas ion bombardment at room temperature with typically 30 keV ion energy to a dose of 10 16 cm -2, followed by annealing to 1300 K. It has been shown earlier by transmission electron microscopy (TEM) that the cavities (thickness 2-3 nm and length/width 5-10 nm) have a perfectly rectangular shape bounded by {1 0 0} faces. The majority of the gas has been released at this temperature and the cavities are stable until annealing at 1500 K. The depth location of the cavities and the implanted ions is monitored by positron beam analysis, neutron depth profiling, RBS/channeling and energy dispersive spectroscopy. The presence of metallic nanoprecipitates is detected by optical absorption measurements and by high-resolution XTEM. Surprisingly, all the metallic implants induce, in addition to metallic precipitates in a band at the mean ion range, small rectangular and cubic nanocavities. These are most clearly observed at a depth shallower than the precipitate band. In the case of gold the cavities are produced in close proximity to the crystal surface. The results indicate that in MgO vacancy clustering dominates over Frenkel-pair recombination. Results of molecular dynamics calculations will be used to discuss the observed defect recovery and clustering processes in MgO.

  16. The electrical properties of 60 keV zinc ions implanted into semi-insulating gallium arsenide

    NASA Technical Reports Server (NTRS)

    Littlejohn, M. A.; Anikara, R.

    1972-01-01

    The electrical behavior of zinc ions implanted into chromium-doped semiinsulating gallium arsenide was investigated by measurements of the sheet resistivity and Hall effect. Room temperature implantations were performed using fluence values from 10 to the 12th to 10 to the 15th power/sq cm at 60 keV. The samples were annealed for 30 minutes in a nitrogen atmosphere up to 800 C in steps of 200 C and the effect of this annealing on the Hall effect and sheet resistivity was studied at room temperature using the Van der Pauw technique. The temperature dependence of sheet resistivity and mobility was measured from liquid nitrogen temperature to room temperature. Finally, a measurement of the implanted profile was obtained using a layer removal technique combined with the Hall effect and sheet resistivity measurements.

  17. Investigation of the stability of glass-ceramic composites containing CeTi 2 O 6 and CaZrTi 2 O 7 after ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paknahad, Elham; Grosvenor, Andrew P.

    Glass-ceramic composite materials have been investigated for nuclear waste sequestration applications due to their ability to incorporate large amounts of radioactive waste elements. A key property that needs to be understood when developing nuclear waste sequestration materials is how the structure of the material responds to radioactive decay of nuclear waste elements, which can be simulated by high energy ion implantation. Borosilicate glass-ceramic composites containing brannerite-type (CeTi2O6) or zirconolite-type (CaZrTi2O7) oxides were synthesized at different annealing temperatures and investigated after being implanted with high-energy Au ions to mimic radiation induced structural damage. Backscattered electron (BSE) images were collected to investigatemore » the interaction of the brannerite crystallites with the glass matrix before and after implantation and showed that the morphology of the crystallites in the composite materials were not affected by radiation damage. Surface sensitive Ti K-edge glancing angle XANES spectra collected from the implanted composite materials showed that the structures of the CeTi2O6 and CaZrTi2O7 ceramics were damaged as a result of implantation; however, analysis of Si L2,3-edge XANES spectra indicated that the glass matrix was not affected by ion implantation.« less

  18. Investigation of the stability of glass-ceramic composites containing CeTi2O6 and CaZrTi2O7 after ion implantation

    NASA Astrophysics Data System (ADS)

    Paknahad, Elham; Grosvenor, Andrew P.

    2017-12-01

    Glass-ceramic composite materials have been investigated for nuclear waste sequestration applications due to their ability to incorporate large amounts of radioactive waste elements. A key property that needs to be understood when developing nuclear waste sequestration materials is how the structure of the material responds to radioactive decay of nuclear waste elements, which can be simulated by high energy ion implantation. Borosilicate glass-ceramic composites containing brannerite-type (CeTi2O6) or zirconolite-type (CaZrTi2O7) oxides were synthesized at different annealing temperatures and investigated after being implanted with high-energy Au ions to mimic radiation induced structural damage. Backscattered electron (BSE) images were collected to investigate the interaction of the brannerite crystallites with the glass matrix before and after implantation and showed that the morphology of the crystallites in the composite materials were not affected by radiation damage. Surface sensitive Ti K-edge glancing angle XANES spectra collected from the implanted composite materials showed that the structures of the CeTi2O6 and CaZrTi2O7 ceramics were damaged as a result of implantation; however, analysis of Si L2,3-edge XANES spectra indicated that the glass matrix was not affected by ion implantation.

  19. Laser annealing of ion implanted CZ silicon for solar cell junction formation

    NASA Technical Reports Server (NTRS)

    Katzeff, J. S.

    1981-01-01

    The merits of large spot size pulsed laser annealing of phosphorus implanted, Czochralski grown silicon for function formation of solar cells are evaluated. The feasibility and requirements are also determined to scale-up a laser system to anneal 7.62 cm diameter wafers at a rate of one wafer/second. Results show that laser annealing yields active, defect-free, shallow junction devices. Functional cells with AM 1 conversion efficiencies up to 15.4% for 2 x 2 cm and 2 x 4 cm sizes were attained. For larger cells, 7.62 cm dia., conversion efficiencies ranged up to 14.5%. Experiments showed that texture etched surfaces are not compatible with pulsed laser annealing due to the surface melting caused by the laser energy. When compared with furnace annealed cells, the laser annealed cells generally exhibited conversion efficiencies which were equal to or better than those furnace annealed. In addition, laser annealing has greater throughput potential.

  20. Surface modification of biomaterials using plasma immersion ion implantation and deposition

    PubMed Central

    Lu, Tao; Qiao, Yuqin; Liu, Xuanyong

    2012-01-01

    Although remarkable progress has been made on biomaterial research, the ideal biomaterial that satisfies all the technical requirements and biological functions is not available up to now. Surface modification seems to be a more economic and efficient way to adjust existing conventional biomaterials to meet the current and ever-evolving clinical needs. From an industrial perspective, plasma immersion ion implantation and deposition (PIII&D) is an attractive method for biomaterials owing to its capability of treating objects with irregular shapes, as well as the control of coating composition. It is well acknowledged that the physico-chemical characteristics of biomaterials are the decisive factors greatly affecting the biological responses of biomaterials including bioactivity, haemocompatibility and antibacterial activity. Here, we mainly review the recent advances in surface modification of biomaterials via PIII&D technology, especially titanium alloys and polymers used for orthopaedic, dental and cardiovascular implants. Moreover, the variations of biological performances depending on the physico-chemical properties of modified biomaterials will be discussed. PMID:23741609

  1. The effects of ion implantation on the tribology of perfluoropolyether-lubricated 440C stainless steel couples

    NASA Technical Reports Server (NTRS)

    Shogrin, Bradley; Jones, William R., Jr.; Wilbur, Paul J.; Pilar, Herrera-Fierro; Williamson, Don L.

    1995-01-01

    The lubricating lifetime of thin films of a perfluoropolyether (PFPE) based on hexafluoropropene oxide in the presence of ion implanted 440C stainless steel is presented. Stainless steel discs, either unimplanted or implanted with N2, C, Ti, Ti + N2, or Ti + C had a thin film of PFPE (60-400 A) applied to them reproducibly (+/- 20 percent) and uniformly (+/- 15 percent) using a device developed for this study. The lifetimes of these films were quantified by measuring the number of sliding-wear cycles required to induce an increase in the friction coefficient from an initial value characteristic of the lubricated wear couple to a final, or failure value, characteristic of an unlubricated, unimplanted couple. The tests were performed in a dry nitrogen atmosphere (less than 1 percent RH) at room temperature using a 3 N normal load with a relative sliding speed of 0.05 m/s. The lubricated lifetime of the 440C couple was increased by an order of magnitude by implanting the disc with Ti. Ranked from most to least effective, the implanted species were: Ti; Ti+C; unimplanted; N2; C approximately equals Ti+N2. The mechanism postulated to explain these results involves the formation of a passivating or reactive layer which inhibits or facilitates the production of active sites. The corresponding surface microstructures induced by ion implantation, obtained using x-ray diffraction and conversion electron Mossbauer spectroscopy, ranked from most to least effective in enhancing lubricant lifetime were: amorphous Fe-Cr-Ti; amorphous Fe-Cr-Ti-C + TiC; unimplanted; epsilon-(Fe,Cr)(sub x)N, x = 2 or 3; amorphous Fe-Cr-C approximately equals amorphous Fe-Cr-Ti-N.

  2. Phosphorus sorption on marine carbonate sediment: phosphonate as model organic compounds.

    PubMed

    Huang, Xiao-Lan; Zhang, Jia-Zhong

    2011-11-01

    Organophosphonate, characterized by the presence of a stable, covalent, carbon to phosphorus (C-P) bond, is a group of synthetic or biogenic organophosphorus compounds. The fate of these organic phosphorus compounds in the environment is not well studied. This study presents the first investigation on the sorption of phosphorus (P) in the presence of two model phosphonate compounds, 2-aminothylphosphonoic acid (2-AEP) and phosphonoformic acid (PFA), on marine carbonate sediments. In contrast to other organic P compounds, no significant inorganic phosphate exchange was observed in seawater. P was found to adsorb on the sediment only in the presence of PFA, not 2-AEP. This indicated that sorption of P from phosphonate on marine sediment was compound specific. Compared with inorganic phosphate sorption on the same sediments, P sorption from organic phosphorus is much less in the marine environment. Further study is needed to understand the potential role of the organophosphonate compounds in biogeochemical cycle of phosphorus in the environment. Copyright © 2011 Elsevier Ltd. All rights reserved.

  3. Two-dimensional particle-in-cell plasma source ion implantation of a prolate spheroid target

    NASA Astrophysics Data System (ADS)

    Liu, Cheng-Sen; Han, Hong-Ying; Peng, Xiao-Qing; Chang, Ye; Wang, De-Zhen

    2010-03-01

    A two-dimensional particle-in-cell simulation is used to study the time-dependent evolution of the sheath surrounding a prolate spheroid target during a high voltage pulse in plasma source ion implantation. Our study shows that the potential contour lines pack more closely in the plasma sheath near the vertex of the major axis, i.e. where a thinner sheath is formed, and a non-uniform total ion dose distribution is incident along the surface of the prolate spheroid target due to the focusing of ions by the potential structure. Ion focusing takes place not only at the vertex of the major axis, where dense potential contour lines exist, but also at the vertex of the minor axis, where sparse contour lines exist. This results in two peaks of the received ion dose, locating at the vertices of the major and minor axes of the prolate spheroid target, and an ion dose valley, staying always between the vertices, rather than at the vertex of the minor axis.

  4. Effect of nitrogen segregation on TED and loss of phosphorus in CZ-Si

    NASA Astrophysics Data System (ADS)

    Fujiwara, N.; Saito, K.; Nakabayashi, Y.; Osuman, H. I.; Toyonaga, K.; Matsumoto, S.; Sato, Y.

    2002-01-01

    Transient enhanced diffusion (TED) and dose loss (pile-up) are investigated for phosphorus-implanted samples covered with both oxide and nitride films. P ions were implanted into p-type (1 0 0) CZ-Si (dose 5×10 13 cm-3, 100 keV) through a chemical vapor deposition (CVD) Si 3N 4 film. For a half of samples, Si 3N 4 was etched off and SiO 2 films were grown by CVD. Both samples were annealed for 20-360 min at 700 °C. Diffusivity of P and the dose loss were estimated based on the secondary-ion mass spectrometry (SIMS) P profiles. Both Si/Si 3N 4 and Si/SiO 2 interfaces were investigated with transmission electron microscopy (TEM) and electron energy-loss spectroscopy (EELS). There is no significant difference in P diffusivity between the SiO 2 and Si 3N 4 films for the present annealing condition of 700 °C for 20-360 min. Regarding dose loss, a distinct different behavior was observed. In case of the SiO 2 cover film, amount of dose decreases with the annealing time. On the other hand, amount of dose decrease with annealing time up to 180 min, but is recovered for more than 180 min in case of the Si 3N 4 cover film. From TEM and EELS analyses, it is found that nitrogen segregates at the Si/Si 3N 4 interface, resulting in recovery of dose loss.

  5. A synthetic multifunctional mammalian pH sensor and CO2 transgene-control device.

    PubMed

    Ausländer, David; Ausländer, Simon; Charpin-El Hamri, Ghislaine; Sedlmayer, Ferdinand; Müller, Marius; Frey, Olivier; Hierlemann, Andreas; Stelling, Jörg; Fussenegger, Martin

    2014-08-07

    All metabolic activities operate within a narrow pH range that is controlled by the CO2-bicarbonate buffering system. We hypothesized that pH could serve as surrogate signal to monitor and respond to the physiological state. By functionally rewiring the human proton-activated cell-surface receptor TDAG8 to chimeric promoters, we created a synthetic signaling cascade that precisely monitors extracellular pH within the physiological range. The synthetic pH sensor could be adjusted by organic acids as well as gaseous CO2 that shifts the CO2-bicarbonate balance toward hydrogen ions. This enabled the design of gas-programmable logic gates, provided remote control of cellular behavior inside microfluidic devices, and allowed for CO2-triggered production of biopharmaceuticals in standard bioreactors. When implanting cells containing the synthetic pH sensor linked to production of insulin into type 1 diabetic mice developing diabetic ketoacidosis, the prosthetic network automatically scored acidic pH and coordinated an insulin expression response that corrected ketoacidosis. Copyright © 2014 Elsevier Inc. All rights reserved.

  6. Cryogenic ion implantation near amorphization threshold dose for halo/extension junction improvement in sub-30 nm device technologies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Hugh; Todorov, Stan; Colombeau, Benjamin

    2012-11-06

    We report on junction advantages of cryogenic ion implantation with medium current implanters. We propose a methodical approach on maximizing cryogenic effects on junction characteristics near the amorphization threshold doses that are typically used for halo implants for sub-30 nm technologies. BF{sub 2}{sup +} implant at a dose of 8 Multiplication-Sign 10{sup 13}cm{sup -2} does not amorphize silicon at room temperature. When implanted at -100 Degree-Sign C, it forms a 30 - 35 nm thick amorphous layer. The cryogenic BF{sub 2}{sup +} implant significantly reduces the depth of the boron distribution, both as-implanted and after anneals, which improves short channelmore » rolloff characteristics. It also creates a shallower n{sup +}-p junction by steepening profiles of arsenic that is subsequently implanted in the surface region. We demonstrate effects of implant sequences, germanium preamorphization, indium and carbon co-implants for extension/halo process integration. When applied to sequences such as Ge+As+C+In+BF{sub 2}{sup +}, the cryogenic implants at -100 Degree-Sign C enable removal of Ge preamorphization, and form more active n{sup +}-p junctions and steeper B and In halo profiles than sequences at room temperature.« less

  7. Method of removing and detoxifying a phosphorus-based substance

    DOEpatents

    Vandegrift, George F.; Steindler, Martin J.

    1989-01-01

    A method of removing organic phosphorus-based poisonous substances from water contaminated therewith and of subsequently destroying the toxicity of the substance is disclosed. Initially, a water-immiscible organic is immobilized on a supported liquid membrane. Thereafter, the contaminated water is contacted with one side of the supported liquid membrane to selectively dissolve the phosphorus-based substance in the organic extractant. At the same time, the other side of the supported liquid membrane is contacted with a hydroxy-affording strong base to react the phosphorus-based substance dissolved by the organic extractant with a hydroxy ion. This forms a non-toxic reaction product in the base. The organic extractant can be a water-insoluble trialkyl amine, such as trilauryl amine. The phosphorus-based substance can be phosphoryl or a thiophosphoryl.

  8. Plasma immersion ion implantation of polyurethane shape memory polymer: Surface properties and protein immobilization

    NASA Astrophysics Data System (ADS)

    Cheng, Xinying; Kondyurin, Alexey; Bao, Shisan; Bilek, Marcela M. M.; Ye, Lin

    2017-09-01

    Polyurethane-type shape memory polymers (SMPU) are promising biomedical implant materials due to their ability to recover to a predetermined shape from a temporary shape induced by thermal activation close to human body temperature and their advantageous mechanical properties including large recovery strains and low recovery stresses. Plasma Immersion Ion Implantation (PIII) is a surface modification process using energetic ions that generates radicals in polymer surfaces leading to carbonisation and oxidation and the ability to covalently immobilise proteins without the need for wet chemistry. Here we show that PIII treatment of SMPU significantly enhances its bioactivity making SMPU suitable for applications in permanent implantable biomedical devices. Scanning Electron Microscopy (SEM), contact angle measurements, surface energy measurements, attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) were used to characterise the PIII modified surface, including its after treatment aging kinetics and its capability to covalently immobilise protein directly from solution. The results show a substantial improvement in wettability and dramatic changes of surface chemical composition dependent on treatment duration, due to the generation of radicals and subsequent oxidation. The SMPU surface, PIII treated for 200s, achieved a saturated level of covalently immobilized protein indicating that a full monolayer coverage was achieved. We conclude that PIII is a promising and efficient surface modification method to enhance the biocompatibility of SMPU for use in medical applications that demand bioactivity for tissue integration and stability in vivo.

  9. Increasing synthetic serum substitute (SSS) concentrations in P1 glucose/phosphate-free medium improves implantation rate: a comparative study.

    PubMed

    Ben-Yosef, D; Yovel, I; Schwartz, T; Azem, F; Lessing, J B; Amit, A

    2001-11-01

    To assess the comparative efficacy of IVF medium (MediCult, with 5.2 mM glucose) and a glucose/phosphate-free medium, P1 (Irvine Scientific), and to investigate the influence of increasing the serum supplementation (synthetic serum substitute; SSS; Irvine Scientific) to P1 on embryo development and implantation. Patients were randomly assigned to IVF medium (Group 1, cycles n = 172) or P1 supplemented with 10% SSS (Group 2, cycles n = 229) according to the medium scheduled for use on the day of oocyte retrieval. Another 555 IVF consequent cycles (Group 3) were performed using increased SSS concentrations (20%) in P1 medium. In this large series of IVF cycles, we herein demonstrate that significantly higher pregnancy and implantation rates were found when embryos were cultured in glucose/phosphate-free medium P1 supplemented with 20% SSS compared to supplementation with the lower SSS concentration and with IVF medium.

  10. Study on the formation of graphene by ion implantation on Cu, Ni and CuNi alloy

    NASA Astrophysics Data System (ADS)

    Kim, Janghyuk; Kim, Hong-Yeol; Jeon, Jeong Heum; An, Sungjoo; Hong, Jongwon; Kim, Jihyun

    2018-09-01

    This study identifies the details for direct synthesis of graphene by carbon ion implantation on Cu, Ni and CuNi alloy. Firstly, diffusion and concentration of carbon atoms in Cu and Ni are estimated separately. The concentrations of carbon atoms near the surfaces of Cu and Ni after carbon ion implantation and subsequent thermal annealing were correlated with the number of atoms and with the coverage or thickness of graphene. Systematic experiments showed that the Cu has higher carbon diffusivity and graphene coverage than Ni but higher temperatures and longer annealing times are required to synthesize graphene, similar to those in chemical vapor deposition method. The CuNi system shows better graphene coverage and quality than that on a single metal catalyst even after a short annealing time, as it has larger carbon diffusivity and lower carbon solubility than Ni and shows lower activation energy than Cu.

  11. The use of an ion-beam source to alter the surface morphology of biological implant materials

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.

    1978-01-01

    An electron-bombardment ion-thruster was used as a neutralized-ion-beam sputtering source to texture the surfaces of biological implant materials. The materials investigated included 316 stainless steel; titanium-6% aluminum, 4% vanadium; cobalt-20% chromium, 15% tungsten; cobalt-35% nickel, 20% chromium, 10% molybdenum; polytetrafluoroethylene; polyoxymethylene; silicone and polyurethane copolymer; 32%-carbon-impregnated polyolefin; segmented polyurethane; silicone rubber; and alumina. Scanning electron microscopy was used to determine surface morphology changes of all materials after ion-texturing. Electron spectroscopy for chemical analysis was used to determine the effects of ion-texturing on the surface chemical composition of some polymers. Liquid contact angle data were obtained for ion-textured and untextured polymer samples. Results of tensile and fatigue tests of ion-textured metal alloys are presented. Preliminary data of tissue response to ion-textured surfaces of some metals, polytetrafluoroethylene, alumina, and segmented polyurethane have been obtained.

  12. Nanomechanical investigation of ion implanted single crystals - Challenges, possibilities and pitfall traps related to nanoindentation

    NASA Astrophysics Data System (ADS)

    Kurpaska, Lukasz

    2017-10-01

    Nanoindentation technique have developed considerably over last thirty years. Nowadays, commercially available systems offer very precise measurement in nano- and microscale, environmental noise cancelling (or at least noise suppressing), in situ high temperature indentation in controlled atmosphere and vacuum conditions and different additional options, among them dedicated indentation is one of the most popular. Due to its high precision, and ability to measure mechanical properties from very small depths (tens of nm), this technique become quite popular in the nuclear society. It is known that ion implantation (to some extent) can simulate the influence of neutron flux. However, depth of the material damage is very limited resulting in creation of thin layer of modified material over unmodified bulk. Therefore, only very precise technique, offering possibility to control depth of the measurement can be used to study functional properties of the material. For this reason, nanoindentation technique seems to be a perfect tool to investigate mechanical properties of ion implanted specimens. However, conducting correct nanomechanical experiment and extracting valuable mechanical parameters is not an easy task. In this paper a discussion about the nanoindentation tests performed on ion irradiated YSZ single crystal is presented. The goal of this paper is to discuss possible traps when studying mechanical properties of such materials and thin coatings.

  13. Mechanical properties of pulsed laser-deposited hydroxyapatite thin films implanted at high energy with N + and Ar + ions. Part II: nano-scratch tests with spherical tipped indenter

    NASA Astrophysics Data System (ADS)

    Pelletier, H.; Nelea, V.; Mille, P.; Muller, D.

    2004-02-01

    In this study we report a method to improve the adherence of hydroxyapatite (HA) thin films, using an ion beam implantation treatment. Crystalline HA films were grown by pulsed laser deposition technique (PLD), using an excimer KrF * laser. The films were deposited at room temperature in vacuum on Ti-5Al-2.5Fe alloy substrates previously coated with a ceramic TiN buffer layer and then annealed in ambient air at (500-600) °C. After deposition the films were implanted with N + and Ar + ions accelerated at high energy (1-1.5 MeV range) at a fixed dose of 10 16 cm -2. The intrinsic mechanical resistance and adherence to the TiN buffer layer of the implanted HA films have been evaluated by nano-scratch tests. We used for measurements a spherical indenter with a tip radius of 5 μm. Different scratch tests have been performed on implanted and unimplanted areas of films to put into evidence the effects of N + and Ar + ion implantation process on the films properties. Results show an enhancement of the dynamic mechanical properties in the implanted zones and influence of the nature of the implanted species. The best results are obtained for films implanted with nitrogen.

  14. Analysis and evalaution in the production process and equipment area of the low-cost solar array project. [including modifying gaseous diffusion and using ion implantation

    NASA Technical Reports Server (NTRS)

    Goldman, H.; Wolf, M.

    1979-01-01

    The manufacturing methods for photovoltaic solar energy utilization are assessed. Economic and technical data on the current front junction formation processes of gaseous diffusion and ion implantation are presented. Future proposals, including modifying gaseous diffusion and using ion implantation, to decrease the cost of junction formation are studied. Technology developments in current processes and an economic evaluation of the processes are included.

  15. Low-energy plasma immersion ion implantation to induce DNA transfer into bacterial E. coli

    NASA Astrophysics Data System (ADS)

    Sangwijit, K.; Yu, L. D.; Sarapirom, S.; Pitakrattananukool, S.; Anuntalabhochai, S.

    2015-12-01

    Plasma immersion ion implantation (PIII) at low energy was for the first time applied as a novel biotechnology to induce DNA transfer into bacterial cells. Argon or nitrogen PIII at low bias voltages of 2.5, 5 and 10 kV and fluences ranging from 1 × 1012 to 1 × 1017 ions/cm2 treated cells of Escherichia coli (E. coli). Subsequently, DNA transfer was operated by mixing the PIII-treated cells with DNA. Successes in PIII-induced DNA transfer were demonstrated by marker gene expressions. The induction of DNA transfer was ion-energy, fluence and DNA-size dependent. The DNA transferred in the cells was confirmed functioning. Mechanisms of the PIII-induced DNA transfer were investigated and discussed in terms of the E. coli cell envelope anatomy. Compared with conventional ion-beam-induced DNA transfer, PIII-induced DNA transfer was simpler with lower cost but higher efficiency.

  16. Formation of silicon nanocrystals in sapphire by ion implantation and the origin of visible photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yerci, S.; Serincan, U.; Dogan, I.

    2006-10-01

    Silicon nanocrystals, average sizes ranging between 3 and 7 nm, were formed in sapphire matrix by ion implantation and subsequent annealing. Evolution of the nanocrystals was detected by Raman spectroscopy and x-ray diffraction (XRD). Raman spectra display that clusters in the matrix start to form nanocrystalline structures at annealing temperatures as low as 800 deg. C in samples with high dose Si implantation. The onset temperature of crystallization increases with decreasing dose. Raman spectroscopy and XRD reveal gradual transformation of Si clusters into crystalline form. Visible photoluminescence band appears following implantation and its intensity increases with subsequent annealing process. Whilemore » the center of the peak does not shift, the intensity of the peak decreases with increasing dose. The origin of the observed photoluminescence is discussed in terms of radiation induced defects in the sapphire matrix.« less

  17. [Mechanical testing of implant properties of thoracoscopic implantation of ventral spinal stabilizing systems. Comparative study with the ISO/DIS 12189-2 corpectomy model and an improved synthetic model].

    PubMed

    Grupp, T M; Beisse, R; Potulski, M; Marnay, T; Beger, J; Blömer, W

    2002-04-01

    A new modular anterior fixation system MACS TL (modular anterior construct system for the thoracic and lumbar spine) has been developed for use in thoracoscopic spondylodesis. This system demonstrates high angular stability and meets the surgical requirements for an endoscopic approach. The objective of the current study was fatigue testing of the MACS TL implant system using a corpectomy model according to ISO/DIS 12189-2 and a synthetic model recently developed by Kotani et al. [6]. The MACS TL system demonstrated good mechanical properties with a high stiffness compared to the published data reviewed. The importance of dynamic testing in a corpectomy model has been demonstrated by comparing the MACS TL plate system with an early prototype, which has not yet been clinically evaluated. The corpectomy model according to Kotani et al. offers an interesting alternative to the ISO/DIS 12189-2 test method for asymmetrically designed and antero-laterally positioned spinal implants due to the unconstrained ball joint.

  18. Evidence for the dissolution of molybdenum during tribocorrosion of CoCrMo hip implants in the presence of serum protein.

    PubMed

    Simoes, Thiago A; Bryant, Michael G; Brown, Andy P; Milne, Steven J; Ryan, Mary; Neville, Anne; Brydson, Rik

    2016-11-01

    We have characterized CoCrMo, Metal-on-Metal (MoM) implant, wear debris particles and their dissolution following cycling in a hip simulator, and have related the results to the tribocorrosion of synthetic wear debris produced by milling CoCrMo powders in solutions representative of environments in the human body. Importantly, we have employed a modified ICP-MS sample preparation procedure to measure the release of ions from CoCrMo alloys during wear simulation in different media; this involved use of nano-porous ultrafilters which allowed complete separation of particles from free ions and complexes in solution. As a result, we present a new perspective on the release of metal ions and formation of metal complexes from CoCrMo implants. The new methodology enables the mass balance of ions relative to complexes and particles during tribocorrosion in hip simulators to be determined. A much higher release of molybdenum ions relative to cobalt and chromium has been measured. The molybdenum dissolution was enhanced by the presence of bovine serum albumin (BSA), possibly due to the formation of metal-protein complexes. Overall, we believe that the results could have significant implications for the analysis and interpretation of metal ion levels in fluids extracted from hip arthroplasty patients; we suggest that metal levels, including molybdenum, be analysed in these fluids using the protocol described here. We have developed an important new protocol for the analysis of metal ion levels in fluids extracted from hip implant patients and also hip simulators. Using this procedure, we present a new perspective on the release of metal ions from CoCrMo alloy implants, revealing significantly lower levels of metal ion release during tribocorrosion in hip simulators than previously thought, combined with the release of much higher percentages of molybdenum ions relative to cobalt and chromium. This work is of relevance, both from the perspective of the fundamental science and

  19. Remaining useful life assessment of lithium-ion batteries in implantable medical devices

    NASA Astrophysics Data System (ADS)

    Hu, Chao; Ye, Hui; Jain, Gaurav; Schmidt, Craig

    2018-01-01

    This paper presents a prognostic study on lithium-ion batteries in implantable medical devices, in which a hybrid data-driven/model-based method is employed for remaining useful life assessment. The method is developed on and evaluated against data from two sets of lithium-ion prismatic cells used in implantable applications exhibiting distinct fade performance: 1) eight cells from Medtronic, PLC whose rates of capacity fade appear to be stable and gradually decrease over a 10-year test duration; and 2) eight cells from Manufacturer X whose rates appear to be greater and show sharp increase after some period over a 1.8-year test duration. The hybrid method enables online prediction of remaining useful life for predictive maintenance/control. It consists of two modules: 1) a sparse Bayesian learning module (data-driven) for inferring capacity from charge-related features; and 2) a recursive Bayesian filtering module (model-based) for updating empirical capacity fade models and predicting remaining useful life. A generic particle filter is adopted to implement recursive Bayesian filtering for the cells from the first set, whose capacity fade behavior can be represented by a single fade model; a multiple model particle filter with fixed-lag smoothing is proposed for the cells from the second data set, whose capacity fade behavior switches between multiple fade models.

  20. Improvements of anti-corrosion and mechanical properties of NiTi orthopedic materials by acetylene, nitrogen and oxygen plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Poon, Ray W. Y.; Ho, Joan P. Y.; Liu, Xuanyong; Chung, C. Y.; Chu, Paul K.; Yeung, Kelvin W. K.; Lu, William W.; Cheung, Kenneth M. C.

    2005-08-01

    Nickel-titanium shape memory alloys (NiTi) are useful materials in orthopedics and orthodontics due to their unique super-elasticity and shape memory effects. However, the problem associated with the release of harmful Ni ions to human tissues and fluids has been raising safety concern. Hence, it is necessary to produce a surface barrier to impede the out-diffusion of Ni ions from the materials. We have conducted acetylene, nitrogen and oxygen plasma immersion ion implantation (PIII) into NiTi alloys in an attempt to improve the surface properties. All the implanted and annealed samples surfaces exhibit outstanding corrosion and Ni out-diffusion resistance. Besides, the implanted layers are mechanically stronger than the substrate underneath. XPS analyses disclose that the layer formed by C2H2 PIII is composed of mainly TiCx with increasing Ti to C concentration ratios towards the bulk. The nitrogen PIII layer is observed to be TiN, whereas the oxygen PIII layer is composed of oxides of Ti4+, Ti3+ and Ti2+.