Sample records for photochemical etching reaction

  1. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1988-06-16

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  2. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, Carol I. H.; Myers, David R.; Vook, Frederick L.

    1989-01-01

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  3. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg.sub.1 in the presence of a second semiconductor material of a different composition and direct bandgap Eg.sub.2, wherein Eg.sub.2 >Eg.sub.1, said second semiconductor material substantially not being etched during said method, comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg.sub.1 but less than Eg.sub.2, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  4. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.I.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg/sub 1/ in the presence of a second semiconductor material of a different composition and direct bandgap Eg/sub 2/, wherein Eg/sub 2/ > Eg/sub 1/, said second semiconductor material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg/sub 1/ but less than Eg/sub 2/, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  5. Dopant type and/or concentration selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition in the presence of a second semiconductor material which is of a composition different from said first material, said second material substantially not being etched during said method, comprises subjecting both materials to the same photon flux of an energy greater than their respective direct bandgaps and to the same gaseous chemical etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said conditions also being such that the resultant electronic structure of the first semiconductor material under said photon flux is sufficient for the first material to undergo substantial photochemical etching under said conditions and being such that the resultant electronic structure of the second semiconductor material under said photon flux is not sufficient for the second material to undergo substantial photochemical etching under said conditions. In a preferred mode, the materials are subjected to a bias voltage which suppresses etching in n- or p- type material but not in p- or n-type material, respectively; or suppresses etching in the more heavily doped of two n-type or two p-type materials.

  6. Fabrication of gallium nitride nanowires by metal-assisted photochemical etching

    NASA Astrophysics Data System (ADS)

    Zhang, Miao-Rong; Jiang, Qing-Mei; Zhang, Shao-Hui; Wang, Zu-Gang; Hou, Fei; Pan, Ge-Bo

    2017-11-01

    Gallium nitride (GaN) nanowires (NWs) were fabricated by metal-assisted photochemical etching (MaPEtch). Gold nanoparticles (AuNPs) as metal catalyst were electrodeposited on the GaN substrate. SEM and HRTEM images show the surface of GaN NWs is smooth and clean without any impurity. SAED and FFT patterns demonstrate GaN NWs have single crystal structure, and the crystallographic orientation of GaN NWs is (0002) face. On the basis of the assumption of localized galvanic cells, combined with the energy levels and electrochemical potentials of reactants in this etching system, the generation, transfer and consumption of electron-hole pairs reveal the whole MaPEtch reaction process. Such easily fabricated GaN NWs have great potential for the assembly of GaN-based single-nanowire nanodevices.

  7. Dopant type and/or concentration selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.R.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition in the presence of a second semiconductor material which is of a composition different from said first material, said second material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux of an energy greater than their respective direct bandgaps and to the same gaseous chemical etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said conditions also being such that the resultant electronic structure of the first semiconductor material under said photon flux is sufficient for the first material to undergo substantial photochemical etching under said conditions and being such that the resultant electronic structure of the second semiconductor material under said photon flux is not sufficient for the second material to undergo substantial photochemical etching under said conditions. In a preferred mode, the materials are subjected to a bias voltage which suppresses etching in n- or p-type material but not in p- or n-type material, respectively; or suppresses etching in the more heavily doped of two n-type or two p-type materials.

  8. A plasmaless, photochemical etch process for porous organosilicate glass films

    NASA Astrophysics Data System (ADS)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  9. Catalysis of Photochemical Reactions.

    ERIC Educational Resources Information Center

    Albini, A.

    1986-01-01

    Offers a classification system of catalytic effects in photochemical reactions, contrasting characteristic properties of photochemical and thermal reactions. Discusses catalysis and sensitization, examples of catalyzed reactions of excepted states, complexing ground state substrates, and catalysis of primary photoproducts. (JM)

  10. Metal assisted photochemical etching of 4H silicon carbide

    NASA Astrophysics Data System (ADS)

    Leitgeb, Markus; Zellner, Christopher; Schneider, Michael; Schwab, Stefan; Hutter, Herbert; Schmid, Ulrich

    2017-11-01

    Metal assisted photochemical etching (MAPCE) of 4H-silicon carbide (SiC) in Na2S2O8/HF and H2O2/HF aqueous solutions is investigated with platinum as metallic cathode. The formation process of the resulting porous layer is studied with respect to etching time, concentration and type of oxidizing agent. From the experiments it is concluded that the porous layer formation is due to electron hole pairs generated in the semiconductor, which stem from UV light irradiation. The generated holes are consumed during the oxidation of 4H-SiC and the formed oxide is dissolved by HF. To maintain charge balance, the oxidizing agent has to take up electrons at the Pt/etching solution interface. Total dissolution of the porous layers is achieved when the oxidizing agent concentration decreases during MAPCE. In combination with standard photolithography, the definition of porous regions is possible. Furthermore chemical micromachining of 4 H-SiC at room temperature is possible.

  11. Enantioselective catalysis of photochemical reactions.

    PubMed

    Brimioulle, Richard; Lenhart, Dominik; Maturi, Mark M; Bach, Thorsten

    2015-03-23

    The nature of the excited state renders the development of chiral catalysts for enantioselective photochemical reactions a considerable challenge. The absorption of a 400 nm photon corresponds to an energy uptake of approximately 300 kJ mol(-1) . Given the large distance to the ground state, innovative concepts are required to open reaction pathways that selectively lead to a single enantiomer of the desired product. This Review outlines the two major concepts of homogenously catalyzed enantioselective processes. The first part deals with chiral photocatalysts, which intervene in the photochemical key step and induce an asymmetric induction in this step. In the second part, reactions are presented in which the photochemical excitation is mediated by an achiral photocatalyst and the transfer of chirality is ensured by a second chiral catalyst (dual catalysis). © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Nanoporous Gallium Nitride Through Anisotropic Metal-Assisted Electroless Photochemical Wet Etching Technique

    NASA Astrophysics Data System (ADS)

    Perumal, R.; Hassan, Z.

    2016-12-01

    Nanoporous gallium nitride (GaN) has many potential applications in light-emitting diodes (LEDs), photovoltaics, templates and chemical sensors. This article reports the porosification of GaN through UV enhanced metal-assisted electroless photochemical wet etching technique using three different acid-based etchants and platinum served as catalyst for porosification. The etching process was conducted at room temperature for a duration of 90min. The morphological, structural, spectral and optical features of the developed porous GaN were studied with appropriate characterization techniques and the obtained results were presented. Field emission scanning electron micrographs exhibited the porosity nature along with excellent porous network of the etched samples. Structural studies confirmed the mono crystalline quality of the porous nanostructures. Raman spectral analyzes inferred the presenting phonon modes such as E2 (TO) and A1 (LO) in fabricated nanoporous structures. The resulted porous nanostructures hold the substantially enhanced photoluminescence intensity compared with the pristine GaN epitaxial film that is interesting and desirable for several advances in the applications of Nano-optoelectronic devices.

  13. Iminium and enamine catalysis in enantioselective photochemical reactions.

    PubMed

    Zou, You-Quan; Hörmann, Fabian M; Bach, Thorsten

    2018-01-22

    Although enantioselective catalysis under thermal conditions has been well established over the last few decades, the enantioselective catalysis of photochemical reactions is still a challenging task resulting from the complex enantiotopic face differentiation in the photoexcited state. Recently, remarkable achievements have been reported by a synergistic combination of organocatalysis and photocatalysis, which have led to the expedient construction of a diverse range of enantioenriched molecules which are generally not easily accessible under thermal conditions. In this tutorial review, we summarize and highlight the most significant advances in iminium and enamine catalysis of enantioselective photochemical reactions, with an emphasis on catalytic modes and reaction types.

  14. Iminium and enamine catalysis in enantioselective photochemical reactions

    PubMed Central

    Hörmann, Fabian M.

    2018-01-01

    Although enantioselective catalysis under thermal conditions has been well established over the last few decades, the enantioselective catalysis of photochemical reactions is still a challenging task resulting from the complex enantiotopic face differentiation in the photoexcited state. Recently, remarkable achievements have been reported by a synergistic combination of organocatalysis and photocatalysis, which have led to the expedient construction of a diverse range of enantioenriched molecules which are generally not easily accessible under thermal conditions. In this tutorial review, we summarize and highlight the most significant advances in iminium and enamine catalysis of enantioselective photochemical reactions, with an emphasis on catalytic modes and reaction types. PMID:29155908

  15. Pauson-Khand reactions in a photochemical flow microreactor.

    PubMed

    Asano, Keisuke; Uesugi, Yuki; Yoshida, Jun-ichi

    2013-05-17

    Pauson-Khand reactions were achieved at ambient temperature without any additive using a photochemical flow microreactor. The efficiency of the reaction was better than that in a conventional batch reactor, and the reaction could be operated continuously for 1 h.

  16. Degradation of artificial sweeteners via direct and indirect photochemical reactions.

    PubMed

    Perkola, Noora; Vaalgamaa, Sanna; Jernberg, Joonas; Vähätalo, Anssi V

    2016-07-01

    We studied the direct and indirect photochemical reactivity of artificial sweeteners acesulfame, saccharin, cyclamic acid and sucralose in environm entally relevant dilute aqueous solutions. Aqueous solutions of sweeteners were irradiated with simulated solar radiation (>290 nm; 96 and 168 h) or ultraviolet radiation (UVR; up to 24 h) for assessing photochemical reactions in surface waters or in water treatment, respectively. The sweeteners were dissolved in deionised water for examination of direct photochemical reactions. Direct photochemical reactions degraded all sweeteners under UVR but only acesulfame under simulated solar radiation. Acesulfame was degraded over three orders of magnitude faster than the other sweeteners. For examining indirect photochemical reactions, the sweeteners were dissolved in surface waters with indigenous dissolved organic matter or irradiated with aqueous solutions of nitrate (1 mg N/L) and ferric iron (2.8 mg Fe/L) introduced as sensitizers. Iron enhanced the photodegradation rates but nitrate and dissolved organic matter did not. UVR transformed acesulfame into at least three products: iso-acesulfame, hydroxylated acesulfame and hydroxypropanyl sulfate. Photolytic half-life was one year for acesulfame and more than several years for the other sweeteners in surface waters under solar radiation. Our study shows that the photochemical reactivity of commonly used artificial sweeteners is variable: acesulfame may be sensitive to photodegradation in surface waters, while saccharin, cyclamic acid and sucralose degrade very slowly even under the energetic UVR commonly used in water treatment.

  17. Cyclic photochemical re-growth of gold nanoparticles: Overcoming the mask-erosion limit during reactive ion etching on the nanoscale

    PubMed Central

    Seidenstücker, Axel; Plettl, Alfred; Ziemann, Paul

    2013-01-01

    Summary The basic idea of using hexagonally ordered arrays of Au nanoparticles (NP) on top of a given substrate as a mask for the subsequent anisotropic etching in order to fabricate correspondingly ordered arrays of nanopillars meets two serious obstacles: The position of the NP may change during the etching process and, thus, the primary pattern of the mask deteriorates or is completely lost. Furthermore, the NP are significantly eroded during etching and, consequently, the achievable pillar height is strongly restricted. The present work presents approaches on how to get around both problems. For this purpose, arrays of Au NPs (starting diameter 12 nm) are deposited on top of silica substrates by applying diblock copolymer micelle nanolithography (BCML). It is demonstrated that evaporated octadecyltrimethoxysilane (OTMS) layers act as stabilizer on the NP position, which allows for an increase of their size up to 50 nm by an electroless photochemical process. In this way, ordered arrays of silica nanopillars are obtained with maximum heights of 270 nm and aspect ratios of 5:1. Alternatively, the NP position can be fixed by a short etching step with negligible mask erosion followed by cycles of growing and reactive ion etching (RIE). In that case, each cycle is started by photochemically re-growing the Au NP mask and thereby completely compensating for the erosion due to the previous cycle. As a result of this mask repair method, arrays of silica nanopillar with heights up to 680 nm and aspect ratios of 10:1 are fabricated. Based on the given recipes, the approach can be applied to a variety of materials like silicon, silicon oxide, and silicon nitride. PMID:24367758

  18. Spectroscopic and kinetic studies of photochemical reaction of magnesium tetraphenylporphyrin with oxygen.

    PubMed

    Zhang, Jianbin; Zhang, Pengyan; Zhang, Zhengfu; Wei, Xionghui

    2009-05-07

    Magnesium tetraphenylporphyrin (MgTPP) was synthesized from meso-tetraphenylporphyrin (H(2)TPP) in N,N-dimethylformamide (DMF). The photochemical properties of MgTPP in the presence of oxygen were investigated in dichloromethane (CH(2)Cl(2)) by conventional fluorescence, UV-vis, (1)H NMR, MALDI-TOF-MS, FTIR, and XPS spectroscopic techniques. Spectral analyses showed that under irradiation, MgTPP molecules reacted with O(2) molecules, and a stable 1:1 adduct was produced. During the photochemical reaction process, one oxygen molecule was bound to the pyrrolenine nitrogens in the MgTPP molecule, and the characteristic N-O bonds were identified using the FTIR and XPS techniques. The kinetics of the photochemical reaction of MgTPP with O(2) has been studied in an oxygen-saturated solution. Under irradiation conditions, the experimental rate follows a pseudo-first-order reaction for MgTPP, having a half-life from 40 to 130 min under various irradiation intensities. The kinetic rate constant of photochemical reaction of MgTPP with O(2) showed a linear dependence.

  19. Temporal mapping of photochemical reactions and molecular excited states with carbon specificity

    NASA Astrophysics Data System (ADS)

    Wang, K.; Murahari, P.; Yokoyama, K.; Lord, J. S.; Pratt, F. L.; He, J.; Schulz, L.; Willis, M.; Anthony, J. E.; Morley, N. A.; Nuccio, L.; Misquitta, A.; Dunstan, D. J.; Shimomura, K.; Watanabe, I.; Zhang, S.; Heathcote, P.; Drew, A. J.

    2017-04-01

    Photochemical reactions are essential to a large number of important industrial and biological processes. A method for monitoring photochemical reaction kinetics and the dynamics of molecular excitations with spatial resolution within the active molecule would allow a rigorous exploration of the pathway and mechanism of photophysical and photochemical processes. Here we demonstrate that laser-excited muon pump-probe spin spectroscopy (photo-μSR) can temporally and spatially map these processes with a spatial resolution at the single-carbon level in a molecule with a pentacene backbone. The observed time-dependent light-induced changes of an avoided level crossing resonance demonstrate that the photochemical reactivity of a specific carbon atom is modified as a result of the presence of the excited state wavefunction. This demonstrates the sensitivity and potential of this technique in probing molecular excitations and photochemistry.

  20. Photochemical reactions of aromatic compounds and the concept of the photon as a traceless reagent.

    PubMed

    Hoffmann, Norbert

    2012-11-01

    Electronic excitation significantly changes the reactivity of chemical compounds. Compared to ground state reactions, photochemical reactions considerably enlarge the application spectrum of a particular functional group in organic synthesis. Multistep syntheses may be simplified and perspectives for target oriented synthesis (TOS) and diversity oriented synthesis (DOS) are developed. New compound families become available or may be obtained more easily. In contrast to common chemical reagents, photons don't generate side products resulting from the transformation of a chemical reagent. Therefore, they are considered as a traceless reagent. Consequently, photochemical reactions play a central role in the methodology of sustainable chemistry. This aspect has been recognized since the beginning of the 20th century. As with many other photochemical transformations, photochemical reactions of aromatic, benzene-like compounds illustrate well the advantages in this context. Photochemical cycloadditions of aromatic compounds have been investigated for a long time. Currently, they are applied in various fields of organic synthesis. They are also studied in supramolecular structures. The phenomena of reactivity and stereoselectivity are investigated. During recent years, photochemical electron transfer mediated reactions are particularly focused. Such transformations have likewise been performed with aromatic compounds. Reactivity and selectivity as well as application to organic synthesis are studied.

  1. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    NASA Astrophysics Data System (ADS)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  2. Fabrication of refractive index distributions in polymer using a photochemical reaction

    NASA Astrophysics Data System (ADS)

    Kada, Takeshi; Obara, Atsushi; Watanabe, Toshiyuki; Miyata, Seizo; Liang, Chuan Xin; Machida, Hideaki; Kiso, Koichi

    2000-01-01

    We demonstrate that a photochemical reaction can create various distributions of refractive index in polymer. When the polymer containing a photochemically active material is irradiated by UV light, the photochemical reaction which breaks the π-conjugated system in the material and decreases its linear polarizability can reduce refractive index of the polymer. We prepared a PMMA film added DMAPN ((4-N,N-dimethylaminophenyl)-N'-phenylnitrone) with a rate of 23 wt % by use of spin coating. Electronic structural change of DMAPN and refractive indices of the film before and after UV irradiation were evaluated by UV absorption spectra and m-line method, respectively. The UV irradiation decreased λmax at 380 nm in the absorption spectra, which is attributed to nitrone, and the refractive indices exponentially with irradiation time. The change of refractive indices reached 0.028. The refractive index profile upon depth of the film was investigated by measuring refractive indices of stacked DMAPN/PMMA films. When UV with a power of 10.7 mW/cm2 irradiated upon three stacked DMAPN/PMMA films for 35 s, variation of the refractive index change showed a quadratic profile. The refractive index profile with various irradiation time can be accounted with the combination of the chemical kinetics with the steady state approximation and Lambert-Beer's law. Thus, the photochemical reaction can be used to control the refractive index distribution in polymer.

  3. Water catalysis and anticatalysis in photochemical reactions: observation of a delayed threshold effect in the reaction quantum yield.

    PubMed

    Kramer, Zeb C; Takahashi, Kaito; Skodje, Rex T

    2010-11-03

    The possible catalysis of photochemical reactions by water molecules is considered. Using theoretical simulations, we investigate the HF-elimination reaction of fluoromethanol in small water clusters initiated by the overtone excitation of the hydroxyl group. The reaction occurs in competition with the process of water evaporation that dissipates the excitation and quenches the reaction. Although the transition state barrier is stabilized by over 20 kcal/mol through hydrogen bonding with water, the quantum yield versus energy shows a pronounced delayed threshold that effectively eliminates the catalytic effect. It is concluded that the quantum chemistry calculations of barrier lowering are not sufficient to infer water catalysis in some photochemical reactions, which instead require dynamical modeling.

  4. Near-field photochemical and radiation-induced chemical fabrication of nanopatterns of a self-assembled silane monolayer

    PubMed Central

    Hentschel, Carsten; Fontein, Florian; Stegemann, Linda; Hoeppener, Christiane; Fuchs, Harald; Hoeppener, Stefanie

    2014-01-01

    Summary A general concept for parallel near-field photochemical and radiation-induced chemical processes for the fabrication of nanopatterns of a self-assembled monolayer (SAM) of (3-aminopropyl)triethoxysilane (APTES) is explored with three different processes: 1) a near-field photochemical process by photochemical bleaching of a monomolecular layer of dye molecules chemically bound to an APTES SAM, 2) a chemical process induced by oxygen plasma etching as well as 3) a combined near-field UV-photochemical and ozone-induced chemical process, which is applied directly to an APTES SAM. All approaches employ a sandwich configuration of the surface-supported SAM, and a lithographic mask in form of gold nanostructures fabricated through colloidal sphere lithography (CL), which is either exposed to visible light, oxygen plasma or an UV–ozone atmosphere. The gold mask has the function to inhibit the photochemical reactions by highly localized near-field interactions between metal mask and SAM and to inhibit the radiation-induced chemical reactions by casting a highly localized shadow. The removal of the gold mask reveals the SAM nanopattern. PMID:25247126

  5. Photochemical Reactions of Particulate Organic Matter: Deciphering the Role of Direct and Indirect Processes

    NASA Astrophysics Data System (ADS)

    Carrasquillo, A. J.; Gelfond, C. E.; Kocar, B. D.

    2016-12-01

    Photochemical reactions of natural organic matter (NOM) represent potentially important pathways for biologically recalcitrant material to be chemically altered in aquatic systems. Irradiation can alter the physical state of organic matter by facilitating the cycling between the particulate (POM) and dissolved (DOM) pools, however, a molecular level understanding of this chemically dynamic system is currently lacking. Photochemical reactions of a target molecule proceed by the direct absorption of a photon, or through reaction with a second photolytically generated species (i.e. the hydroxyl radical, singlet oxygen, excited triplet state NOM, hydrogen peroxide, etc.). Here, we isolate the major direct and indirect photochemical reactions of a lignocellulose-rich POM material (Phragmites australis) to determine their relative importance in changing the the chemical structure of the parent POM, and in the production of DOM. We measured POM molecular structure using a combination of NMR and FTIR for bulk analyses and scanning transmission x-ray microscopy (STXM) for spatially resolved chemistry, while the chemical composition of photo-produced DOM was measured using ultra-high resolution mass spectrometry. Results are discussed in the context of the differences in chemical composition of both NOM pools resulting from the isolated photochemical pathways. All treatments result in an increase in DOM with reaction time, indicating that the larger POM matrix is likely fragmenting into smaller more soluble species. Spectroscopic measurements, on the other hand, point to functionalization reactions which increase the abundance of alcohol, acid, and carbonyl moieties in both carbon pools. This unique dataset provides new insight into how photochemical reactions alter the chemical composition of NOM while highlighting the relative importance of indirect pathways.

  6. Thermal Atomic Layer Etching of SiO2 by a "Conversion-Etch" Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride.

    PubMed

    DuMont, Jaime W; Marquardt, Amy E; Cano, Austin M; George, Steven M

    2017-03-22

    The thermal atomic layer etching (ALE) of SiO 2 was performed using sequential reactions of trimethylaluminum (TMA) and hydrogen fluoride (HF) at 300 °C. Ex situ X-ray reflectivity (XRR) measurements revealed that the etch rate during SiO 2 ALE was dependent on reactant pressure. SiO 2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were observed at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 Torr, respectively. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates versus reactant pressure. In situ Fourier transform infrared (FTIR) spectroscopy investigations also observed SiO 2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. In addition, the FTIR spectra revealed that an Al 2 O 3 /aluminosilicate intermediate was present after the TMA exposures. The Al 2 O 3 /aluminosilicate intermediate is consistent with a "conversion-etch" mechanism where SiO 2 is converted by TMA to Al 2 O 3 , aluminosilicates, and reduced silicon species following a family of reactions represented by 3SiO 2 + 4Al(CH 3 ) 3 → 2Al 2 O 3 + 3Si(CH 3 ) 4 . Ex situ X-ray photoelectron spectroscopy (XPS) studies confirmed the reduction of silicon species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al 2 O 3 and aluminosilicates to species such as AlF 3 and SiO x F y . Subsequently, TMA can remove the AlF 3 and SiO x F y species by ligand-exchange transmetalation reactions and then convert additional SiO 2 to Al 2 O 3 . The pressure-dependent conversion reaction of SiO 2 to Al 2 O 3 and aluminosilicates by TMA is critical for thermal SiO 2 ALE. The "conversion-etch" mechanism may also provide pathways for additional materials to be etched using thermal ALE.

  7. Method and apparatus for removing and preventing window deposition during photochemical vapor deposition (photo-CVD) processes

    DOEpatents

    Tsuo, S.; Langford, A.A.

    1989-03-28

    Unwanted build-up of the film deposited on the transparent light-transmitting window of a photochemical vacuum deposition (photo-CVD) chamber is eliminated by flowing an etchant into the part of the photolysis region in the chamber immediately adjacent the window and remote from the substrate and from the process gas inlet. The respective flows of the etchant and the process gas are balanced to confine the etchant reaction to the part of the photolysis region proximate to the window and remote from the substrate. The etchant is preferably one that etches film deposit on the window, does not etch or affect the window itself, and does not produce reaction by-products that are deleterious to either the desired film deposited on the substrate or to the photolysis reaction adjacent the substrate. 3 figs.

  8. Method and apparatus for removing and preventing window deposition during photochemical vapor deposition (photo-CVD) processes

    DOEpatents

    Tsuo, Simon; Langford, Alison A.

    1989-01-01

    Unwanted build-up of the film deposited on the transparent light-transmitting window of a photochemical vacuum deposition (photo-CVD) chamber is eliminated by flowing an etchant into the part of the photolysis region in the chamber immediately adjacent the window and remote from the substrate and from the process gas inlet. The respective flows of the etchant and the process gas are balanced to confine the etchant reaction to the part of the photolysis region proximate to the window and remote from the substrate. The etchant is preferably one that etches film deposit on the window, does not etch or affect the window itself, and does not produce reaction by-products that are deleterious to either the desired film deposited on the substrate or to the photolysis reaction adjacent the substrate.

  9. PHOTOCHEMICAL REACTIONS AMONG FORMALDEHYDE, CHLORINE, AND NITROGEN DIOXIDE IN AIR

    EPA Science Inventory

    Photochemical reactions among chlorine, nitrogen dioxide, and formaldehyde were studied, using parts-per-million concentrations in 1 atm of air. The reactant mixtures were irradiated by ultraviolet fluorescent lamps and simultaneously analyzed by the Fourier transform infrared te...

  10. Nonsymmetrical 3,4-dithienylmaleimides by cross-coupling reactions with indium organometallics: synthesis and photochemical studies.

    PubMed

    Mosquera, Angeles; Férnandez, M Isabel; Canle Lopez, Moisés; Pérez Sestelo, José; Sarandeses, Luis A

    2014-10-27

    The synthesis and photochemical study of novel nonsymmetrical 1,2-dithienylethenes (DTEs) with a maleimide bridge have been carried out. The synthetic approach to the DTEs was based on successive selective palladium-catalyzed cross-coupling reactions of 5-susbtituted-2-methyl-3-thiophenyl indium reagents with 3,4-dichloromaleimides. The required organoindium reagents were prepared from 2-methyl-3,5-dibromothiophene by a selective (C-5) coupling reaction with triorganoindium compounds (R3 In) and subsequent metal-halogen exchange. The coupling reactions usually gave good yields and have a high atom economy with substoichiometric amounts of R3 In. The results of photochemical studies show that these novel dithienylmaleimides undergo a photocyclization reaction upon irradiation in the UV region and a photocycloreversion after excitation in the visible region, thus they can be used as photochemical switches. ON-OFF operations can be repeated in successive cycles without appreciable loss of effectiveness in the process. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. The reactions of O(ID) and OH with CH3OH, oxidation of the HCO radial, and the photochemical oxidation of formaldehyde. [photochemical reactions in stratosphere

    NASA Technical Reports Server (NTRS)

    Osif, T. L.

    1976-01-01

    An experimental, laboratory study of the various photochemical reactions that can occur in the mesosphere and stratosphere is presented. N2O was photolyzed at 2139 A in the presence of CH3OH and CO. The O(id) produced in the photolysis reacted with CH3OH to produce OH radicals, and thus the reactions of both O(id) and OH were able to be studied. Also considered was the oxidation of the HCO radical. Mixtures of Cl2, O2, H2CO, and sometimes N2 or He were irradiated at 3660 A at several temperatures to photodecompose the Cl2. The photochemical oxidation of formaldehyde was studied as follows: formaldehyde in the presence of N2 and/or O2 (usually dry air) was photolyzed with a medium pressure Hg lamp used in conjunction with various filters which transmit different relative amounts of Hg lines from 2894 A to 3660 A. Results are presented and discussed, along with a description of experimental procedures and apparatus, and chemical reaction kinetics.

  12. Energy and molecules from photochemical/photocatalytic reactions. An overview.

    PubMed

    Ravelli, Davide; Protti, Stefano; Albini, Angelo

    2015-01-16

    Photocatalytic reactions have been defined as those processes that require both a (not consumed) catalyst and light. A previous definition was whether such reactions brought a system towards or away from the (thermal) equilibrium. This consideration brings in the question whether a part of the photon energy is incorporated into the photochemical reaction products. Data are provided for representative organic reactions involving or not molecular catalysts and show that energy storage occurs only when a heavily strained structure is generated, and in that case only a minor part of photon energy is actually stored (ΔG up to 25 kcal·mol-1). The green role of photochemistry/photocatalysis is rather that of forming highly reactive intermediates under mild conditions.

  13. Time-resolved EPR study on the photochemical reactions of benzil

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mukai, Masahiro; Yamnauchi, Seigo; Hirota, Noboru

    1992-04-16

    TREPR and optical studies on the photochemical reactions of benzil in 2-propanol and benzene-TEA conclude that emissive signals are due to the reaction from T{sub n} produced via the S{sub n} pointing right T{sub n} intersystem crossing process. The free-pair radical-pair mechanism can account for the main features of the slow rise component of the chemically induced dynamic electron polarization signal of the ketyl radical in 2-propanol. 27 refs., 10 figs., 2 tabs.

  14. PHOTOCHEMICAL MODELING APPLIED TO NATURAL WATERS

    EPA Science Inventory

    The study examines the application of modeling photochemical processes in natural water systems. For many photochemical reactions occurring in natural waters, a simple photochemical model describing reaction rate as a function of intensity, radiation attenuation, reactant absorpt...

  15. Photochemical reactions of cyanoacetylene and dicyanoacetylene: Possible processes in Titan's atmosphere

    NASA Technical Reports Server (NTRS)

    Ferris, J. P.; Guillemin, J. C.

    1991-01-01

    Titan has an atmosphere which is subject to dramatic chemical evolution due mainly to the dramatic effect of the UV flux from the Sun. The energetic solar photons and other particles are converting the methane-nitrogen atmosphere into the unsaturated carbon compounds observed by the Voyager probes. These same solar photons are also converting some of these unsaturated reaction products into the aerosols observed in the atmosphere which obscure the view of the surface of Titan. In particular, the photochemical reactions of cyanoacetylene, dicyanoacetylene, acetylene and ethylene may result in the formation of the higher hydrocarbons and polymers which result in the aerosols observed in Titan's atmosphere. Polymers are the principal reaction products formed by irradiation of cyanoacetylene and dicyanoacetylene. Irradiation of cyanoacetylene with 185 nm of light also yields 1,3,5-tricyanobenzene while irradiation at 254 nm yields 1,2,4-tricyanobenzene and tetracyano cyclooctatetraenes. Photolyses of mixtures of cyanoacetylene and acetylene yields mono- and di- cyanobenzenes. The 1-Cyanocyclobutene is formed from the photochemical addition of cyanoacetylene with ethylene. The photolysis of dicyanoacetylene with acetylene yields 2,3-dicyano-1,3-butadiene and 1,2-dicyanobenzene. Tetracyano cyclooctatetraene products were also observed in the photolysis of mixtures of dicyanoacetylene and acetylene with 254 nm light. The 1,2-Dicyano cyclobutene is obtained from the photolysis dicyanoacetylene and ethylene. Reaction mechanisms will be proposed to explain the observed photoproducts.

  16. The photochemical reaction of hydrocarbons under extreme thermobaric conditions

    NASA Astrophysics Data System (ADS)

    Serovaiskii, Aleksandr; Kolesnikov, Anton; Mukhina, Elena; Kutcherov, Vladimir

    2017-10-01

    The photochemical reaction of hydrocarbons was found to play an important role in the experiments with the synthetic petroleum conducted in Diamond Anvil Cell (DAC). Raman spectroscopy with a green laser (514.5 nm) was used for in situ sample analysis. This photochemical effect was investigated in the pressure range of 0.7-5 GPa, in the temperature interval from the ambient conditions to 450°C. The power of laser used in these experiment series was from 0.05 W to 0.6 W. The chemical transformation was observed when the necessary threshold pressure (~2.8 GPa) was reached. This transformation correlated with the luminescence appearance on the Raman spectra and a black opaque spot in the sample was observed in the place where the laser focus was forwarded. The exposure time and laser power (at least in the 0.1-0.5 W range) did not play a role in the 0.1-0.5 GPa range.

  17. Minimum reaction network necessary to describe Ar/CF4 plasma etch

    NASA Astrophysics Data System (ADS)

    Helpert, Sofia; Chopra, Meghali; Bonnecaze, Roger T.

    2018-03-01

    Predicting the etch and deposition profiles created using plasma processes is challenging due to the complexity of plasma discharges and plasma-surface interactions. Volume-averaged global models allow for efficient prediction of important processing parameters and provide a means to quickly determine the effect of a variety of process inputs on the plasma discharge. However, global models are limited based on simplifying assumptions to describe the chemical reaction network. Here a database of 128 reactions is compiled and their corresponding rate constants collected from 24 sources for an Ar/CF4 plasma using the platform RODEo (Recipe Optimization for Deposition and Etching). Six different reaction sets were tested which employed anywhere from 12 to all 128 reactions to evaluate the impact of the reaction database on particle species densities and electron temperature. Because many the reactions used in our database had conflicting rate constants as reported in literature, we also present a method to deal with those uncertainties when constructing the model which includes weighting each reaction rate and filtering outliers. By analyzing the link between a reaction's rate constant and its impact on the predicted plasma densities and electron temperatures, we determine the conditions at which a reaction is deemed necessary to the plasma model. The results of this study provide a foundation for determining which minimal set of reactions must be included in the reaction set of the plasma model.

  18. Fluorogenic, catalytic, photochemical reaction for amplified detection of nucleic acids.

    PubMed

    Dutta, Subrata; Fülöp, Annabelle; Mokhir, Andriy

    2013-09-18

    Photochemical, nucleic acid-induced reactions, which are controlled by nontoxic red light, are well-suited for detection of nucleic acids in live cells, since they do not require any additives and can be spatially and temporally regulated. We have recently described the first reaction of this type, in which a phenylselenyl derivative of thymidine (5'-PhSeT-ODNa) is cleaved in the presence of singlet oxygen (Fülöp, A., Peng, X., Greenberg, M. M., Mokhir, A. (2010) A nucleic acid directed, red light-induced chemical reaction. Chem. Commun. 46, 5659-5661). The latter reagent is produced upon exposure of a photosensitizer 3'-PS-ODNb (PS = Indium(III)-pyropheophorbide-a-chloride: InPPa) to >630 nm light. In 2012 we reported on a fluorogenic version of this reaction (Dutta, S., Flottmann, B., Heilemann, M., Mokhir, A. (2012) Hybridization and reaction-based, fluorogenic nucleic acid probes. Chem. Commun. 47, 9664-9666), which is potentially applicable for the detection of nucleic acids in cells. Unfortunately, its yield does not exceed 25% and no catalytic turnover could be observed in the presence of substrate excess. This problem occurs due to the efficient, competing oxidation of the substrate containing an electron rich carbon-carbon double bonds (SCH═CHS) in the presence of singlet oxygen with formation of a noncleavable product (SCH═CHSO). Herein we describe a related, but substantially improved photochemical, catalytic transformation of a fluorogenic, organic substrate, which consists of 9,10-dialkoxyanthracene linked to fluorescein, with formation of a bright fluorescent dye. In highly dilute solution this reaction occurs only in the presence of a nucleic acid template. We developed three types of such a reaction and demonstrated that they are high yielding and generate over 7.7 catalytic turnovers, are sensitive to single mismatches in nucleic acid targets, and can be applied for determination of both the amount of nucleic acids and potentially their

  19. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    PubMed

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  20. Photochemical effects of sunlight.

    PubMed

    Daniels, F

    1972-07-01

    The importance of sunlight in bringing about not only photosynthesis in plants, but also other photochemical effects, is reviewed. More effort should be devoted to photochemical storage of the sun's energy without the living plant. There is no theoretical reason to believe that such reactions are impossible. Ground rules for searching for suitable solar photochemical reactions are given, and a few attempts are described, but nothing successful has yet been found. Future possibilities are suggested. Photogalvanic cells which convert sunlight into electricity deserve further research. Eugene Rabinowitch has been an active pioneer in these fields.

  1. Reaction rates of graphite with ozone measured by etch decoration

    NASA Technical Reports Server (NTRS)

    Hennig, G. R.; Montet, G. L.

    1968-01-01

    Etch-decoration technique of detecting vacancies in graphite has been used to determine the reaction rates of graphite with ozone in the directions parallel and perpendicular to the layer planes. It consists essentially of peeling single atom layers off graphite crystals without affecting the remainder of the crystal.

  2. Photochemical Effects of Sunlight

    PubMed Central

    Daniels, Farrington

    1972-01-01

    The importance of sunlight in bringing about not only photosynthesis in plants, but also other photochemical effects, is reviewed. More effort should be devoted to photochemical storage of the sun's energy without the living plant. There is no theoretical reason to believe that such reactions are impossible. Ground rules for searching for suitable solar photochemical reactions are given, and a few attempts are described, but nothing successful has yet been found. Future possibilities are suggested. Photogalvanic cells which convert sunlight into electricity deserve further research. Eugene Rabinowitch has been an active pioneer in these fields. PMID:5037333

  3. Photochemical transformations of diazocarbonyl compounds: expected and novel reactions

    NASA Astrophysics Data System (ADS)

    Galkina, O. S.; Rodina, L. L.

    2016-05-01

    Photochemical reactions of diazocarbonyl compounds are well positioned in synthetic practice as an efficient method for ring contraction and homologation of carboxylic acids and as a carbene generation method. However, interpretation of the observed transformations of diazo compounds in electronically excited states is incomplete and requires a careful study of the fine mechanisms of these processes specific to different excited states of diazo compounds resorting to modern methods of investigation, including laser technology. The review is devoted to analysis of new data in the chemistry of excited states of diazocarbonyl compounds. The bibliography includes 155 references.

  4. Pulsed Laser-Assisted Focused Electron-Beam-Induced Etching of Titanium with XeF 2 : Enhanced Reaction Rate and Precursor Transport

    DOE PAGES

    Noh, J. H.; Fowlkes, J. D.; Timilsina, R.; ...

    2015-01-28

    We introduce a laser-assisted focused electron-beam-induced etching (LA-FEBIE) process which is a versatile, direct write nanofabrication method that allows nanoscale patterning and editing; we do this in order to enhance the etch rate of electron-beam-induced etching. The results demonstrate that the titanium electron stimulated etch rate via the XeF2 precursor can be enhanced up to a factor of 6 times with an intermittent pulsed laser assist. Moreover, the evolution of the etching process is correlated to in situ stage current measurements and scanning electron micrographs as a function of time. Finally, the increased etch rate is attributed to photothermally enhancedmore » Ti–F reaction and TiF4 desorption and in some regimes enhanced XeF2 surface diffusion to the reaction zone.« less

  5. Kinetics of the Br2-CH3CHO Photochemical Chain Reaction

    NASA Technical Reports Server (NTRS)

    Nicovich, J. M.; Shackelford, C. J.; Wine, P. H.

    1997-01-01

    Time-resolved resonance fluorescence spectroscopy was employed in conjunction with laser flash photolysis of Br2 to study the kinetics of the two elementary steps in the photochemical chain reaction nBr2 + nCH3CHO + hv yields nCH3CBrO + nHBr. In the temperature range 255-400 K, the rate coefficient for the reaction Br((sup 2)P(sub 3/2)) + CH3CHO yields CH3CO + HBr is given by the Arrhenius expression k(sub 6)(T) = (1.51 +/- 0.20) x 10(exp -11) exp(-(364 +/- 41)/T)cu cm/(molecule.s). At 298 K, the reaction CH3CO + Br2 yields CH3CBrO + Br proceeds at a near gas kinetic rate, k(sub 7)(298 K) = (1.08 +/- 0.38) x 10(exp -10)cu cm/(molecule.s).

  6. Laser-driven fusion etching process

    DOEpatents

    Ashby, C.I.H.; Brannon, P.J.; Gerardo, J.B.

    1987-08-25

    The surfaces of solids are etched by a radiation-driven chemical reaction. The process involves exposing a substrate coated with a layer of a reactant material on its surface to radiation, e.g., a laser, to induce localized melting of the substrate which results in the occurrence of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic substrates, e.g., LiNbO/sub 3/, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  7. Laser-driven fusion etching process

    DOEpatents

    Ashby, Carol I. H.; Brannon, Paul J.; Gerardo, James B.

    1989-01-01

    The surfaces of solid ionic substrates are etched by a radiation-driven chemical reaction. The process involves exposing an ionic substrate coated with a layer of a reactant material on its surface to radiation, e.g. a laser, to induce localized melting of the substrate which results in the occurrance of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic salt substrates, e.g., a solid inorganic salt such as LiNbO.sub.3, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  8. Photochemical Reactions of (n(5)-Pentamethylcyclpentadienyl)-Dicarbonyliron-Alkyl and -Silyl Complexes: Reversible Ethylene Insertion into an Iron-Silicon Bond and Implications for the Mechanism of Transition Metal-Catalyzed Hydrosilation of Alkenes.

    DTIC Science & Technology

    1985-12-11

    RD-R162 462 PHOTOCHEMICAL REACTIONS OF(N(S)-P NTANETNYLCVCLPENTADIENYL)-DICARRONVLIR.. (U) MASSACHUSETTS INST OF TECH CAMBRIDGE DEPT OF CHEMISTRY...34 Photochemical Reactions of (n5-Pentamethylcyclpentadienyl)- Dicarbonyliron-Alkyl and -Silyl Complexes: Reversible Ethylene Insertion into an Iron-Silicon Bond...Chemical Society) PHOTOCHEMICAL REACTIONS OF (n5-PENTAMETHYLCYCLOPENTADIENYL)- DICARBONYLIRON-ALKYL AND -SILYL COMPLEXES: REVERSIBLE ETHYLENE INSERTION INTO

  9. The efficiency of non-photochemical fluorescence quenching by cation radicals in photosystem II reaction centers.

    PubMed

    Paschenko, V Z; Churin, A A; Gorokhov, V V; Grishanova, N P; Korvatovskii, B N; Maksimov, E G; Mamedov, M D

    2016-12-01

    In a direct experiment, the rate constants of photochemical k p and non-photochemical k p + quenching of the chlorophyll fluorescence have been determined in spinach photosystem II (PS II) membrane fragments, oxygen-evolving PS II core, as well as manganese-depleted PS II particles using pulse fluorimetry. In the dark-adapted reaction center(s) (RC), the fluorescence decay kinetics of the antenna were measured at low-intensity picosecond pulsed excitation. To create a "closed" P680 + Q A - state, RCs were illuminated by high-intensity actinic flash 8 ns prior to the measuring flash. The obtained data were approximated by the sum of two decaying exponents. It was found that the antennae fluorescence quenching efficiency by the oxidized photoactive pigment of RC P680 + was about 1.5 times higher than that of the neutral P680 state. These results were confirmed by a single-photon counting technique, which allowed to resolve the additional slow component of the fluorescence decay. Slow component was assigned to the charge recombination of P680 + Pheo - in PS II RC. Thus, for the first time, the ratio k p + /k p  ≅ 1.5 was found directly. The mechanism of the higher efficiency of non-photochemical quenching comparing to photochemical quenching is discussed.

  10. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    NASA Astrophysics Data System (ADS)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  11. Photochemical isotope separation

    DOEpatents

    Robinson, C. Paul; Jensen, Reed J.; Cotter, Theodore P.; Greiner, Norman R.; Boyer, Keith

    1987-01-01

    A process for separating isotopes by selective excitation of isotopic species of a volatile compound by tuned laser light. A highly cooled gas of the volatile compound is produced in which the isotopic shift is sharpened and defined. Before substantial condensation occurs, the cooled gas is irradiated with laser light precisely tuned to a desired wavelength to selectively excite a particular isotopic species in the cooled gas. The laser light may impart sufficient energy to the excited species to cause it to undergo photochemical reaction or even to photoionize. Alternatively, a two-photon irradiation may be applied to the cooled gas to induce photochemical reaction or photoionization. The process is particularly applicable to the separation of isotopes of uranium and plutonium.

  12. Photochemical isotope separation

    DOEpatents

    Robinson, C.P.; Jensen, R.J.; Cotter, T.P.; Greiner, N.R.; Boyer, K.

    1987-04-28

    A process is described for separating isotopes by selective excitation of isotopic species of a volatile compound by tuned laser light. A highly cooled gas of the volatile compound is produced in which the isotopic shift is sharpened and defined. Before substantial condensation occurs, the cooled gas is irradiated with laser light precisely tuned to a desired wavelength to selectively excite a particular isotopic species in the cooled gas. The laser light may impart sufficient energy to the excited species to cause it to undergo photochemical reaction or even to photoionize. Alternatively, a two-photon irradiation may be applied to the cooled gas to induce photochemical reaction or photoionization. The process is particularly applicable to the separation of isotopes of uranium and plutonium. 8 figs.

  13. Phase Analysis of Laser Direct Etching and Water Assisted Laser Combined Etching of SiC Ceramics

    NASA Astrophysics Data System (ADS)

    Yuan, Genfu; Cong, Qidong; Zhang, Chen; Xie, Bingbing

    2017-12-01

    In this study, to discover the etching mechanism of SiC ceramics under laser direct etching and water-jet assisted laser combined etching, the phenomena of substance change on the etched surface were investigated. Also, the rules of substance transfer in etching are discussed. The elemental content change and the phase change of the etching products on the etched surface were analyzed by energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD), respectively. These studies showed a high amount of carbon black on the etched surface, because of the decomposition of SiC ceramics under the high-power-density laser irradiation. SiC decomposed to Si under the laser irradiation, and the subsequent chemical reaction of Si and O2 easily produced SiO2. The SiO2 on the etched surface melted and vaporized, whereas most of SiO2 was removed through splashing, changing the chemical composition of the etched surface. Following the water jet introduction, an increased amount of O existed on the combined etching surface, because the chemical reaction of SiC and H2O easily produced SiO2 under the high-power-density laser irradiation.

  14. Chemical degradation of proteins in the solid state with a focus on photochemical reactions.

    PubMed

    Mozziconacci, Olivier; Schöneich, Christian

    2015-10-01

    Protein pharmaceuticals comprise an increasing fraction of marketed products but the limited solution stability of proteins requires considerable research effort to prepare stable formulations. An alternative is solid formulation, as proteins in the solid state are thermodynamically less susceptible to degradation. Nevertheless, within the time of storage a large panel of kinetically controlled degradation reactions can occur such as, e.g., hydrolysis reactions, the formation of diketopiperazine, condensation and aggregation reactions. These mechanisms of degradation in protein solids are relatively well covered by the literature. Considerably less is known about oxidative and photochemical reactions of solid proteins. This review will provide an overview over photolytic and non-photolytic degradation reactions, and specially emphasize mechanistic details on how solid structure may affect the interaction of protein solids with light. Copyright © 2014 Elsevier B.V. All rights reserved.

  15. Photochemical Synthesis and Ligand Exchange Reactions of Ru(CO)[subscript 4] (Eta[superscript 2]-Alkene) Compounds

    ERIC Educational Resources Information Center

    Cooke, Jason; Berry, David E.; Fawkes, Kelli L.

    2007-01-01

    The photochemical synthesis and subsequent ligand exchange reactions of Ru(CO)[subscript 4] (eta[superscript2]-alkene) compounds has provided a novel experiment for upper-level inorganic chemistry laboratory courses. The experiment is designed to provide a system in which the changing electronic properties of the alkene ligands could be easily…

  16. Actinometric measurement of solar ultraviolet and development of a weighted solar UV integral. [photochemical reaction rate determination

    NASA Technical Reports Server (NTRS)

    Gupta, A.; Coulbert, C.

    1978-01-01

    An actinometer has been developed to measure outdoor irradiance in the range 295-400 nm. Actinometric measurements of radiation are based on determination of photochemical reaction rates for reactions of known quantum efficiency. Actinometers have the advantage of providing irradiance data over surfaces of difficult accessibility; in addition, actinometrically determined irradiance data are wavelength weighted and therefore provide a useful means of assessing the degradation rates of polymers employed in solar energy systems.

  17. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    NASA Astrophysics Data System (ADS)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  18. Quantum Mechanics/Molecular Mechanics Free Energy Maps and Nonadiabatic Simulations for a Photochemical Reaction in DNA: Cyclobutane Thymine Dimer.

    PubMed

    Mendieta-Moreno, Jesús I; Trabada, Daniel G; Mendieta, Jesús; Lewis, James P; Gómez-Puertas, Paulino; Ortega, José

    2016-11-03

    The absorption of ultraviolet radiation by DNA may result in harmful genetic lesions that affect DNA replication and transcription, ultimately causing mutations, cancer, and/or cell death. We analyze the most abundant photochemical reaction in DNA, the cyclobutane thymine dimer, using hybrid quantum mechanics/molecular mechanics (QM/MM) techniques and QM/MM nonadiabatic molecular dynamics. We find that, due to its double helix structure, DNA presents a free energy barrier between nonreactive and reactive conformations leading to the photolesion. Moreover, our nonadiabatic simulations show that most of the photoexcited reactive conformations return to standard B-DNA conformations after an ultrafast nonradiative decay to the ground state. This work highlights the importance of dynamical effects (free energy, excited-state dynamics) for the study of photochemical reactions in biological systems.

  19. A Simple Parallel Photochemical Reactor for Photodecomposition Studies

    ERIC Educational Resources Information Center

    Xiaobo Chen; Halasz, Sarah M.; Giles, Eric C.; Mankus, Jessica V.; Johnson, Joseph C.; Burda, Clemens

    2006-01-01

    A simple and useful parallel photochemical reactor intended to study the photodecomposition of dyes using semiconductor photocatalysis is presented. The photochemical reactions are followed through time-dependent changes in the ground-state absorption spectra of the dyes.

  20. A novel photochemical machining process for magnesium aerospace and biomedical microengineering applications

    NASA Astrophysics Data System (ADS)

    Allen, D. M.; Simpkins, M.; Almond, H.

    2010-10-01

    Research was carried out to evaluate the feasibility of fabricating perforated (filigree) magnesium microcomponents with metal wire widths of the order of the metal thickness using a photochemical machining (PCM) process. Experimentally, it has been demonstrated for the first time that metal wire widths of 0.15 mm can be achieved within a 2D, 0.25 mm thick magnesium foil to fabricate microcomponents for use as micro air vehicle (MAV) wings or stents through a bespoke PCM process. This etching process differs significantly from the industrial etching process used currently to manufacture magnesium letterpress printing plates and embossing dies.

  1. Fourier Transform Infrared Absorption Spectroscopy of Gas-Phase and Surface Reaction Products during Si Etching in Inductively Coupled Cl2 Plasmas

    NASA Astrophysics Data System (ADS)

    Miyata, Hiroki; Tsuda, Hirotaka; Fukushima, Daisuke; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2011-10-01

    A better understanding of plasma-surface interactions is indispensable during etching, including the behavior of reaction or etch products, because the products on surfaces and in the plasma are important in passivation layer formation through their redeposition on surfaces. In practice, the nanometer-scale control of plasma etching would still rely largely on such passivation layer formation as well as ion-enhanced etching on feature surfaces. This paper presents in situ Fourier transform infrared (FTIR) absorption spectroscopy of gas-phase and surface reaction products during inductively coupled plasma (ICP) etching of Si in Cl2. The observation was made in the gas phase by transmission absorption spectroscopy (TAS), and also on the substrate surface by reflection absorption spectroscopy (RAS). The quantum chemical calculation was also made of the vibrational frequency of silicon chloride molecules. The deconvolution of the TAS spectrum revealed absorption features of Si2Cl6 and SiClx (x = 1-3) as well as SiCl4, while that of the RAS spectrum revealed relatively increased absorption features of unsaturated silicon chlorides. A different behavior was also observed in bias power dependence between the TAS and RAS spectra.

  2. Photochemical parameters of atmospheric source gases: accurate determination of OH reaction rate constants over atmospheric temperatures, UV and IR absorption spectra

    NASA Astrophysics Data System (ADS)

    Orkin, V. L.; Khamaganov, V. G.; Martynova, L. E.; Kurylo, M. J.

    2012-12-01

    The emissions of halogenated (Cl, Br containing) organics of both natural and anthropogenic origin contribute to the balance of and changes in the stratospheric ozone concentration. The associated chemical cycles are initiated by the photochemical decomposition of the portion of source gases that reaches the stratosphere. Reactions with hydroxyl radicals and photolysis are the main processes dictating the compound lifetime in the troposphere and release of active halogen in the stratosphere for a majority of halogen source gases. Therefore, the accuracy of photochemical data is of primary importance for the purpose of comprehensive atmospheric modeling and for simplified kinetic estimations of global impacts on the atmosphere, such as in ozone depletion (i.e., the Ozone Depletion Potential, ODP) and climate change (i.e., the Global Warming Potential, GWP). The sources of critically evaluated photochemical data for atmospheric modeling, NASA/JPL Publications and IUPAC Publications, recommend uncertainties within 10%-60% for the majority of OH reaction rate constants with only a few cases where uncertainties lie at the low end of this range. These uncertainties can be somewhat conservative because evaluations are based on the data from various laboratories obtained during the last few decades. Nevertheless, even the authors of the original experimental works rarely estimate the total combined uncertainties of the published OH reaction rate constants to be less than ca. 10%. Thus, uncertainties in the photochemical properties of potential and current atmospheric trace gases obtained under controlled laboratory conditions still may constitute a major source of uncertainty in estimating the compound's environmental impact. One of the purposes of the presentation is to illustrate the potential for obtaining accurate laboratory measurements of the OH reaction rate constant over the temperature range of atmospheric interest. A detailed inventory of accountable sources of

  3. Analysis of photochemical pollution in summer and winter using a photochemical box model in the center of Tokyo, Japan.

    PubMed

    Huang, H; Akustu, Y; Arai, M; Tamura, M

    2001-07-01

    In order to give an effective and rapid analysis of the photochemical pollution and information for emission control strategies, a photochemical box model (PBM) was applied to one moderate summer episode, 11 July 1996, and one typical winter episode, 3 December 1996, in the center of Tokyo, Japan. The box model gave a good prediction of the photochemical pollution with minimal investment. As expected, the peak ozone in summer is higher than in winter. The NOx concentrations in winter are higher than those in summer. In summer, NO and NO2 have one peak in the morning. In winter, NO and NO2 show two peaks during the day. Three model runs including no reactions, a zero ozone boundary condition and dark reactions were conducted to understand the photochemical processes. The effects of emission reduction on the formation of the photochemical pollution in the center of Tokyo have been studied. The results show that the reduction of NMHC emission can decrease the ozone, however, the reduction of NOx emission can increase the ozone. It can be concluded that if the NOx emission are reduced, the reduction of NMHC should be more emphasized in order to decrease the ozone concentration in the center of Tokyo, Japan, especially the reduction of the NMHC from stationary source emission.

  4. A renewable amine for photochemical reduction of CO(2).

    PubMed

    Richardson, Robert D; Holland, Edward J; Carpenter, Barry K

    2011-04-01

    Photochemical reduction of CO₂ (to produce formic acid) can be seen both as a method to produce a transportable hydrogen-based fuel and also to reduce levels of CO₂ in the atmosphere. However, an often overlooked necessity for photochemical CO₂ reduction is the need for a sacrificial electron donor, usually a tertiary amine. Here, we describe a new strategy for coupling the photochemical reduction of CO₂ to photochemical water splitting, and illustrate this with a prototype example. Instead of seeking to eliminate the use of an external reducing agent altogether, our alternative strategy makes the reducing agent recyclable. This has two potential advantages over the direct coupling of CO₂ reduction and water oxidation. First, it allows the two redox reactions to be carried out with existing chemistry, and second, it permits these reactions to be conducted under mutually incompatible conditions.

  5. Coupling photochemical reaction detection based on singlet oxygen sensitization to capillary electrochromatography

    PubMed

    Dickson; Odom; Ducheneaux; Murray; Milofsky

    2000-07-15

    Despite the impressive separation efficiency afforded by capillary electrochromatography (CEC), the detection of UV-absorbing compounds following separation in capillary dimensions remains limited by the short path length (5-75 microm) through the column. Moreover, analytes that are poor chromophores present an additional challenge with respect to sensitive detection in CEC. This paper illustrates a new photochemical reaction detection scheme for CEC that takes advantage of the catalytic nature of type II photooxidation reactions. The sensitive detection scheme is selective toward molecules capable of photosensitizing the formation of singlet molecular oxygen (1O2). Following separation by CEC, UV-absorbing analytes promote groundstate 3O2 to an excited state (1O2) which reacts rapidly with tert-butyl-3,4,5-trimethylpyrrolecarboxylate, which is added to the running buffer. Detection is based on the loss of pyrrole. The reaction is catalytic in nature since one analyte molecule may absorb light many times, producing large amounts of 1O2. The detection limit for 9-acetylanthracene, following separation by CEC, is approximately 6 x 10(-9) M (S/N = 3). Optimization of the factors effecting the S/N for four model compounds is discussed.

  6. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    NASA Astrophysics Data System (ADS)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  7. Correlation between surface chemistry and ion energy dependence of the etch yield in multicomponent oxides etching

    NASA Astrophysics Data System (ADS)

    Bérubé, P.-M.; Poirier, J.-S.; Margot, J.; Stafford, L.; Ndione, P. F.; Chaker, M.; Morandotti, R.

    2009-09-01

    The influence of surface chemistry in plasma etching of multicomponent oxides was investigated through measurements of the ion energy dependence of the etch yield. Using pulsed-laser-deposited CaxBa(1-x)Nb2O6 (CBN) and SrTiO3 thin films as examples, it was found that the etching energy threshold shifts toward values larger or smaller than the sputtering threshold depending on whether or not ion-assisted chemical etching is the dominant etching pathway and whether surface chemistry is enhancing or inhibiting desorption of the film atoms. In the case of CBN films etched in an inductively coupled Cl2 plasma, it is found that the chlorine uptake is inhibiting the etching reaction, with the desorption of nonvolatile NbCl2 and BaCl2 compounds being the rate-limiting step.

  8. Surface retention and photochemical reactivity of the diphenylether herbicide oxyfluorfen.

    PubMed

    Scrano, Laura; Bufo, Sabino A; Cataldi, Tommaso R I; Albanis, Triantafyllos A

    2004-01-01

    The photochemical behavior of oxyfluorfen [2-chloro-1-(3-etoxy-4-nitrophenoxy)-4-(trifluoromethyl) benzene] on two Greek soils was investigated. Soils were sampled from Nea Malgara and Preveza regions, characterized by a different organic matter content. Soils were spiked with the diphenyl-ether herbicide and irradiation experiments were performed either in the laboratory with a solar simulator (xenon lamp) or outside, under natural sunlight irradiation; other soil samples were kept in the dark to control the retention reaction. Kinetic parameters of both retention and photochemical reactions were calculated using zero-, first- and second- (Langmuir-Hinshelwood) order equations, and best fit was checked through statistical analysis. The soil behaviors were qualitatively similar but quantitatively different, with the soil sampled from the Nea Malgara region much more sorbent as compared with Preveza soil. All studied reactions followed second-order kinetics and photochemical reactions were influenced by retaining capability of the soils. The contributions of the photochemical processes to the global dissipation rates were also calculated. Two main metabolites were identified as 2-chloro-1-(3-ethoxy-4-hydroxyphenoxy)-4-(trifluoromethyl)benzene and 2-chloro-1- (3-hydroxy-4-nitrophenoxy)-4-(trifluoromethyl)benzene.

  9. Quantum Chemical Investigation on Photochemical Reactions of Nonanoic Acids at Air-Water Interface.

    PubMed

    Xiao, Pin; Wang, Qian; Fang, Wei-Hai; Cui, Ganglong

    2017-06-08

    Photoinduced chemical reactions of organic compounds at the marine boundary layer have recently attracted significant experimental attention because this kind of photoreactions has been proposed to have substantial impact on local new particle formation and their photoproducts could be a source of secondary organic aerosols. In this work, we have employed first-principles density functional theory method combined with cluster models to systematically explore photochemical reaction pathways of nonanoic acids (NAs) to form volatile saturated and unsaturated C 9 and C 8 aldehydes at air-water interfaces. On the basis of the results, we have found that the formation of C 9 aldehydes is not initiated by intermolecular Norrish type II reaction between two NAs but by intramolecular T 1 C-O bond fission of NA generating acyl and hydroxyl radicals. Subsequently, saturated C 9 aldehydes are formed through hydrogenation reaction of acyl radical by another intact NA. Following two dehydrogenation reactions, unsaturated C 9 aldehydes are generated. In parallel, the pathway to C 8 aldehydes is initiated by T 1 C-C bond fission of NA, which generates octyl and carboxyl radicals; then, an octanol is formed through recombination reaction of octyl with hydroxyl radical. In the following, two dehydrogenation reactions result into an enol intermediate from which saturated C 8 aldehydes are produced via NA-assisted intermolecular hydrogen transfer. Finally, two dehydrogenation reactions generate unsaturated C 8 aldehydes. In these reactions, water and NA molecules are found to play important roles. They significantly reduce relevant reaction barriers. Our work has also explored oxygenation reactions of NA with molecular oxygen and radical-radical dimerization reactions.

  10. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  11. PHOTOCHEMICAL HEATING OF DENSE MOLECULAR GAS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Glassgold, A. E.; Najita, J. R.

    2015-09-10

    Photochemical heating is analyzed with an emphasis on the heating generated by chemical reactions initiated by the products of photodissociation and photoionization. The immediate products are slowed down by collisions with the ambient gas and then heat the gas. In addition to this direct process, heating is also produced by the subsequent chemical reactions initiated by these products. Some of this chemical heating comes from the kinetic energy of the reaction products and the rest from collisional de-excitation of the product atoms and molecules. In considering dense gas dominated by molecular hydrogen, we find that the chemical heating is sometimesmore » as large, if not much larger than, the direct heating. In very dense gas, the total photochemical heating approaches 10 eV per photodissociation (or photoionization), competitive with other ways of heating molecular gas.« less

  12. Thermal atomic layer etching of crystalline aluminum nitride using sequential, self-limiting hydrogen fluoride and Sn(acac){sub 2} reactions and enhancement by H{sub 2} and Ar plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Nicholas R.; Sun, Huaxing; Sharma, Kashish

    2016-09-15

    Thermal atomic layer etching (ALE) of crystalline aluminum nitride (AlN) films was demonstrated using sequential, self-limiting reactions with hydrogen fluoride (HF) and tin(II) acetylacetonate [Sn(acac){sub 2}] as the reactants. Film thicknesses were monitored versus number of ALE reaction cycles at 275 °C using in situ spectroscopic ellipsometry (SE). A low etch rate of ∼0.07 Å/cycle was measured during etching of the first 40 Å of the film. This small etch rate corresponded with the AlO{sub x}N{sub y} layer on the AlN film. The etch rate then increased to ∼0.36 Å/cycle for the pure AlN films. In situ SE experiments established the HF and Sn(acac){submore » 2} exposures that were necessary for self-limiting surface reactions. In the proposed reaction mechanism for thermal AlN ALE, HF fluorinates the AlN film and produces an AlF{sub 3} layer on the surface. The metal precursor, Sn(acac){sub 2}, then accepts fluorine from the AlF{sub 3} layer and transfers an acac ligand to the AlF{sub 3} layer in a ligand-exchange reaction. The possible volatile etch products are SnF(acac) and either Al(acac){sub 3} or AlF(acac){sub 2}. Adding a H{sub 2} plasma exposure after each Sn(acac){sub 2} exposure dramatically increased the AlN etch rate from 0.36 to 1.96 Å/cycle. This enhanced etch rate is believed to result from the ability of the H{sub 2} plasma to remove acac surface species that may limit the AlN etch rate. The active agent from the H{sub 2} plasma is either hydrogen radicals or radiation. Adding an Ar plasma exposure after each Sn(acac){sub 2} exposure increased the AlN etch rate from 0.36 to 0.66 Å/cycle. This enhanced etch rate is attributed to either ions or radiation from the Ar plasma that may also lead to the desorption of acac surface species.« less

  13. Photochemical reactions between mercury (Hg) and dissolved organic matter decrease Hg bioavailability and methylation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Hong-Wei; Yin, Xiangping; Jubb, Aaron M.

    Atmospheric deposition of mercury (Hg) to surface water is one of the dominant sources of Hg in aquatic environments and ultimately drives methylmercury (MeHg) toxin accumulation in fish. It is known that freshly deposited Hg is more readily methylated by microorganisms than aged or preexisting Hg; however the underlying mechanism of this process is unclear. Here we report that Hg bioavailability is decreased by photochemical reactions between Hg and dissolved organic matter (DOM) in water. Photo-irradiation of Hg-DOM complexes results in loss of Sn(II)-reducible (i.e. reactive) Hg and up to an 80% decrease in MeHg production by the methylating bacteriummore » Geobacter sulfurreducens PCA. Loss of reactive Hg proceeded at a faster rate with a decrease in the Hg to DOM ratio and is attributed to the possible formation of mercury sulfide (HgS). Lastly, these results suggest a new pathway of abiotic photochemical formation of HgS in surface water and provide a mechanism whereby freshly deposited Hg is readily methylated but, over time, progressively becomes less available for microbial uptake and methylation.« less

  14. Photochemical reactions between mercury (Hg) and dissolved organic matter decrease Hg bioavailability and methylation

    DOE PAGES

    Luo, Hong-Wei; Yin, Xiangping; Jubb, Aaron M.; ...

    2016-11-09

    Atmospheric deposition of mercury (Hg) to surface water is one of the dominant sources of Hg in aquatic environments and ultimately drives methylmercury (MeHg) toxin accumulation in fish. It is known that freshly deposited Hg is more readily methylated by microorganisms than aged or preexisting Hg; however the underlying mechanism of this process is unclear. Here we report that Hg bioavailability is decreased by photochemical reactions between Hg and dissolved organic matter (DOM) in water. Photo-irradiation of Hg-DOM complexes results in loss of Sn(II)-reducible (i.e. reactive) Hg and up to an 80% decrease in MeHg production by the methylating bacteriummore » Geobacter sulfurreducens PCA. Loss of reactive Hg proceeded at a faster rate with a decrease in the Hg to DOM ratio and is attributed to the possible formation of mercury sulfide (HgS). Lastly, these results suggest a new pathway of abiotic photochemical formation of HgS in surface water and provide a mechanism whereby freshly deposited Hg is readily methylated but, over time, progressively becomes less available for microbial uptake and methylation.« less

  15. Aqueous-Phase Photochemical Production of Oxidants in Atmospheric Waters.

    NASA Astrophysics Data System (ADS)

    Allen, John Morrison

    1992-01-01

    The photochemical formation and subsequent reactions of oxidants plays an important role in the overall chemistry of the atmosphere. Much of the interest in atmospheric oxidation reactions has been fueled by the environmental consequences of the oxidation of sulfur dioxide (SO _2) forming sulfuric acid (H_2 SO_4). Oxidation reactions also play a crucial role in other atmospheric chemical transformations such as: (1) the destruction of tropospheric ozone, (2) redox cycling of transition metals, and (3) oxidation of organic compounds. Much of the research pertaining to atmospheric oxidant formation and the reactions that these oxidants undergo has centered upon gas-phase photochemical oxidant formation and: (1) subsequent reactions in the gas phase, or (2) partitioning of oxidants into cloud and fog drops and subsequent reactions in the aqueous phase. Only a very limited amount of data is available concerning aqueous -phase photochemical sources of oxidants in cloud and fog drops. The focus of one aspect of the work presented in this dissertation is upon the aqueous-phase sunlight photochemical formation of oxidants in authentic cloud and fog water samples from across the United States and Canada. It will be demonstrated that atmospheric waters typically absorb solar ultraviolet radiation at wavelengths ranging from 290 to 340 nm. This absorption is due to the presence of chemical constituents in the cloud and fog waters that contain chromophoric functional groups that give rise to the formation of: (1) singlet molecular oxygen O_2(^1Delta_ {rm g}), (2) peroxyl radicals (HO _2cdot and RO_2 cdot), (3) peroxides (HOOH, ROOH, and ROOR '), and (4) hydroxyl radical ( cdotOH). This work will demonstrate that aqueous-phase photochemical reactions are a significant and in some cases dominant source of these oxidants in cloud and fog drops. The transition metal catalyzed oxidation of SO _2 to H_2SO _4 by molecular oxygen has been extensively studied. This reaction is thought

  16. Photochemically Switching Diamidocarbene Spin States Leads to Reversible Büchner Ring Expansions.

    PubMed

    Perera, Tharushi A; Reinheimer, Eric W; Hudnall, Todd W

    2017-10-18

    The discovery of thermal and photochemical control by Woodward and Hoffmann revolutionized how we understand chemical reactivity. Similarly, we now describe the first example of a carbene that exhibits differing thermal and photochemical reactivity. When a singlet ground-state N,N'-diamidocarbene 1 was photolyzed at 380 nm, excitation to a triplet state was observed. The triplet-state electronic structure was characteristic of the expected biradical σ 1 p π 1 spin configuration according to a combination of spectroscopic and computational methods. Surprisingly, the triplet state of 1 was found to engage a series of arenes in thermally reversible Büchner ring expansion reactions, marking the first examples where both cyclopropanation and ring expansion of arenes were rendered reversible. Not only are these photochemical reactions different from the known thermal chemistry of 1, but the reversibility enabled us to perform the first examples of photochemically induced arene exchange/expansion reactions at a single carbon center.

  17. Photochemical Reaction Altered Cardiac Toxicity of Diesel Exhaust Inhalation

    EPA Science Inventory

    Rationale: Epidemiological studies have indicated an association between urban air pollution exposure and cardiovascular morbidity and mortality. The present study was designed to evaluate the cardiac effects of inhaled diesel exhaust and compared with photochemically altered d...

  18. Photochemical reaction between triclosan and nitrous acid in the atmospheric aqueous environment

    NASA Astrophysics Data System (ADS)

    Ma, Jianzhong; Zhu, Chengzhu; Lu, Jun; Lei, Yu; Wang, Jizhong; Chen, Tianhu

    2017-05-01

    Nitrous acid (HONO) is an important tropospheric pollutant and a major source of hydroxyl radical in the atmospheric gas phase. However, studies on the role of HONO in atmospheric aqueous phase chemistry processes are relatively few. The present work investigated the photochemical reaction of HONO with triclosan (TCS), which is an emerging contaminant, using a combination of laser flash photolysis spectrometry and gas chromatography mass spectrometry. With these techniques, the reaction pathway of HONO with TCS was proposed by directly monitoring the transient species and detecting the stable products. ·OH was generated from the photodissociation of the HONO aqueous solution and attacked TCS molecules on different sites to produce the TCS-OH adducts with a second-order rate constant of 1.11 × 109 L mol-1 s-1. The ·OH added a C atom adjacent to the ether bond in the aromatic ring of TCS and self-decayed when the ether bond broke. The intermediates generated from the addition of ·OH to the benzene ring of the TCS molecular structure were immediately nitrated by HONO, which played a key role in the formation process of nitrocompounds. An atmospheric model suggests that the aqueous oxidation of TCS by ·OH is a major reaction at high liquid water concentrations, and the photolysis of TCS dominates under low-humidity conditions.

  19. Photochemical Creation of Fluorescent Quantum Defects in Semiconducting Carbon Nanotube Hosts.

    PubMed

    Wu, Xiaojian; Kim, Mijin; Kwon, Hyejin; Wang, YuHuang

    2018-01-15

    Quantum defects are an emerging class of synthetic single-photon emitters that hold vast potential for near-infrared imaging, chemical sensing, materials engineering, and quantum information processing. Herein, we show that it is possible to optically direct the synthetic creation of molecularly tunable fluorescent quantum defects in semiconducting single-walled carbon nanotube hosts through photochemical reactions. By exciting the host semiconductor with light that resonates with its electronic transition, we find that halide-containing aryl groups can covalently bond to the sp 2 carbon lattice. The introduced quantum defects generate bright photoluminescence that allows tracking of the reaction progress in situ. We show that the reaction is independent of temperature but correlates strongly with the photon energy used to drive the reaction, suggesting a photochemical mechanism rather than photothermal effects. This type of photochemical reactions opens the possibility to control the synthesis of fluorescent quantum defects using light and may enable lithographic patterning of quantum emitters with electronic and molecular precision. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Factors controlling the redox potential of ZnCe6 in an engineered bacterioferritin photochemical 'reaction centre'.

    PubMed

    Mahboob, Abdullah; Vassiliev, Serguei; Poddutoori, Prashanth K; van der Est, Art; Bruce, Doug

    2013-01-01

    Photosystem II (PSII) of photosynthesis has the unique ability to photochemically oxidize water. Recently an engineered bacterioferritin photochemical 'reaction centre' (BFR-RC) using a zinc chlorin pigment (ZnCe6) in place of its native heme has been shown to photo-oxidize bound manganese ions through a tyrosine residue, thus mimicking two of the key reactions on the electron donor side of PSII. To understand the mechanism of tyrosine oxidation in BFR-RCs, and explore the possibility of water oxidation in such a system we have built an atomic-level model of the BFR-RC using ONIOM methodology. We studied the influence of axial ligands and carboxyl groups on the oxidation potential of ZnCe6 using DFT theory, and finally calculated the shift of the redox potential of ZnCe6 in the BFR-RC protein using the multi-conformational molecular mechanics-Poisson-Boltzmann approach. According to our calculations, the redox potential for the first oxidation of ZnCe6 in the BRF-RC protein is only 0.57 V, too low to oxidize tyrosine. We suggest that the observed tyrosine oxidation in BRF-RC could be driven by the ZnCe6 di-cation. In order to increase the efficiency of tyrosine oxidation, and ultimately oxidize water, the first potential of ZnCe6 would have to attain a value in excess of 0.8 V. We discuss the possibilities for modifying the BFR-RC to achieve this goal.

  1. PHOTOCHEMICAL REACTION OF NITRO-POLYCYCLIC AROMATIC HYDROCARBONS: EFFECT BY SOLVENT AND STRUCTURE

    PubMed Central

    Stewart, Gernerique; Smith, Keonia; Chornes, Ashley; Harris, Tracy; Honeysucker, Tiffany; Dasary, Suman Raj; Yu, Hongtao

    2010-01-01

    Photochemical degradation of 1-nitropyrene, 2-nitrofluorene, 2,7-dinitrofluorene, 6-nitrochrysene, 3-nitrofluoranthene, 5-nitroacenaphthene, and 9-nitroanthracene were examined in CHCl3, CH2Cl2, DMF, DMF/H2O (80/20), CH3CN, or CH3CN/H2O (80/20). The degradation follows mostly the 1st order kinetics; but a few follow 2nd order kinetics or undergo self-catalysis. The photodegradation rates follow the order: CHCl3 > CH2Cl2 > DMF > DMF/H2O > CH3CN > CH3CN/H2O. DMF is an exceptional solvent because 3 of the 7 compounds undergo self-catalytic reaction. 9-Nitroanthracene, which has a perpendicular nitro group, is the fastest, while the more compact 1-nitropyrene and 3-nitrofluoranthene, are the slowest degrading compounds. PMID:21170286

  2. Batch and Flow Photochemical Benzannulations Based on the Reaction of Ynamides and Diazo Ketones. Application to the Synthesis of Polycyclic Aromatic and Heteroaromatic Compounds

    PubMed Central

    Willumstad, Thomas P.; Haze, Olesya; Mak, Xiao Yin; Lam, Tin Yiu; Wang, Yu-Pu; Danheiser*, Rick L.

    2013-01-01

    Highly substituted polycyclic aromatic and heteroaromatic compounds are produced via a two-stage tandem benzannulation/cyclization strategy. The initial benzannulation step proceeds via a pericyclic cascade mechanism triggered by thermal or photochemical Wolff rearrangement of a diazo ketone. The photochemical process can be performed using a continuous flow reactor which facilitates carrying out reactions on a large scale and minimizes the time required for photolysis. Carbomethoxy ynamides as well as more ketenophilic bissilyl ynamines and N-sulfonyl and N-phosphoryl ynamides serve as the reaction partner in the benzannulation step. In the second stage of the strategy, RCM generates benzofused nitrogen heterocycles, and various heterocyclization processes furnish highly substituted and polycyclic indoles of types that were not available by using the previous cyclobutenone-based version of the tandem strategy. PMID:24116731

  3. Caries-preventive effect of fissure sealant containing surface reaction-type pre-reacted glass ionomer filler and bonded by self-etching primer.

    PubMed

    Shimazu, Kisaki; Ogata, Kiyokazu; Karibe, Hiroyuki

    2012-01-01

    We aimed to evaluate the caries-preventive effect of a fissure sealant containing surface reaction-type pre-reacted glass ionomer (S-PRG) filler and bonded by self-etching primer versus those of 2 conventional resin-based sealants bonded by acid etching in terms of its impact on enamel demineralization and remineralization, enamel bond strength, and integrity of debonded enamel surfaces. Demineralization, remineralization, and bond strength on untreated enamel and enamel subsurface lesions of bovine incisors were assessed among the sealants by polarizing microscopy and microradiography; debonded enamel surfaces were examined by scanning electron microscopy. The conventional resin-based sealants bonded by acid etching caused surface defects on the enamel subsurface lesions and significantly increased the lesion depth (p = 0.014), indicative of enamel demineralization. However the S-PRG filler-containing sealant bonded by self-etching primer maintained the enamel surface integrity and inhibited enamel demineralization. No difference in bond strength on both untreated enamel and enamel subsurface lesions was noted among the sealants. An S-PRG filler-containing fissure sealant bonded by self-etching primer can prevent enamel demineralization, microleakage, and gaps without the tags created by acid etching regardless of the enamel condition. Such sealants are suitable for protecting the pits and fissures of immature permanent teeth.

  4. Etching Rate of Silicon Dioxide Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Miura, Yutaka; Kasahara, Yu; Habuka, Hitoshi; Takechi, Naoto; Fukae, Katsuya

    2009-02-01

    The etching rate behavior of silicon dioxide (SiO2, fused silica) using chlorine trifluoride (ClF3) gas is studied at substrate temperatures between 573 and 1273 K at atmospheric pressure in a horizontal cold-wall reactor. The etching rate increases with the ClF3 gas concentration, and the overall reaction is recognized to be of the first order. The change of the etching rate with increasing substrate temperature is nonlinear, and the etching rate tends to approach a constant value at temperatures exceeding 1173 K. The overall rate constant is estimated by numerical calculation, taking into account the transport phenomena in the reactor, including the chemical reaction at the substrate surface. The activation energy obtained in this study is 45.8 kJ mol-1, and the rate constant is consistent with the measured etching rate behavior. A reactor system in which there is minimum etching of the fused silica chamber by ClF3 gas can be achieved using an IR lamp heating unit and a chamber cooling unit to maintain a sufficiently low temperature of the chamber wall.

  5. Surface smoothing of indium tin oxide film by laser-induced photochemical etching

    NASA Astrophysics Data System (ADS)

    Kang, JoonHyun; Kim, Young-Hwan; Kwon, Seok Joon; Park, Joon-Suh; Park, Kyoung Wan; Park, Jae-Gwan; Han, Il Ki

    2017-12-01

    Surface smoothing of indium tin oxide (ITO) film by laser irradiation was demonstrated. The ITO surface was etched by choline radicals, which were activated by laser irradiation at a wavelength of 532 nm. The RMS surface roughness was improved from 5.6 to 4.6 nm after 10 min of laser irradiation. We also showed the changes in the surface morphology of the ITO film with various irradiation powers and times.

  6. Photochemical Dimerization of Dibenzylideneacetone: A Convenient Exercise in [2+2] Cycloaddition Using Chemical Ionization Mass Spectrometry

    ERIC Educational Resources Information Center

    Rao, G. Nageswara; Janardhana, Chelli; Ramanathan, V.; Rajesh, T.; Kumar, P. Harish

    2006-01-01

    Chemical reactions induced by light have been utilized for synthesizing highly strained, thermodynamically unstable compounds, which are inaccessible through non-photochemical methods. Photochemical cycloaddition reactions, especially those leading to the formation of four-membered rings, constitute a convenient route to compounds that are…

  7. Simulation of photoreactive transients and of photochemical transformation of organic pollutants in sunlit boreal lakes across 14 degrees of latitude: A photochemical mapping of Sweden.

    PubMed

    Koehler, Birgit; Barsotti, Francesco; Minella, Marco; Landelius, Tomas; Minero, Claudio; Tranvik, Lars J; Vione, Davide

    2018-02-01

    Lake water constituents, such as chromophoric dissolved organic matter (CDOM) and nitrate, absorb sunlight which induces an array of photochemical reactions. Although these reactions are a substantial driver of pollutant degradation in lakes they are insufficiently understood, in particular on large scales. Here, we provide for the first time comprehensive photochemical maps covering a large geographic region. Using photochemical kinetics modeling for 1048 lakes across Sweden we simulated the steady-state concentrations of four photoreactive transient species, which are continuously produced and consumed in sunlit lake waters. We then simulated the transient-induced photochemical transformation of organic pollutants, to gain insight into the relevance of the different photoreaction pathways. We found that boreal lakes were often unfavorable environments for photoreactions mediated by hydroxyl radicals (OH) and carbonate radical anions (CO 3 - ), while photoreactions mediated by CDOM triplet states ( 3 CDOM*) and, to a lesser extent, singlet oxygen ( 1 O 2 ) were the most prevalent. These conditions promote the photodegradation of phenols, which are used as plastic, medical drug and herbicide precursors. When CDOM concentrations increase, as is currently commonly the case in boreal areas such as Sweden, 3 CDOM* will also increase, promoting its importance in photochemical pathways even more. Copyright © 2017 Elsevier Ltd. All rights reserved.

  8. Method for dry etching of transition metals

    DOEpatents

    Ashby, Carol I. H.; Baca, Albert G.; Esherick, Peter; Parmeter, John E.; Rieger, Dennis J.; Shul, Randy J.

    1998-01-01

    A method for dry etching of transition metals. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorous-containing .pi.-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/.pi.-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the .pi.-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the .pi.-acceptor ligand for forming the volatile transition metal/.pi.-acceptor ligand complex.

  9. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    NASA Astrophysics Data System (ADS)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  10. Seasonal Photochemical Transformations of Nitrogen Species in a Forest Stream and Lake

    PubMed Central

    Porcal, Petr; Kopáček, Jiří; Tomková, Iva

    2014-01-01

    The photochemical release of inorganic nitrogen from dissolved organic matter is an important source of bio-available nitrogen (N) in N-limited aquatic ecosystems. We conducted photochemical experiments and used mathematical models based on pseudo-first-order reaction kinetics to quantify the photochemical transformations of individual N species and their seasonal effects on N cycling in a mountain forest stream and lake (Plešné Lake, Czech Republic). Results from laboratory experiments on photochemical changes in N speciation were compared to measured lake N budgets. Concentrations of organic nitrogen (Norg; 40–58 µmol L−1) decreased from 3 to 26% during 48-hour laboratory irradiation (an equivalent of 4–5 days of natural solar insolation) due to photochemical mineralization to ammonium (NH4 +) and other N forms (Nx; possibly N oxides and N2). In addition to Norg mineralization, Nx also originated from photochemical nitrate (NO3 −) reduction. Laboratory exposure of a first-order forest stream water samples showed a high amount of seasonality, with the maximum rates of Norg mineralization and NH4 + production in winter and spring, and the maximum NO3 − reduction occurring in summer. These photochemical changes could have an ecologically significant effect on NH4 + concentrations in streams (doubling their terrestrial fluxes from soils) and on concentrations of dissolved Norg in the lake. In contrast, photochemical reactions reduced NO3 − fluxes by a negligible (<1%) amount and had a negligible effect on the aquatic cycle of this N form. PMID:25551441

  11. Effect of high pressure on the photochemical reaction center from Rhodobacter sphaeroides R26.1.

    PubMed Central

    Gall, A; Ellervee, A; Bellissent-Funel, M C; Robert, B; Freiberg, A

    2001-01-01

    High-pressure studies on the photochemical reaction center from the photosynthetic bacterium Rhodobacter sphaeroides, strain R26.1, shows that, up to 0.6 GPa, this carotenoid-less membrane protein does not loose its three-dimensional structure at room temperature. However, as evidenced by Fourier-transform preresonance Raman and electronic absorption spectra, between the atmospheric pressure and 0.2 GPa, the structure of the bacterial reaction center experiences a number of local reorganizations in the binding site of the primary electron donor. Above that value, the apparent compressibility of this membrane protein is inhomogeneous, being most noticeable in proximity to the bacteriopheophytin molecules. In this elevated pressure range, no more structural reorganization of the primary electron donor binding site can be observed. However, its electronic structure becomes dramatically perturbed, and the oscillator strength of its Q(y) electronic transition drops by nearly one order of magnitude. This effect is likely due to very small, pressure-induced changes in its dimeric structure. PMID:11222309

  12. Effect of dipolar fields, surface termination, and surface orientation on photochemical reactions on transition metal oxides

    NASA Astrophysics Data System (ADS)

    Giocondi, Jennifer Lynn

    Experiments have been conducted to determine the effects of dipolar fields, surface termination, and surface orientation on the photochemical reactivity of several transition metal oxides. These compounds include BaTiO3, SrTiO3, BaTi4O9, Sr2Nb2O 7, and Sr2Ta2O7 which were studied as polycrystalline ceramics, single crystals, micron-sized faceted particles, or some combination of these forms. The reduction of Ag+ from an aqueous AgNO3 solution (Ag0 product) and the oxidation of Pb2+ from an aqueous lead acetate solution (PbO 2 product) were selected as probe reactions because they leave insoluble products on the oxide surfaces. The reactivity of ferroelectric BaTiO3 was dominated by the effect of dipolar fields on the transport of photogenerated charge carriers. Silver was reduced on domains with a positive surface charge while lead was oxidized on domains with a negative surface charge. This reactivity implies that the dipolar field in individual domains drives photogenerated charge carriers to oppositely charged surfaces. This reaction mechanism results in a physical separation of the photogenerated charge carriers and the locations of the oxidation and reduction half reactions on the catalyst surface. Experiments performed on polycrystalline ceramics, single crystals, and micron-sized particles all showed this domain specific reactivity. SrTiO3 has the ideal cubic perovskite structure from which the tetragonally distorted ferroelectric BaTiO3 phase is derived. Polished and annealed surfaces of randomly oriented grain surfaces were bound by some combination of the following three planes: {110}, {111}, and a complex facet inclined approximately 24° from {100}. Surfaces with the complex {100} facet were found to be the most active for Ag reduction. Single crystal studies also showed that the nonpolar (100) surface is the most reactive and that the composition of the termination layer does not influence this reaction. However, the polar (111) and (110) surfaces had

  13. Photochemical reactions of metal nitrosyl complexes. Mechanisms of NO reactions with biologically relevant metal centers

    DOE PAGES

    Ford, Peter C.

    2001-01-01

    Tmore » he discoveries that nitric oxide (a.k.a. nitrogen monoxide) serves important roles in mammalian bioregulation and immunology have stimulated intense interest in the chemistry and biochemistry of NO and derivatives such as metal nitrosyl complexes. Also of interest are strategies to deliver NO to biological targets on demand. One such strategy would be to employ a precursor which displays relatively low thermal reactivity but is photochemically active to release NO. his proposition led us to investigate laser flash and continuous photolysis kinetics of nitrosyl complexes such as the Roussin's iron-sulfur-nitrosyl cluster anions Fe 2 S 2 ( NO ) 4 2 − and Fe 4 S 3 ( NO ) 7 − and several ruthenium salen and porphyrin nitrosyls. hese include studies using metal-nitrosyl photochemistry as a vehicle for delivering NO to hypoxic cell cultures in order to sensitize γ -radiation damage. Also studied were the rates and mechanisms of NO “on” reactions with model water soluble heme compounds, the ferriheme protein met-myoglobin and various ruthenium complexes using ns laser flash photolysis techniques. An overview of these studies is presented.« less

  14. Photochemical redox reactions of copper(II)-alanine complexes in aqueous solutions.

    PubMed

    Lin, Chen-Jui; Hsu, Chao-Sheng; Wang, Po-Yen; Lin, Yi-Liang; Lo, Yu-Shiu; Wu, Chien-Hou

    2014-05-19

    The photochemical redox reactions of Cu(II)/alanine complexes have been studied in deaerated solutions over an extensive range of pH, Cu(II) concentration, and alanine concentration. Under irradiation, the ligand-to-metal charge transfer results in the reduction of Cu(II) to Cu(I) and the concomitant oxidation of alanine, which produces ammonia and acetaldehyde. Molar absorptivities and quantum yields of photoproducts for Cu(II)/alanine complexes at 313 nm are characterized mainly with the equilibrium Cu(II) speciation where the presence of simultaneously existing Cu(II) species is taken into account. By applying regression analysis, individual Cu(I) quantum yields are determined to be 0.094 ± 0.014 for the 1:1 complex (CuL) and 0.064 ± 0.012 for the 1:2 complex (CuL2). Individual quantum yields of ammonia are 0.055 ± 0.007 for CuL and 0.036 ± 0.005 for CuL2. Individual quantum yields of acetaldehyde are 0.030 ± 0.007 for CuL and 0.024 ± 0.007 for CuL2. CuL always has larger quantum yields than CuL2, which can be attributed to the Cu(II) stabilizing effect of the second ligand. For both CuL and CuL2, the individual quantum yields of Cu(I), ammonia, and acetaldehyde are in the ratio of 1.8:1:0.7. A reaction mechanism for the formation of the observed photoproducts is proposed.

  15. Highly sensitive on-site detection of glucose in human urine with naked eye based on enzymatic-like reaction mediated etching of gold nanorods.

    PubMed

    Zhang, Zhiyang; Chen, Zhaopeng; Cheng, Fangbin; Zhang, Yaowen; Chen, Lingxin

    2017-03-15

    Based on enzymatic-like reaction mediated etching of gold nanorods (GNRs), an ultrasensitive visual method was developed for on-site detection of urine glucose. With the catalysis of MoO 4 2 - , GNRs were efficiently etched by H 2 O 2 which was generated by glucose-glucose oxidase enzymatic reaction. The etching of GNRs lead to a blue-shift of logitudinal localized surface plasmon resonance of GNRs, accompanied by an obvious color change from blue to red. The peak-shift and the color change can be used for detection of glucose by the spectrophotometer and the naked eyes. Under optimal condition, an excellent sensitivity toward glucose is obtained with a detection limit of 0.1μM and a visual detection limit of 3μM in buffer solution. Benefiting from the high sensitivity, the successful colorimetric detection of glucose in original urine samples was achieved, which indicates the practical applicability to the on-site determination of urine glucose. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Shape-dependence of the thermal and photochemical reactions of methanol on nanocrystalline anatase TiO2

    NASA Astrophysics Data System (ADS)

    Bennett, David A.; Cargnello, Matteo; Diroll, Benjamin T.; Murray, Christopher B.; Vohs, John M.

    2016-12-01

    Structure-activity relationships and the influence of particle size and shape on the partial- and photo-oxidation of methanol on nanocrystalline anatase TiO2 were investigated using temperature-programmed desorption. The study employed two distinct nanoparticle morphologies: truncated bipyramids exposing primarily {101} facets, and flatter platelets exposing primarily {001} surfaces, whose nominal sizes ranged from 10 to 25 nm. The platelets were found to be more active for thermally-driven reactions, such as coupling of methoxide groups to produce dimethyl ether, and deoxygenation to produce methane. A dependence of the reactivity of {001} facets for the coupling of methoxide groups to produce dimethyl ether on facet size was also observed. In contrast to the thermally-driven reactions, the bipyramidal nanoparticles were observed to be more active for a range of photochemical reactions, including oxidation and coupling to produce methyl formate, and photo-decomposition of surface methoxide species. This study also shows how well-defined nanocrystals can be used to help bridge the materials gap between studies of single crystal model catalysts and their high surface area industrial analogs.

  17. Photochemically Induced Intramolecular Radical Cyclization Reactions with Imines.

    PubMed

    Lefebvre, Corentin; Michelin, Clément; Martzel, Thomas; Djou'ou Mvondo, Vaneck; Bulach, Véronique; Abe, Manabu; Hoffmann, Norbert

    2018-02-16

    The photochemically induced intramolecular hydrogen abstraction or hydrogen atom transfer in cyclic imines 8a,b followed by a cyclization is investigated. Two types of products are observed, one resulting from the formation of a C-C bond, the other from the formation of a C-N bond. A computational study reveals that hydrogen is exclusively transferred to the imine nitrogen leading to a triplet diradical intermediate. After intersystem crossing, the resulting zwitterionic intermediate undergoes cyclization leading to the final product.

  18. Ion-enhanced chemical etching of ZrO2 in a chlorine discharge

    NASA Astrophysics Data System (ADS)

    Sha, Lin; Cho, Byeong-Ok; Chang, Jane P.

    2002-09-01

    Chlorine plasma is found to chemically etch ZrO2 thin films in an electron cyclotron resonance reactor, and the etch rate scaled linearly with the square root of ion energy at high ion energies with a threshold energy between 12-20 eV. The etching rate decreased monotonically with increasing chamber pressures, which corresponds to reduced electron temperatures. Optical emission spectroscopy and quadrupole mass spectrometry were used to identify the reaction etching products. No Zr, O, or ZrCl were detected as etching products, but highly chlorinated zirconium compounds (ZrCl2, ZrCl3, and ZrCl4) and ClO were found to be the dominant etching products. ZrCl3 was the dominant etching products at low ion energies, while ZrCl4 became dominant at higher ion energies. This is consistent with greater momentum transfer and enhanced surface chlorination, as determined by x-ray photoelectron spectroscopy, at increased ion energies. Several ion-enhanced chemical reactions are proposed to contribute to the ZrO2 etching. copyright 2002 American Vacuum Society.

  19. Method for dry etching of transition metals

    DOEpatents

    Ashby, C.I.H.; Baca, A.G.; Esherick, P.; Parmeter, J.E.; Rieger, D.J.; Shul, R.J.

    1998-09-29

    A method for dry etching of transition metals is disclosed. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorus-containing {pi}-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/{pi}-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the {pi}-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the {pi}-acceptor ligand for forming the volatile transition metal/{pi}-acceptor ligand complex.

  20. Additive and Photochemical Manufacturing of Copper

    PubMed Central

    Yung, Winco K. C.; Sun, Bo; Meng, Zhengong; Huang, Junfeng; Jin, Yingdi; Choy, Hang Shan; Cai, Zhixiang; Li, Guijun; Ho, Cheuk Lam; Yang, Jinlong; Wong, Wai Yeung

    2016-01-01

    In recent years, 3D printing technologies have been extensively developed, enabling rapid prototyping from a conceptual design to an actual product. However, additive manufacturing of metals in the existing technologies is still cost-intensive and time-consuming. Herein a novel platform for low-cost additive manufacturing is introduced by simultaneously combining the laser-induced forward transfer (LIFT) method with photochemical reaction. Using acrylonitrile butadiene styrene (ABS) polymer as the sacrificial layer, sufficient ejection momentum can be generated in the LIFT method. A low-cost continuous wave (CW) laser diode at 405 nm was utilized and proved to be able to transfer the photochemically synthesized copper onto the target substrate. The wavelength-dependent photochemical behaviour in the LIFT method was verified and characterized by both theoretical and experimental studies compared to 1064 nm fiber laser. The conductivity of the synthesized copper patterns could be enhanced using post electroless plating while retaining the designed pattern shapes. Prototypes of electronic circuits were accordingly built and demonstrated for powering up LEDs. Apart from pristine PDMS materials with low surface energies, the proposed method can simultaneously perform laser-induced forward transfer and photochemical synthesis of metals, starting from their metal oxide forms, onto various target substrates such as polyimide, glass and thermoplastics. PMID:28000733

  1. Additive and Photochemical Manufacturing of Copper

    NASA Astrophysics Data System (ADS)

    Yung, Winco K. C.; Sun, Bo; Meng, Zhengong; Huang, Junfeng; Jin, Yingdi; Choy, Hang Shan; Cai, Zhixiang; Li, Guijun; Ho, Cheuk Lam; Yang, Jinlong; Wong, Wai Yeung

    2016-12-01

    In recent years, 3D printing technologies have been extensively developed, enabling rapid prototyping from a conceptual design to an actual product. However, additive manufacturing of metals in the existing technologies is still cost-intensive and time-consuming. Herein a novel platform for low-cost additive manufacturing is introduced by simultaneously combining the laser-induced forward transfer (LIFT) method with photochemical reaction. Using acrylonitrile butadiene styrene (ABS) polymer as the sacrificial layer, sufficient ejection momentum can be generated in the LIFT method. A low-cost continuous wave (CW) laser diode at 405 nm was utilized and proved to be able to transfer the photochemically synthesized copper onto the target substrate. The wavelength-dependent photochemical behaviour in the LIFT method was verified and characterized by both theoretical and experimental studies compared to 1064 nm fiber laser. The conductivity of the synthesized copper patterns could be enhanced using post electroless plating while retaining the designed pattern shapes. Prototypes of electronic circuits were accordingly built and demonstrated for powering up LEDs. Apart from pristine PDMS materials with low surface energies, the proposed method can simultaneously perform laser-induced forward transfer and photochemical synthesis of metals, starting from their metal oxide forms, onto various target substrates such as polyimide, glass and thermoplastics.

  2. A thermodynamically-consistent large deformation theory coupling photochemical reaction and electrochemistry for light-responsive gels

    NASA Astrophysics Data System (ADS)

    Dehghany, Mohammad; Zhang, Haohui; Naghdabadi, Reza; Hu, Yuhang

    2018-07-01

    Gels are composed of crosslinked polymer network and solvent molecules. When the main chain network is incorporated with functional groups that can undergo photo-chemical reaction upon light irradiation, the gel becomes light-responsive. Under irradiation, the photosensitive groups may undergo photo-ionization process and generate charges that are attached to the main chain or diffuse into the solvent. The newly generated ions disturb the osmotic balance of the gel medium. As a result, water molecules and mobile ions are driven into or out of the network to compensate the osmotic imbalance, which eventually leads to macroscopic swelling or shrinking of the gel. In this work, we develop a rigorous nonequilibrium thermodynamic framework to study the coupled photo-chemo-electro-mechanical responses of the photo-ionizable gels. We first discuss the mathematical descriptions of the light propagation and photo-induced chemical reactions inside the gel, as well as the equations governing the kinetics of the photo-chemical reactions. We then explore the consequences of the fundamental laws of thermodynamics in deriving the governing equations of the photo-ionizable gels. The continuous light irradiation drives the gel system towards a new thermodynamic stationary state that is away from equilibrium and is accompanied by energy dissipation. Next, we focus on the photo stationary state of the gel and explore the consequences of the continuous irradiation on the mechanical response of the gel in both optically thin and optically thick configurations. In the optically thin cases, we quantitatively compare the theoretical prediction with experimental data available in the literature. In one example, we show that the model can quantitatively capture the photo-tunable volume-phase transition of the Poly(N-isopropylacrylamide) (PNIPAM) gel grafted with photo-responsive triphenylmethane leucocyanide groups. In another example, we show that the model can quantitatively study the

  3. Silicon Carbide Etching Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Oda, Satoko; Fukai, Yasushi; Fukae, Katsuya; Takeuchi, Takashi; Aihara, Masahiko

    2005-03-01

    The etch rate, chemical reactions and etched surface of β-silicon carbide are studied in detail using chlorine trifluoride gas. The etch rate is greater than 10 μm min-1 at 723 K with a flow rate of 0.1 \\ell min-1 at atmospheric pressure in a horizontal reactor. The maximum etch rate at a substrate temperature of 773 K is 40 μm min-1 with a flow rate of 0.25 \\ell min-1. The step-like pattern that initially exists on the β-silicon carbide surface tends to be smoothed; the root-mean-square surface roughness decreases from its initial value of 5 μm to 1 μm within 15 min; this minimum value is maintained for more than 15 min. Therefore, chlorine trifluoride gas is considered to have a large etch rate for β-silicon carbide associated with making a rough surface smooth.

  4. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P.; Wilson, William D.; Barbee, Jr., Troy W.; Lane, Stephen M.

    2004-11-16

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  5. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P [Livermore, CA; Wilson, William D [Pleasanton, CA; Barbee, Jr., Troy W.; Lane, Stephen M [Oakland, CA

    2006-06-27

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  6. Thermal etching of silver: Influence of rolling defects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolvedmore » oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.« less

  7. Photochemical and thermal bergman cyclization of a pyrimidine enediynol and enediynone.

    PubMed

    Choy, N; Blanco, B; Wen, J; Krishan, A; Russell, K C

    2000-11-30

    [reaction: see text] Novel 10-membered pyrimidine enediynes (3 and 4) were synthesized in seven and eight steps, respectively. These compounds were compared for their abilities to undergo Bergman cyclization both thermally and photochemically. Alcohol 3 readily cyclized both thermally and photochemically in (i)PrOH, while ketone 4 only showed efficient thermal cyclization. Both compounds were also shown to cleave dsDNA under the appropriate conditions.

  8. Photochemical Stereocontrol Using Tandem Photoredox-Chiral Lewis Acid Catalysis.

    PubMed

    Yoon, Tehshik P

    2016-10-18

    The physical, biological, and materials properties of organic compounds are determined by their three-dimensional molecular shape. The development of methods to dictate the stereochemistry of organic reactions has consequently emerged as one of the central themes of contemporary synthetic chemistry. Over the past several decades, chiral catalysts have been developed to control the enantioselectivity of almost every class of synthetically useful transformation. Photochemical reactions, however, are a conspicuous exception. Relatively few examples of highly enantioselective catalytic photoreactions have been reported to date, despite almost a century of research in this field. The development of robust strategies for photochemical enantiocontrol has thus proven to be a long-standing and surprisingly difficult challenge. For the past decade, our laboratory has been studying the application of transition metal photocatalysts to a variety of problems in synthetic organic chemistry. These efforts have recently culminated in the discovery of an effective system in which the activity of a visible light absorbing transition metal photoredox catalyst is combined with a second stereocontrolling chiral Lewis acid catalyst. This dual catalyst strategy has been applied to a diverse range of photochemical reactions; these have included highly enantioselective photocatalytic [2 + 2] cycloadditions, [3 + 2] cycloadditions, and radical conjugate addition reactions. This Account describes the development of the tandem Lewis acid photoredox catalysis strategy utilized in our laboratory. It provides an analysis of the factors that we believe to be particularly important to the success of this seemingly robust approach to photocatalytic stereocontrol. (1) The photocatalysts utilized in our systems are activated by wavelengths of visible light where the organic substrates are transparent, which minimizes the possibility of competitive racemic background photoreactions. (2) The high

  9. Photochemical Stereocontrol Using Tandem Photoredox–Chiral Lewis Acid Catalysis

    PubMed Central

    2016-01-01

    Conspectus The physical, biological, and materials properties of organic compounds are determined by their three-dimensional molecular shape. The development of methods to dictate the stereochemistry of organic reactions has consequently emerged as one of the central themes of contemporary synthetic chemistry. Over the past several decades, chiral catalysts have been developed to control the enantioselectivity of almost every class of synthetically useful transformation. Photochemical reactions, however, are a conspicuous exception. Relatively few examples of highly enantioselective catalytic photoreactions have been reported to date, despite almost a century of research in this field. The development of robust strategies for photochemical enantiocontrol has thus proven to be a long-standing and surprisingly difficult challenge. For the past decade, our laboratory has been studying the application of transition metal photocatalysts to a variety of problems in synthetic organic chemistry. These efforts have recently culminated in the discovery of an effective system in which the activity of a visible light absorbing transition metal photoredox catalyst is combined with a second stereocontrolling chiral Lewis acid catalyst. This dual catalyst strategy has been applied to a diverse range of photochemical reactions; these have included highly enantioselective photocatalytic [2 + 2] cycloadditions, [3 + 2] cycloadditions, and radical conjugate addition reactions. This Account describes the development of the tandem Lewis acid photoredox catalysis strategy utilized in our laboratory. It provides an analysis of the factors that we believe to be particularly important to the success of this seemingly robust approach to photocatalytic stereocontrol. (1) The photocatalysts utilized in our systems are activated by wavelengths of visible light where the organic substrates are transparent, which minimizes the possibility of competitive racemic background photoreactions. (2) The

  10. Determination of Etch Rate Behavior of 4H-SiC Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Miura, Yutaka; Habuka, Hitoshi; Katsumi, Yusuke; Oda, Satoko; Fukai, Yasushi; Fukae, Katsuya; Kato, Tomohisa; Okumura, Hajime; Arai, Kazuo

    2007-12-01

    The etch rate of single-crystalline 4H-SiC is studied using chlorine trifluoride gas at 673-973 K and atmospheric pressure in a cold wall horizontal reactor. The 4H-SiC etch rate can be higher than 10 μm/min at substrate temperatures higher than 723 K. The etch rate increases with the chlorine trifluoride gas flow rate. The etch rate is calculated by taking into account the transport phenomena in the reactor including the chemical reaction at the substrate surface. The flat etch rate at the higher substrate temperatures is caused mainly by the relationship between the transport rate and the surface chemical reaction rate of chlorine trifluoride gas.

  11. The composition dependence of the photochemical reactivity of strontium barium titanate

    NASA Astrophysics Data System (ADS)

    Bhardwaj, Abhilasha

    The efficiency of particulate water photolysis catalysts is impractically low due to the recombination of intermediate species and charge carriers. The back reaction can occur easily if the oxidation and reduction sites on the surface of the catalyst are not far enough apart. It is hypothesized that it will be possible to increase the separation of the sites of the two half reactions and reduce the recombination of photogenerated charge carriers by using a ferroelectric material with permanent internal dipolar fields. This separation of the reaction sites may significantly increase the efficiency of the process. The present work compares the photochemical reactivities of ferroelectric and nonferroelectric materials (SrxBa1-xTiO 3, 0.0≤ x ≤1.0) with similar composition and structure. The reactivities are compared by measuring the color change of methylene blue dye after the aqueous dye solution reacts on the surface of ceramic sample pellets as a result of exposure to UV light. The reactivities are also compared by measuring the amount of silver that is formed when an aqueous AgNO3 solution photochemically reacts on the surface. The change in the color of the dye is measured by diffuse reflectance spectroscopy and absorbance measurements. The amount of silver is measured by atomic force microscopy. The photochemical reactivity of SrxBa1-xTiO3 shows a local maximum at the composition of the ferroelectric to non-ferroelectric transition. Also, the reactivities decrease as BaTiO3 and SrTiO3 become less pure. The dominant factors causing this trend in reactivities of SrxBa1-xTiO3 are the dielectric constant and alloy scattering. It is found that higher values of the dielectric constant increase the photochemical reactivity by enlarging the space charge region. The increase in alloy scattering in SrxBa1-xTiO 3 solid solutions as x increases from zero or decreases from 1, has adverse effect on reactivity. There are other factors such as ferroelectric polarization

  12. Effect of chemical kinetics uncertainties on calculated constituents in a tropospheric photochemical model

    NASA Technical Reports Server (NTRS)

    Thompson, Anne M.; Stewart, Richard W.

    1991-01-01

    Random photochemical reaction rates are employed in a 1D photochemical model to examine uncertainties in tropospheric concentrations and thereby determine critical kinetic processes and significant correlations. Monte Carlo computations are used to simulate different chemical environments and their related imprecisions. The most critical processes are the primary photodissociation of O3 (which initiates ozone destruction) and NO2 (which initiates ozone formation), and the OH/methane reaction is significant. Several correlations and anticorrelations between species are discussed, and the ozone/transient OH correlation is examined in detail. One important result of the modeling is that estimates of global OH are generally about 25 percent uncertain, limiting the precision of photochemical models. Techniques for reducing the imprecision are discussed which emphasize the use of species and radical species measurements.

  13. Mechanism of the photochemical ligand substitution reactions of fac-[Re(bpy)(CO)(3)(PR(3))](+) complexes and the properties of their triplet ligand-field excited states.

    PubMed

    Koike, Kazuhide; Okoshi, Nobuaki; Hori, Hisao; Takeuchi, Koji; Ishitani, Osamu; Tsubaki, Hideaki; Clark, Ian P; George, Michael W; Johnson, Frank P A; Turner, James J

    2002-09-25

    We report herein the mechanism of the photochemical ligand substitution reactions of a series of fac-[Re(X(2)bpy)(CO)(3)(PR(3))](+) complexes (1) and the properties of their triplet ligand-field ((3)LF) excited states. The reason for the photostability of the rhenium complexes [Re(X(2)bpy)(CO)(3)(py)](+) (3) and [Re(X(2)bpy)(CO)(3)Cl] (4) was also investigated. Irradiation of an acetonitrile solution of 1 selectively gave the biscarbonyl complexes cis,trans-[Re(X(2)bpy)(CO)(2)(PR(3))(CH(3)CN)](+) (2). Isotope experiments clearly showed that the CO ligand trans to the PR(3) ligand was selectively substituted. The photochemical reactions proceeded via a dissociative mechanism from the (3)LF excited state. The thermodynamical data for the (3)LF excited states of complexes 1 and the corrective nonradiative decay rate constants for the triplet metal-to-ligand charge-transfer ((3)MLCT) states were obtained from temperature-dependence data for the emission lifetimes and for the quantum yields of the photochemical reactions and the emission. Comparison of 1 with [Re(X(2)bpy)(CO)(3)(py)](+) (3) and [Re(X(2)bpy)(CO)(3)Cl] (4) indicated that the (3)LF states of some 3- and 4-type complexes are probably accessible from the (3)MLCT state even at ambient temperature, but these complexes were stable to irradiation at 365 nm. The photostability of 3 and 4, in contrast to 1, can be explained by differences in the trans effects of the PR(3), py, and Cl(-) ligands.

  14. Deep Etching Process Developed for the Fabrication of Silicon Carbide Microsystems

    NASA Technical Reports Server (NTRS)

    Beheim, Glenn M.

    2000-01-01

    Silicon carbide (SiC), because of its superior electrical and mechanical properties at elevated temperatures, is a nearly ideal material for the microminiature sensors and actuators that are used in harsh environments where temperatures may reach 600 C or greater. Deep etching using plasma methods is one of the key processes used to fabricate silicon microsystems for more benign environments, but SiC has proven to be a more difficult material to etch, and etch depths in SiC have been limited to several micrometers. Recently, the Sensors and Electronics Technology Branch at the NASA Glenn Research Center at Lewis Field developed a plasma etching process that was shown to be capable of etching SiC to a depth of 60 mm. Deep etching of SiC is achieved by inductive coupling of radiofrequency electrical energy to a sulfur hexafluoride (SF6) plasma to direct a high flux of energetic ions and reactive fluorine atoms to the SiC surface. The plasma etch is performed at a low pressure, 5 mtorr, which together with a high gas throughput, provides for rapid removal of the gaseous etch products. The lateral topology of the SiC microstructure is defined by a thin film of etch-resistant material, such as indium-tin-oxide, which is patterned using conventional photolithographic processes. Ions from the plasma bombard the exposed SiC surfaces and supply the energy needed to initiate a reaction between SiC and atomic fluorine. In the absence of ion bombardment, no reaction occurs, so surfaces perpendicular to the wafer surface (the etch sidewalls) are etched slowly, yielding the desired vertical sidewalls.

  15. Vinyl azides derived from allenes: thermolysis leading to multisubstituted 1,4-pyrazines and Mn(III)-catalyzed photochemical reaction leading to pyrroles.

    PubMed

    Sajna, K V; Kumara Swamy, K C

    2012-10-05

    Thermolysis of phosphorus-based vinyl azides under solvent- and catalyst-free conditions furnished a new route for 1,4-pyrazines. A simple one-pot, Mn(III)-catalyzed photochemical route has been developed for multisubstituted pyrroles starting from allenes and 1,3-dicarbonyls via in situ-generated vinyl azides. The utility of new phosphorus-based pyrroles is also demonstrated in the Horner reaction. The structures of key products are unequivocally confirmed by X-ray crystallography.

  16. A sterilization system using ultraviolet photochemical reactions based on nitrous oxide and oxygen gases.

    PubMed

    Ohnishi, Yasutaka; Matsumoto, Hiroyuki; Iwamori, Satoru

    2016-03-01

    Active oxygen species (AOS) generated under ultraviolet (UV) lamps can be applied for various industrial processes owing to extremely strong oxidative abilities. We have already reported on an application of the AOS for a sterilization process of microorganisms. Here, a sterilization method using active oxygen generated under ultraviolet (UV) lamps introducing nitrous oxide (N2O) and oxygen gases into a vacuum chamber was investigated. Nitrogen dioxide (NO2) gas was readily produced from N2O by UV photochemical reactions under the low-pressure mercury lamp and then used to sterilize medical devices. We compared the ability of the N2O gas to sterilize Geobacillus stearothermophilus spores with those of conventional methods. Successful sterilization of spores on various biological indicators was achieved within 60 min, not only in sterilization bags but also in a lumen device. Copyright © 2016 Elsevier B.V. All rights reserved.

  17. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  18. Anoxic photochemical oxidation of siderite generates molecular hydrogen and iron oxides

    PubMed Central

    Kim, J. Dongun; Yee, Nathan; Nanda, Vikas; Falkowski, Paul G.

    2013-01-01

    Photochemical reactions of minerals are underappreciated processes that can make or break chemical bonds. We report the photooxidation of siderite (FeCO3) by UV radiation to produce hydrogen gas and iron oxides via a two-photon reaction. The calculated quantum yield for the reaction suggests photooxidation of siderite would have been a significant source of molecular hydrogen for the first half of Earth’s history. Further, experimental results indicate this abiotic, photochemical process may have led to the formation of iron oxides under anoxic conditions. The reaction would have continued through the Archean to at least the early phases of the Great Oxidation Event, and provided a mechanism for oxidizing the atmosphere through the loss of hydrogen to space, while simultaneously providing a key reductant for microbial metabolism. We propose that the photochemistry of Earth-abundant minerals with wide band gaps would have potentially played a critical role in shaping the biogeochemical evolution of early Earth. PMID:23733945

  19. Energy Transduction Inside of Amphiphilic Vesicles: Encapsulation of Photochemically Active Semiconducting Particles

    NASA Astrophysics Data System (ADS)

    Summers, David P.; Noveron, Juan; Basa, Ranor C. B.

    2009-04-01

    Amphiphilic bilayer membrane structures (vesicles) have been postulated to have been abiotically formed and spontaneously assemble on the prebiotic Earth, providing compartmentalization for the origin of life. These vesicles are similar to modern cellular membranes and can serve to contain water-soluble species, concentrate species, and have the potential to catalyze reactions. The origin of the use of photochemical energy in metabolism (i.e. energy transduction) is one of the central issues in the origin of life. This includes such questions as how energy transduction may have occurred before complex enzymatic systems, such as required by contemporary photosynthesis, had developed and how simple a photochemical system is possible. It has been postulated that vesicle structures developed the ability to capture and transduce light, providing energy for reactions. It has also been shown that pH gradients across the membrane surface can be photochemically created, but coupling these to drive chemical reactions has been difficult. Colloidal semiconducting mineral particles are known to photochemically drive redox chemistry. We propose that encapsulation of these particles has the potential to provide a source of energy transduction inside vesicles, and thereby drive protocellular chemistry, and represents a model system for early photosynthesis. In our experiments we show that TiO2 particles, in the ~20 nm size range, can be incorporated into vesicles and retain their photoactivity through the dehydration/rehydration cycles that have been shown to concentrate species inside a vesicle.

  20. Energy transduction inside of amphiphilic vesicles: encapsulation of photochemically active semiconducting particles.

    PubMed

    Summers, David P; Noveron, Juan; Basa, Ranor C B

    2009-04-01

    Amphiphilic bilayer membrane structures (vesicles) have been postulated to have been abiotically formed and spontaneously assemble on the prebiotic Earth, providing compartmentalization for the origin of life. These vesicles are similar to modern cellular membranes and can serve to contain water-soluble species, concentrate species, and have the potential to catalyze reactions. The origin of the use of photochemical energy in metabolism (i.e. energy transduction) is one of the central issues in the origin of life. This includes such questions as how energy transduction may have occurred before complex enzymatic systems, such as required by contemporary photosynthesis, had developed and how simple a photochemical system is possible. It has been postulated that vesicle structures developed the ability to capture and transduce light, providing energy for reactions. It has also been shown that pH gradients across the membrane surface can be photochemically created, but coupling these to drive chemical reactions has been difficult. Colloidal semiconducting mineral particles are known to photochemically drive redox chemistry. We propose that encapsulation of these particles has the potential to provide a source of energy transduction inside vesicles, and thereby drive protocellular chemistry, and represents a model system for early photosynthesis. In our experiments we show that TiO2 particles, in the approximately 20 nm size range, can be incorporated into vesicles and retain their photoactivity through the dehydration/rehydration cycles that have been shown to concentrate species inside a vesicle.

  1. Metal-assisted etch combined with regularizing etch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yim, Joanne; Miller, Jeff; Jura, Michael

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performingmore » a chemical etch which results in regularized openings in the silicon substrate.« less

  2. Photophysical and photochemical insights into the photodegradation of sulfapyridine in water: A joint experimental and theoretical study.

    PubMed

    Zhang, Heming; Wei, Xiaoxuan; Song, Xuedan; Shah, Shaheen; Chen, Jingwen; Liu, Jianhui; Hao, Ce; Chen, Zhongfang

    2018-01-01

    For organic pollutants, photodegradation, as a major abiotic elimination process and of great importance to the environmental fate and risk, involves rather complicated physical and chemical processes of excited molecules. Herein, we systematically studied the photophysical and photochemical processes of a widely used antibiotic, namely sulfapyridine. By means of density functional theory (DFT) computations, we examined the rate constants and the competition of both photophysical and photochemical processes, elucidated the photochemical reaction mechanism, calculated reaction quantum yield (Φ) based on both photophysical and photochemical processes, and subsequently estimated the photodegradation rate constant. We further conducted photolysis experiments to measure the photodegradation rate constant of sulfapyridine. Our computations showed that sulfapyridine at the lowest excited singlet state (S 1 ) mainly undergoes internal conversion to its ground state, and is difficult to transfer to the lowest excited triplet states (T 1 ) via intersystem crossing (ISC) and emit fluorescence. In T 1 state, compared with phosphorescence emission and ISC, chemical reaction is much easier to initiate. Encouragingly, the theoretically predicted photodegradation rate constant is close to the experimentally observed value, indicating that quantum chemistry computation is powerful enough to study photodegradation involving ultra-fast photophysical and photochemical processes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. Photochemical reactions in interstellar grains photolysis of CO, NH3, and H2O

    NASA Technical Reports Server (NTRS)

    Agarwal, V. K.; Ferris, J. P.; Schutte, W.; Greenberg, J. M.; Briggs, R.

    1985-01-01

    The interstellar grains are currently considered to be the basic building blocks of comets and, possibly, meteorites. To test this theory, a simulation of the organic layer accreted onto interstellar dust particles was prepared by slow deposition of a CO:NH3:H2O gas mixture on an Al block at 10 K, with concomitant irradiation with vacuum UV. The results of the HPLC and IR analyses of the nonvolatile residue formed by photolysis at 10 K are compared with those observed at 77 K and 298 K. Some of the compounds that may be present on the surfaces of interstellar dust particles have been identified, and some specific predictions concerning the types of molecular species present in comets could be drawn. The results also suggest that photochemical reactions may have been important for the formation of meteorite components. The implication of the findings to the questions of the source of organic matter on earth and the origin of life are discussed.

  4. Photochemical transformations accelerated in continuous-flow reactors: basic concepts and applications.

    PubMed

    Su, Yuanhai; Straathof, Natan J W; Hessel, Volker; Noël, Timothy

    2014-08-18

    Continuous-flow photochemistry is used increasingly by researchers in academia and industry to facilitate photochemical processes and their subsequent scale-up. However, without detailed knowledge concerning the engineering aspects of photochemistry, it can be quite challenging to develop a suitable photochemical microreactor for a given reaction. In this review, we provide an up-to-date overview of both technological and chemical aspects associated with photochemical processes in microreactors. Important design considerations, such as light sources, material selection, and solvent constraints are discussed. In addition, a detailed description of photon and mass-transfer phenomena in microreactors is made and fundamental principles are deduced for making a judicious choice for a suitable photomicroreactor. The advantages of microreactor technology for photochemistry are described for UV and visible-light driven photochemical processes and are compared with their batch counterparts. In addition, different scale-up strategies and limitations of continuous-flow microreactors are discussed. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Evaluation of different photosensitizers for use in photochemical gene transfection.

    PubMed

    Prasmickaite, L; Høgset, A; Berg, K

    2001-04-01

    Many potentially therapeutic macromolecules, e.g. transgenes used in gene therapy, are taken into the cells by endocytosis, and have to be liberated from endocytic vesicles in order to express a therapeutic function. To achieve this we have developed a new technology, named photochemical internalization (PCI), based on photochemical reactions inducing rupture of endocytic vesicles. The aim of this study was to clarify which properties of photosensitizers are important for obtaining the PCI effect improving gene transfection. The photochemical effect on transfection of human melanoma THX cells has been studied employing photosensitizers with different physicochemical properties and using two gene delivery vectors: the cationic polypeptide polylysine and the cationic lipid 1,2-dioleoyl-3-trimethylammonium-propane (DOTAP). Photochemical treatment by photosensitizers that do not localize in endocytic vesicles (tetra[3-hydroxyphenyl]porphyrin and 5-aminolevulinic acid-induced protoporphyrin IX) do not stimulate transfection, irrespective of the gene delivery vector. In contrast, photosensitizers localized in endocytic vesicles stimulate polylysine-mediated transfection, and amphiphilic photosensitizers (disulfonated aluminium phthalocyanine [AlPcS2a] and meso-tetraphenylporphynes) show the strongest positive effect, inducing approximately 10-fold increase in transfection efficiency. In contrast, DOTAP-mediated transfection is inhibited by all photochemical treatments irrespective of the photosensitizer used. Neither AlPcS2a nor Photofrin affects the uptake of the transfecting DNA over the plasma membrane, therefore photochemical permeabilization of endocytic vesicles seems to be the most likely mechanism responsible for the positive PCI effect on gene transfection.

  6. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  7. Principles and applications of laser-induced liquid-phase jet-chemical etching

    NASA Astrophysics Data System (ADS)

    Stephen, Andreas; Metev, Simeon; Vollertsen, Frank

    2003-11-01

    In this treatment method laser radiation, which is guided from a coaxially expanding liquid jet-stream, locally initiates a thermochemical etching reaction on a metal surface, which leads to selective material removal at high resolution and quality of the treated surface as well as low thermal influence on the workpiece. Electrochemical investigations were performed under focused laser irradiation using a cw-Nd:YAG laser with a maximum power of 15 W and a simultaneous impact of the liquid jet-stream consisting of phosphoric acid with a maximum flow rate of 20 m/s. The time resolved measurements of the electrical potential difference against an electrochemical reference electrode were correlated with the specific processing parameters and corresponding etch rates to identify processing conditions for temporally stable and enhanced chemical etching reactions. Applications of laser-induced liquid-phase jet-chemical etching in the field of sensor technology, micromechanics and micrmoulding technology are presented. This includes the microstructuring of thin film systems, cutting of foils of shape memory alloys or the generation of structures with defined shape in bulk material.

  8. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    PubMed

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  9. Plasma etching a ceramic composite. [evaluating microstructure

    NASA Technical Reports Server (NTRS)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  10. Regioselectivity of enzymatic and photochemical single electron transfer promoted carbon-carbon bond fragmentation reactions of tetrameric lignin model compounds.

    PubMed

    Cho, Dae Won; Latham, John A; Park, Hea Jung; Yoon, Ung Chan; Langan, Paul; Dunaway-Mariano, Debra; Mariano, Patrick S

    2011-04-15

    New types of tetrameric lignin model compounds, which contain the common β-O-4 and β-1 structural subunits found in natural lignins, have been prepared and carbon-carbon bond fragmentation reactions of their cation radicals, formed by photochemical (9,10-dicyanoanthracene) and enzymatic (lignin peroxidase) SET-promoted methods, have been explored. The results show that cation radical intermediates generated from the tetrameric model compounds undergo highly regioselective C-C bond cleavage in their β-1 subunits. The outcomes of these processes suggest that, independent of positive charge and odd-electron distributions, cation radicals of lignins formed by SET to excited states of sensitizers or heme-iron centers in enzymes degrade selectively through bond cleavage reactions in β-1 vs β-O-4 moieties. In addition, the findings made in the enzymatic studies demonstrate that the sterically large tetrameric lignin model compounds undergo lignin peroxidase-catalyzed cleavage via a mechanism involving preliminary formation of an enzyme-substrate complex.

  11. Etching nano-holes in silicon carbide using catalytic platinum nano-particles

    NASA Astrophysics Data System (ADS)

    Moyen, E.; Wulfhekel, W.; Lee, W.; Leycuras, A.; Nielsch, K.; Gösele, U.; Hanbücken, M.

    2006-09-01

    The catalytic reaction of platinum during a hydrogen etching process has been used to perform controlled vertical nanopatterning of silicon carbide substrates. A first set of experiments was performed with platinum powder randomly distributed on the SiC surface. Subsequent hydrogen etching in a hot wall reactor caused local atomic hydrogen production at the catalyst resulting in local SiC etching and hole formation. Secondly, a highly regular and monosized distribution of Pt was obtained by sputter deposition of Pt through an Au membrane serving as a contact mask. After the lift-off of the mask, the hydrogen etching revealed the onset of well-controlled vertical patterned holes on the SiC surface.

  12. Improvement in etching rate for epilayer lift-off with surfactant

    NASA Astrophysics Data System (ADS)

    Wu, Fan-Lei; Horng, Ray-Hua; Lu, Jian-Heng; Chen, Chun-Li; Kao, Yu-Cheng

    2013-03-01

    In this study, the GaAs epilayer is quickly separated from GaAs substrate by epitaxial lift-off (ELO) process with mixture etchant solution. The HF solution mixes with surfactant as mixture etchant solution to etch AlAs sacrificial layer for the selective wet etching of AlAs sacrificial layer. Addiction surfactants etchant significantly enhance the etching rate in the hydrofluoric acid etching solution. It is because surfactant provides hydrophilicity to change the contact angle with enhances the fluid properties of the mixture etchant between GaAs epilayer and GaAs substrate. Arsine gas was released from the etchant solution because the critical reaction product in semiconductor etching is dissolved arsine gas. Arsine gas forms a bubble, which easily displaces the etchant solution, before the AlAs layer was undercut. The results showed that acetone and hydrofluoric acid ratio of about 1:1 for the fastest etching rate of 13.2 μm / min. The etching rate increases about 4 times compared with pure hydrofluoric acid, moreover can shorten the separation time about 70% of GaAs epilayer with GaAs substrate. The results indicate that etching ratio and stability are improved by mixture etchant solution. It is not only saving the epilayer and the etching solution exposure time, but also reducing the damage to the epilayer structure.

  13. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    PubMed

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  14. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  15. Photochemical Water Oxidation Using {PMo12O40@Mo72Fe30}n Based Soft Oxometalate

    NASA Astrophysics Data System (ADS)

    Das, Santu; Roy, Soumyajit

    Finding an alternative energy resource which can produce clean energy at a low cost is one of the major concerns of our times. The conversion of light energy into chemical energy is one key step forward in the direction. With that end in view photochemical water oxidation to produce oxygen plays a crucial role. In the present paper we have synthesized a soft oxometalate {PMo12O40@Mo72Fe30}n(1) from its well-known precursor polyoxometalate constituent [Muller et al., Chem. Commun. 1, 657 (2001)]. It is known that in the matter of catalysis, high surface area, possibility of heterogenization, recoverability makes soft oxometalates (SOMs) attractive as catalytic materials. Here we exploit such advantages of SOMs. The SOM based material acts as an active catalyst for photochemical water oxidation reaction with a maximum turnover number of 20256 and turnover frequency of 24.11min-1. The catalyst material is stable under photochemical reaction conditions and therefore can be reused for multiple photo catalytic water oxidation reaction cycles.

  16. The chitosan - Porphyrazine hybrid materials and their photochemical properties.

    PubMed

    Chełminiak-Dudkiewicz, Dorota; Ziegler-Borowska, Marta; Stolarska, Magdalena; Sobotta, Lukasz; Falkowski, Michal; Mielcarek, Jadwiga; Goslinski, Tomasz; Kowalonek, Jolanta; Węgrzynowska-Drzymalska, Katarzyna; Kaczmarek, Halina

    2018-04-01

    Three magnesium sulfanyl porphyrazines differing in the size of peripheral substituents (3,5-dimethoxybenzylsulfanyl, (3,5-dimethoxybenzyloxy)benzylsulfanyl, 3,5-bis[(3,5-bis[(3,5-dimethoxybenzyloxy)benzyloxy]benzylsulfanyl) were exposed to visible and ultraviolet radiation (UV A + B + C) in order to determine their photochemical properties. The course of photochemical reactions in dimethylformamide solutions and the ability of the systems to generate singlet oxygen were studied by UV-Vis spectroscopy, which additionally gave information on aggregation processes. The porphyrazines were found to be stable upon visible light irradiation conditions, but when exposed to high energy UV radiation, the efficient photodegradation of these macrocycles was observed. Therefore, these three magnesium sulfanyl porphyrazines were incorporated into chitosan matrix. The obtained thin films of chitosan doped with porphyrazines were subjected to polychromatic UV-radiation and studied by spectroscopic methods (UV-Vis, FTIR), scanning electron microscopy (SEM) and atomic force microscopy (AFM). Application of chitosan as a polymer matrix for porphyrazines was found to be successful method that effectively stopped the unwelcome degradation of macrocycles, thus worth considering for their photoprotection. In addition, the surface properties of the hybrid material were determined by contact angle measurements and calculation of surface free energy. Intermolecular interactions between these novel porphyrazines and chitosan were detected. The mechanism of photochemical reactions occurring in studied systems has been discussed. Copyright © 2018 Elsevier B.V. All rights reserved.

  17. ScAlN etch mask for highly selective silicon etching

    DOE PAGES

    Henry, Michael David; Young, Travis R.; Griffin, Ben

    2017-09-08

    Here, this work reports the utilization of a recently developed film, ScAlN, as a silicon etch mask offering significant improvements in high etch selectivity to silicon. Utilization of ScAlN as a fluorine chemistry based deep reactive ion etch mask demonstrated etch selectivity at 23 550:1, four times better than AlN, 11 times better than Al 2O 3, and 148 times better than silicon dioxide with significantly less resputtering at high bias voltage than either Al 2O 3 or AlN. Ellipsometry film thickness measurements show less than 0.3 nm/min mask erosion rates for ScAlN. Micromasking of resputtered Al for Al 2Omore » 3, AlN, and ScAlN etch masks is also reported here, utilizing cross-sectional scanning electron microscope and confocal microscope roughness measurements. With lower etch bias, the reduced etch rate can be optimized to achieve a trench bottom surface roughness that is comparable to SiO 2 etch masks. Etch mask selectivity enabled by ScAlN is likely to make significant improvements in microelectromechanical systems, wafer level packaging, and plasma dicing of silicon.« less

  18. The effect of photochemical models on calculated equilibria and cooling rates in the stratosphere

    NASA Technical Reports Server (NTRS)

    Blake, D.; Lindzen, R. S.

    1973-01-01

    Simplified models were developed for radiative heating and cooling and for ozone photochemistry in the region 22-60 km. The latter permit the inclusion of nitrogen and hydrogen reactions in addition to simple oxygen reactions. The simplicity of the scheme facilitates the use of a wide variety of cooling and reaction rates. It is shown that joint radiative-photochemical equilibrium is appropriate to the mean state of the atmosphere between 35 and 60 km. The relaxation of perturbations from joint radiative-photochemical equilibrium was also investigated. In all cases the coupling between temperature dependent ozone photochemistry and radiation lead to a reduction of the thermal relaxation time from its purely radiative value. The latter, which amounts to about 10 days, is reduced to 2-4 days at heights of 31-35 km. This greatly enhances the dissipation of waves traveling through the stratosphere.

  19. Dry etching of metallization

    NASA Technical Reports Server (NTRS)

    Bollinger, D.

    1983-01-01

    The production dry etch processes are reviewed from the perspective of microelectronic fabrication applications. The major dry etch processes used in the fabrication of microelectronic devices can be divided into two categories - plasma processes in which samples are directly exposed to an electrical discharge, and ion beam processes in which samples are etched by a beam of ions extracted from a discharge. The plasma etch processes can be distinguished by the degree to which ion bombardment contributes to the etch process. This, in turn is related to capability for anisotropic etching. Reactive Ion Etching (RIE) and Ion Beam Etching are of most interest for etching of thin film metals. RIE is generally considered the best process for large volume, anisotropic aluminum etching.

  20. Laboratory measurements. [chemical and photochemical data relative to stratospheric modeling

    NASA Technical Reports Server (NTRS)

    1977-01-01

    A compilation of chemical and photochemical data that are relevant to stratospheric modeling is presented. There are three broad categories of data: (1) rate constants for chemical reactions, including temperature and pressure dependencies along with product distributions; (2) absorption cross sections, photodissociation quantum yield, and photolysis; (3) heterogeneous chemical processes.

  1. Controlling Shape and Plasmon Resonance of Pt-Etched Au@Ag Nanorods.

    PubMed

    Ye, Rongkai; Zhang, Yanping; Chen, Yuyu; Tang, Liangfeng; Wang, Qiong; Wang, Qianyu; Li, Bishan; Zhou, Xuan; Liu, Jianyu; Hu, Jianqiang

    2018-05-22

    Pt-based catalysts with novel structure have attracted great attention due to their outstanding performance. In this work, H 2 PtCl 6 was used as both precursor and etching agent to realize the shape-controlled synthesis of Pt-modified Au@Ag nanorods (NRs). During the synthesis, the as-prepared Ag shell played a crucial role in both protecting the Au NRs from being etched away by PtCl 6 2- and leading to an unusual growth mode of Pt component. The site-specified etching and/or growth depended on the concentration of H 2 PtCl 6 , where high-yield core-shell structure or dumbbell-like structure could be obtained. The shape-controlled synthesis also led to a tunable longitudinal surface plasmon resonance from ca. 649 to 900 nm. Meanwhile, the core-shell Pt-modified Au@Ag NRs showed approximately 4-fold enhancement in catalytic reduction reaction of p-nitrophenol than that of the Au NRs, suggesting the great potential for photocatalytic reaction.

  2. Metal etching composition

    NASA Technical Reports Server (NTRS)

    Otousa, Joseph E. (Inventor); Thomas, Clark S. (Inventor); Foster, Robert E. (Inventor)

    1991-01-01

    The present invention is directed to a chemical etching composition for etching metals or metallic alloys. The composition includes a solution of hydrochloric acid, phosphoric acid, ethylene glycol, and an oxidizing agent. The etching composition is particularly useful for etching metal surfaces in preparation for subsequent fluorescent penetrant inspection.

  3. PHOTOCHEMICAL MINERALIZATION OF DISSOLVED ORGANIC NITROGEN TO AMMONIUM IN THE BALTIC SEA

    EPA Science Inventory

    Solar radiation-induced photochemistry can be considered as a new source of nutrients when photochemical reactions release bioavailable nitrogen from biologically non-reactive dissolved organic nitrogen (DON). Pretreatments of Baltic Sea waters in the dark indicated that >72% of ...

  4. The crystal and molecular structure of sodium 4-(2,4,6-triisopropylbenzoyl)benzoate in terms of the photochemical behaviour of the anion.

    PubMed

    Konieczny, Krzysztof; Bąkowicz, Julia; Turowska-Tyrk, Ilona

    2015-05-01

    Contrary to the known 4-(2,4,6-triisopropylbenzoyl)benzoate salts, di-μ-aqua-bis[tetraaquasodium(I)] bis[4-(2,4,6-triisopropylbenzoyl)benzoate] dihydrate, [Na2(H2O)10](C23H27O3)2·2H2O, (1), does not undergo a photochemical Norrish-Yang reaction in the crystalline state. In order to explain this photochemical inactivity, the intermolecular interactions were analyzed by means of the Hirshfeld surface and intramolecular geometrical parameters describing the possibility of a Norrish-Yang reaction were calculated. The reasons for the behaviour of the title salt are similar crystalline environments for both the o-isopropyl groups in the anion, resulting in similar geometrical parameters and orientations, and that these interaction distances differ significantly from those found in salts where the photochemical reaction occurs.

  5. High-temperature photochemical destruction of toxic organic wastes using concentrated solar radiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dellinger, B.; Graham, J.L.; Berman, J.M.

    1994-05-01

    Application of concentrated solar energy has been proposed to be a viable waste disposal option. Specifically, this concept of solar induced high-temperature photochemistry is based on the synergistic contribution of concentrated infrared (IR) radiation, which acts as an intense heating source, and near ultraviolet and visible (UV-VIS) radiation, which can induce destructive photochemical processes. Some significant advances have been made in the theoretical framework of high-temperature photochemical processes (Section 2) and development of experimental techniques for their study (Section 3). Basic thermal/photolytic studies have addressed the effect of temperature on the photochemical destruction of pure compounds (Section 4). Detailed studiesmore » of the destruction of reaction by-products have been conducted on selected waste molecules (Section 5). Some very limited results are available on the destruction of mixtures (Section 6). Fundamental spectroscopic studies have been recently initiated (Section 7). The results to date have been used to conduct some relatively simple scale-up studies of the solar detoxification process. More recent work has focused on destruction of compounds that do not directly absorb solar radiation. Research efforts have focused on homogeneous as well as heterogeneous methods of initiating destructive reaction pathways (Section 9). Although many conclusions at this point must be considered tentative due to lack of basic research, a clearer picture of the overall process is emerging (Section 10). However, much research remains to be performed and most follow several veins, including photochemical, spectroscopic, combustion kinetic, and engineering scale-up (Section 11).« less

  6. Photochemical Escape of Atomic Carbon from Mars

    NASA Astrophysics Data System (ADS)

    Fox, J. L.; Hac, A. B.

    2009-12-01

    Determining the escape rate of C over time is necessary to reconstructing the time-dependent history of volatiles on Mars. We report initial results from a one-dimensional spherical Monte Carlo calculation of photochemical escape fluxes and rates of atomic carbon from the Martian atmosphere. This model has recently been used to estimate the photochemical escape flux of O from Mars. We include as sources photodissociation of CO, dissociative recombination of CO+, photoelectron-impact dissociation of CO, photodissociative ionization and photoelectron impact dissociative ionization. Dissociative recombination of CO2+ has been suggested as a source of C (in the channel that produces C + O2) but later studies have found that the yield of this channel is negligible. We test the potential importance of this reaction by comparing the final results produced by including it and excluding it. Finally we compare the range of the escape rate to that of C in ions that have been modeled or measured by ASPERA instruments on MEX and Phobos.

  7. Effects of 1,3-Butadiene, Isoprene, and Their Photochemical Degradation Products on Human Lung Cells

    PubMed Central

    Doyle, Melanie; Sexton, Kenneth G.; Jeffries, Harvey; Bridge, Kevin; Jaspers, Ilona

    2004-01-01

    Because of potential exposure both in the workplace and from ambient air, the known carcinogen 1,3-butadiene (BD) is considered a priority hazardous air pollutant. BD and its 2-methyl analog, isoprene (ISO), are chemically similar but have very different toxicities, with ISO showing no significant carcinogenesis. Once released into the atmosphere, reactions with species induced by sunlight and nitrogen oxides convert BD and ISO into several photochemical reaction products. In this study, we determined the relative toxicity and inflammatory gene expression induced by exposure of A549 cells to BD, ISO, and their photochemical degradation products in the presence of nitric oxide. Gas chromatography and mass spectrometry analyses indicate the initial and major photochemical products produced during these experiments for BD are acrolein, acetaldehyde, and formaldehyde, and products for ISO are methacrolein, methyl vinyl ketone, and formaldehyde; both formed < 200 ppb of ozone. After exposure the cells were examined for cytotoxicity and interleukin-8 (IL-8) gene expression, as a marker for inflammation. These results indicate that although BD and ISO alone caused similar cytotoxicity and IL-8 responses compared with the air control, their photochemical products significantly enhanced cytotoxicity and IL-8 gene expression. This suggests that once ISO and BD are released into the environment, reactions occurring in the atmosphere transform these hydrocarbons into products that induce potentially greater adverse health effects than the emitted hydrocarbons by themselves. In addition, the data suggest that based on the carbon concentration or per carbon basis, biogenic ISO transforms into products with proinflammatory potential similar to that of BD products. PMID:15531432

  8. Spectroscopy and reactions of molecules important in chemical evolution

    NASA Technical Reports Server (NTRS)

    Becker, R. S.

    1974-01-01

    The research includes: (1) hot hydrogen atom reactions in terms of the nature of products produced, mechanism of the reactions and the implication and application of such reactions for molecules existing in interstellar clouds, in planetary atmospheres, and in chemical evolution; (2) photochemical reactions that can lead to molecules important in chemical evolution, interstellar clouds and as constituents in planetary atmospheres; and (3) spectroscopic and theoretical properties of biomolecules and their precursors and where possible, use these to understand their photochemical behavior.

  9. Photochemical activity of a key donor-acceptor complex can drive stereoselective catalytic α-alkylation of aldehydes.

    PubMed

    Arceo, Elena; Jurberg, Igor D; Alvarez-Fernández, Ana; Melchiorre, Paolo

    2013-09-01

    Asymmetric catalytic variants of sunlight-driven photochemical processes hold extraordinary potential for the sustainable preparation of chiral molecules. However, the involvement of short-lived electronically excited states inherent to any photochemical reaction makes it challenging for a chiral catalyst to dictate the stereochemistry of the products. Here, we report that readily available chiral organic catalysts, with well-known utility in thermal asymmetric processes, can also confer a high level of stereocontrol in synthetically relevant intermolecular carbon-carbon bond-forming reactions driven by visible light. A unique mechanism of catalysis is proposed, wherein the catalyst is involved actively in both the photochemical activation of the substrates (by inducing the transient formation of chiral electron donor-acceptor complexes) and the stereoselectivity-defining event. We use this approach to enable transformations that are extremely difficult under thermal conditions, such as the asymmetric α-alkylation of aldehydes with alkyl halides, the formation of all-carbon quaternary stereocentres and the control of remote stereochemistry.

  10. Aggressiveness of contemporary self-etching adhesives. Part II: etching effects on unground enamel.

    PubMed

    Pashley, D H; Tay, F R

    2001-09-01

    The aggressiveness of three self-etching adhesives on unground enamel was investigated. Ultrastructural features and microtensile bond strength were examined, first using these adhesives as both the etching and resin-infiltration components, and then examining their etching efficacy alone through substitution of the proprietary resins with the same control resins. For SEM examination, buccal, mid-coronal, unground enamel from human extracted bicuspids were etched with either Clearfil Mega Bond (Kuraray), Non-Rinse Conditioner (NRC; Dentsply DeTrey) or Prompt L-Pop (ESPE). Those in the control group were etched with 32% phosphoric acid (Bisco) for 15s. They were all rinsed off prior to examination of the etching efficacy. For TEM examination, the self-etching adhesives were used as recommended. Unground enamel treated with NRC were further bonded using Prime&Bond NT (Dentsply), while those in the etched, control group were bonded using All-Bond 2 (Bisco). Completely demineralized, resin replicas were embedded in epoxy resin for examination of the extent of resin infiltration. For microtensile bond strength evaluation, specimens were first etched and bonded using the self-etching adhesives. A second group of specimens were etched with the self-etching adhesives, rinsed but bonded using a control adhesive. Following restoration with Z100 (3M Dental Products), they were sectioned into beams of uniform cross-sectional areas and stressed to failure. Etching patterns of aprismatic enamel, as revealed by SEM, and the subsurface hybrid layer morphology, as revealed by TEM, varied according to the aggressiveness of the self-etching adhesives. Clearfil Mega Bond exhibited the mildest etching patterns, while Prompt L-Pop produced an etching effect that approached that of the total-etch control group. Microtensile bond strength of the three experimental groups were all significantly lower than the control group, but not different from one another. When the self-etching

  11. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaningmore » method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.« less

  12. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    PubMed

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  13. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stehle, Yijing Y.; Sang, Xiahan; Unocic, Raymond R.

    Here, chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes inmore » hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.« less

  14. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations.

    PubMed

    Stehle, Yijing Y; Sang, Xiahan; Unocic, Raymond R; Voylov, Dmitry; Jackson, Roderick K; Smirnov, Sergei; Vlassiouk, Ivan

    2017-12-13

    Chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes in hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.

  15. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations

    DOE PAGES

    Stehle, Yijing Y.; Sang, Xiahan; Unocic, Raymond R.; ...

    2017-11-14

    Here, chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes inmore » hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.« less

  16. Restoration of obliterated engraved marks on steel surfaces by chemical etching reagent.

    PubMed

    Song, Qingfang

    2015-05-01

    Chemical etching technique is widely used for restoration of obliterated engraved marks on steel surface in the field of public security. The consumed thickness of steel surface during restoration process is considered as a major criterion for evaluating the efficiency of the chemical etching reagent. The thinner the consumed thickness, the higher the restoration efficiency. According to chemical principles, maintaining the continuous oxidative capabilities of etching reagents and increasing the kinetic rate difference of the reaction between the engraved and non-engraved area with the chemical etching reagent can effectively reduce the consumed steel thickness. The study employed steel surface from the engine case of motorcycle and the car frame of automobile. The chemical etching reagents are composed of nitric acid as the oxidizer, hydrofluoric acid as the coordination agent and mixed with glacial acetic acid or acetone as the solvents. Based on the performance evaluation of three different etching reagents, the one composed of HNO3, HF and acetone gave the best result. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  17. The determination and role of peroxyacetil nitrate in photochemical processes in atmosphere

    PubMed Central

    2012-01-01

    Peroxyacetilnitrates (PAN) is the most characteristic photoxidant of a range of secondary pollutants formed by the photochemical reaction of hydrocarbons with nitrogen oxides in the atmosphere: it is phytotoxic and shows an increasing role in human health effects due to ambient air exposure, especially in presence of high ozone concentrations. Because of the similarity of the conditions required for their photochemical production PAN is observed in conjunction with elevated ozone concentrations. PAN has very low natural background concentrations so it is the very specific indicator of anthropogenic photochemical air pollution. In this paper we report PAN concentrations determined in Rome urban area during winter- and summer-period. PAN measurements were carried out by means of a gas-chromatograph equipped with an Electron Capture Detector (ECD) detector. For identifying the acute episodes of atmospheric photochemical pollutants the relationship between PAN and the variable Ox (=NO2+O3) which describes the oxidation process evolution is investigated. The role of Volatile Organic Compounds and PAN in the ozone formation is investigated as well the issue of taking in account the autovehicular emissions for checking the NOx fraction in fuel. PMID:22594443

  18. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, <100> and <111> preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  19. Feedbacks between microphysics and photochemical aging in viscous aerosol

    NASA Astrophysics Data System (ADS)

    Dou, Jing; Corral Arroyo, Pablo; Alpert, Peter A.; Ammann, Markus; Peter, Thomas; Krieger, Ulrich K.

    2017-04-01

    Fe(III)-citrate complex photochemistry, which plays an important role in aerosol aging, especially in lower troposphere, has been widely recognized in both solution and solid states. It can get excited by light below about 500 nm, inducing the oxidation of carboxylate ligands and the production of peroxides (e.g., OH•, HO2•), which have a significant impact on the climate, air quality and health. Recently, there is literature reporting that aqueous aerosol particles may attain highly viscous, semi-solid or even glassy physical states under a wide range of atmospheric conditions. However, systematic studies on the effect of high viscosity on photochemical processes are scarce. In this research, mass and size changes of a single, aqueous Fe(III)-citrate/citric acid particle levitated in an electrodynamic balance (EDB) are tracked during photochemical processing. We observe an overall mass loss during photochemical processing due to evaporation of volatile (e.g., CO2) and semi-volatile (e.g., ketones) compounds. It is known that relative humidity and temperature strongly effects the viscosity of citric acid. Hence, under light intensities large enough not limiting photochemical processing (at a wavelength of either 375 nm or 473 nm), the quasi-steady state evaporation rate in our experiments depends on relative humidity and temperature. The same holds true for the characteristic time scale for reaching thermodynamic equilibrium after switching off the light source. We are focusing on the high viscosity case (i.e., reduced molecular mobility and low water content), which slows down the transport of products but can also affect chemical reaction rates (e.g., initial absorption process, charge and energy transfer). Data are compared to kinetic modeling and diffusivities for semi-volatile compounds are estimated aiming at a more detailed understanding of the feedbacks between microphysics and photochemical aging.

  20. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  1. EFFECTS OF PHOTOCHEMICAL OXIDANTS ON PLANTS

    EPA Science Inventory

    Photochemical oxidants are found in 'photochemical smog' which is a complex mixture of primary and secondary air pollutants. The photochemical oxidants are secondary air pollutants formed by the action of sunlight on nitrogen oxides and reactive hydrocarbons, their precursors. Th...

  2. The Au/Si eutectic bonding compatibility with KOH etching for 3D devices fabrication

    NASA Astrophysics Data System (ADS)

    Liang, Hengmao; Liu, Mifeng; Liu, Song; Xu, Dehui; Xiong, Bin

    2018-01-01

    KOH etching and Au/Si eutectic bonding are cost-efficient technologies for 3D device fabrication. Aimed at investigating the process compatibility of KOH etching and Au/Si bonding, KOH etching tests have been carried out for Au/bulk Si and Au/amorphous Si (a-Si) bonding wafers in this paper. For the Au/bulk Si bonding wafer, a serious underetch phenomenon occurring on the damage layer in KOH etching definitely results in packaging failure. In the microstructure analysis, it is found that the formation of the damage layer between the bonded layer and bulk Si is attributed to the destruction of crystal Si lattices in Au/bulk Si eutectic reaction. Considering the occurrence of underetch for Au/Si bonding must meet two requirements: the superfluous Si and the defective layer near the bonded layer, the Au/a-Si bonding by regulating the a-Si/Au thickness ratio is presented in this study. Only when the a-Si/Au thickness ratio is relatively low are there not underetch phenomena, of which the reason is the full reaction of the a-Si layer avoiding the formation of the damage layer for easy underetch. Obviously, the Au/a-Si bonding via choosing a moderate a-Si/Au thickness ratio (⩽1.5:1 is suggested) could be reliably compatible with KOH etching, which provides an available and low-cost approach for 3D device fabrication. More importantly, the theory of the damage layer proposed in this study can be naturally applied to relevant analyses on the eutectic reaction of other metals and single crystal materials.

  3. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    PubMed

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  4. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  5. Model of wet chemical etching of swift heavy ions tracks

    NASA Astrophysics Data System (ADS)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  6. Self-etching adhesive on intact enamel, with and without pre-etching.

    PubMed

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  7. Photochemical dissolution of Turkish lignites in tetralin at different irradiation power and reaction times

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    F. Karacan; T. Torul

    2007-08-15

    The effect of the power of ultraviolet (UV) irradiation on the tetrahydrofuran (THF) solubles yield (the total soluble product) and the soluble product distribution of the dissolution of Turkish lignites (Beypazari and Tuncbilek lignite) in tetralin at ambient temperatures has been investigated. The lignite samples were exposed to UV irradiation for 1, 2, 3, 5, and 10 days in the power of irradiation ranging from 0 to 180 W at 60 W intervals. The yields of THF solubles and oils increased with increasing irradiation power and time. The optimum irradiation power depends on the irradiation time to obtain the highestmore » degradation products. However, the yield of degradation products depends also on the lignite type. The largest fraction obtained from lignites by photochemical energy is oil. While the yields of THF solubles and oils sharply increased with irradiation power at longer reaction times, the yields of asphaltenes (AS) slightly decreased. Increasing oil yields is relatively larger when AS yields tend to decrease. These trends of AS and oil yields are ascribable to conversion of AS to oils at higher power. Small changes were observed in the PAS yields under all conditions. 27 refs., 5 figs., 1 tab.« less

  8. Self-etching aspects of a three-step etch-and-rinse adhesive.

    PubMed

    Bahillo, Jose; Roig, Miguel; Bortolotto, Tissiana; Krejci, Ivo

    2013-11-01

    The purpose of this study is to assess the marginal adaptation of cavities restored with a three-step etch-and-rinse adhesive, OptiBond FL (OFL) under different application protocols. Twenty-four class V cavities were prepared with half of the margins located in enamel and half in dentin. Cavities were restored with OFL and a microhybrid resin composite (Clearfil AP-X). Three groups (n = 8) that differed in the etching technique were tested with thermomechanical loading, and specimens were subjected to quantitative marginal analysis before and after loading. Micromorphology of etching patters on enamel and dentin were observed with SEM. Data was evaluated with Kruskal-Wallis and Bonferroni post hoc test. Significantly lower percent CM (46.9 ± 19.5) were found after loading on enamel in group 3 compared to group 1 (96.5 ± 5.1) and group 2 (93.1 ± 8.1). However, no significant differences (p = 0.30) were observed on dentin margins. Etching enamel with phosphoric acid but avoiding etching dentin before the application of OFL, optimal marginal adaptation could be obtained, evidencing a self-etching primer effect. A reliable adhesive interface was attained with the application of the three-step etch-and-rinse OFL adhesive with a selective enamel etching, representing an advantage on restoring deep cavities.

  9. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation

    NASA Astrophysics Data System (ADS)

    Liu, Zecheng; Imamura, Masato; Asano, Atsuki; Ishikawa, Kenji; Takeda, Keigo; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2017-08-01

    Surface chemical reactions on the GaN surface with Cl radicals are thermally enhanced in the high-temperature Cl2 plasma etching of GaN, resulting in the formation of etch pits and thereby, a roughened surface. Simultaneous irradiation of ultraviolet (UV) photons in Cl2 plasma emissions with wavelengths of 258 and 306 nm reduces the surface chemical reactions because of the photodissociation of both Ga and N chlorides, which leads to a suppression of the increase in surface roughness. Compared with Si-related materials, we point out that photon-induced reactions should be taken into account during the plasma processing of wide-bandgap semiconductors.

  10. Evaluated kinetic and photochemical data for atmospheric chemistry

    NASA Technical Reports Server (NTRS)

    Baulch, D. L.; Cox, R. A.; Hampson, R. F., Jr.; Kerr, J. A.; Troe, J.; Watson, R. T.

    1980-01-01

    This paper contains a critical evaluation of the kinetics and photochemistry of gas phase chemical reactions of neutral species involved in middle atmosphere chemistry (10-55 km altitude). Data sheets have been prepared for 148 thermal and photochemical reactions, containing summaries of the available experimental data with notes giving details of the experimental procedures. For each reaction a preferred value of the rate coefficient at 298 K is given together with a temperature dependency where possible. The selection of the preferred value is discussed, and estimates of the accuracies of the rate coefficients and temperature coefficients have been made for each reaction. The data sheets are intended to provide the basic physical chemical data needed as input for calculations which model atmospheric chemistry. A table summarizing the preferred rate data is provided, together with an appendix listing the available data on enthalpies of formation of the reactant and product species.

  11. A practical approach to calculate the time evolutions of magnetic field effects on photochemical reactions in nano-structured materials.

    PubMed

    Yago, Tomoaki; Wakasa, Masanobu

    2015-04-21

    A practical method to calculate time evolutions of magnetic field effects (MFEs) on photochemical reactions involving radical pairs is developed on the basis of the theory of the chemically induced dynamic spin polarization proposed by Pedersen and Freed. In theory, the stochastic Liouville equation (SLE), including the spin Hamiltonian, diffusion motions of the radical pair, chemical reactions, and spin relaxations, is solved by using the Laplace and the inverse Laplace transformation technique. In our practical approach, time evolutions of the MFEs are successfully calculated by applying the Miller-Guy method instead of the final value theorem to the inverse Laplace transformation process. Especially, the SLE calculations are completed in a short time when the radical pair dynamics can be described by the chemical kinetics consisting of diffusions, reactions and spin relaxations. The SLE analysis with a short calculation time enables one to examine the various parameter sets for fitting the experimental date. Our study demonstrates that simultaneous fitting of the time evolution of the MFE and of the magnetic field dependence of the MFE provides valuable information on the diffusion motions of the radical pairs in nano-structured materials such as micelles where the lifetimes of radical pairs are longer than hundreds of nano-seconds and the magnetic field dependence of the spin relaxations play a major role for the generation of the MFE.

  12. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    PubMed Central

    Zhan, Zhan; Li, Wei; Yu, Lingke; Wang, Lingyun; Sun, Daoheng

    2017-01-01

    In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching) is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement. PMID:28772521

  13. Selective etching of TiN over TaN and vice versa in chlorine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Hyungjoo; Zhu Weiye; Liu Lei

    2013-05-15

    Selectivity of etching between physical vapor-deposited TiN and TaN was studied in chlorine-containing plasmas, under isotropic etching conditions. Etching rates for blanket films were measured in-situ using optical emission of the N{sub 2} (C{sup 3}{Pi}{sub u}{yields}B{sup 3}{Pi}{sub g}) bandhead at 337 nm to determine the etching time, and transmission electron microscopy to determine the starting film thickness. The etching selectivity in Cl{sub 2}/He or HCl/He plasmas was poor (<2:1). There was a window of very high selectivity of etching TiN over TaN by adding small amounts (<1%) of O{sub 2} in the Cl{sub 2}/He plasma. Reverse selectivity (10:1 of TaNmore » etching over TiN) was observed when adding small amounts of O{sub 2} to the HCl/He plasma. Results are explained on the basis of the volatility of plausible reaction products.« less

  14. Simulations of photochemical smog formation in complex urban areas

    NASA Astrophysics Data System (ADS)

    Muilwijk, C.; Schrijvers, P. J. C.; Wuerz, S.; Kenjereš, S.

    2016-12-01

    In the present study we numerically investigated the dispersion of photochemical reactive pollutants in complex urban areas by applying an integrated Computational Fluid Dynamics (CFD) and Computational Reaction Dynamics (CRD) approach. To model chemical reactions involved in smog generation, the Generic Reaction Set (GRS) approach is used. The GRS model was selected since it does not require detailed modeling of a large set of reactive components. Smog formation is modeled first in the case of an intensive traffic emission, subjected to low to moderate wind conditions in an idealized two-dimensional street canyon with a building aspect ratio (height/width) of one. It is found that Reactive Organic Components (ROC) play an important role in the chemistry of smog formation. In contrast to the NOx/O3 photochemical steady state model that predicts a depletion of the (ground level) ozone, the GRS model predicts generation of ozone. Secondly, the effect of direct sunlight and shadow within the street canyon on the chemical reaction dynamics is investigated for three characteristic solar angles (morning, midday and afternoon). Large differences of up to one order of magnitude are found in the ozone production for different solar angles. As a proof of concept for real urban areas, the integrated CFD/CRD approach is applied for a real scale (1 × 1 km2) complex urban area (a district of the city of Rotterdam, The Netherlands) with high traffic emissions. The predicted pollutant concentration levels give realistic values that correspond to moderate to heavy smog. It is concluded that the integrated CFD/CRD method with the GRS model of chemical reactions is both accurate and numerically robust, and can be used for modeling of smog formation in complex urban areas.

  15. Etching Characteristics of VO2 Thin Films Using Inductively Coupled Cl2/Ar Plasma

    NASA Astrophysics Data System (ADS)

    Ham, Yong-Hyun; Efremov, Alexander; Min, Nam-Ki; Lee, Hyun Woo; Yun, Sun Jin; Kwon, Kwang-Ho

    2009-08-01

    A study on both etching characteristics and mechanism of VO2 thin films in the Cl2/Ar inductively coupled plasma was carried. The variable parameters were gas pressure (4-10 mTorr) and input power (400-700 W) at fixed bias power of 150 W and initial mixture composition of 25% Cl2 + 75% Ar. It was found that an increase in both gas pressure and input power results in increasing VO2 etch rate while the etch selectivity over photoresist keeps a near to constant values. Plasma diagnostics by Langmuir probes and zero-dimensional plasma model provided the data on plasma parameters, steady-state densities and fluxes of active species on the etched surface. The model-based analysis of the etch mechanism showed that, for the given ranges of operating conditions, the VO2 etch kinetics corresponds to the transitional regime of ion-assisted chemical reaction and is influenced by both neutral and ion fluxes with a higher sensitivity to the neutral flux.

  16. Effect of sulfur hexafluoride gas and post-annealing treatment for inductively coupled plasma etched barium titanate thin films

    PubMed Central

    2014-01-01

    Aerosol deposition- (AD) derived barium titanate (BTO) micropatterns are etched via SF6/O2/Ar plasmas using inductively coupled plasma (ICP) etching technology. The reaction mechanisms of the sulfur hexafluoride on BTO thin films and the effects of annealing treatment are verified through X-ray photoelectron spectroscopy (XPS) analysis, which confirms the accumulation of reaction products on the etched surface due to the low volatility of the reaction products, such as Ba and Ti fluorides, and these residues could be completely removed by the post-annealing treatment. The exact peak positions and chemicals shifts of Ba 3d, Ti 2p, O 1 s, and F 1 s are deduced by fitting the XPS narrow-scan spectra on as-deposited, etched, and post-annealed BTO surfaces. Compared to the as-deposited BTOs, the etched Ba 3d 5/2 , Ba 3d 3/2 , Ti 2p 3/2 , Ti 2p 1/2 , and O 1 s peaks shift towards higher binding energy regions by amounts of 0.55, 0.45, 0.4, 0.35, and 0.85 eV, respectively. A comparison of the as-deposited film with the post-annealed film after etching revealed that there are no significant differences in the fitted XPS narrow-scan spectra except for the slight chemical shift in the O 1 s peak due to the oxygen vacancy compensation in O2-excessive atmosphere. It is inferred that the electrical properties of the etched BTO film can be restored by post-annealing treatment after the etching process. Moreover, the relative permittivity and loss tangent of the post-annealed BTO thin films are remarkably improved by 232% and 2,695%, respectively. PMID:25249824

  17. Photochemical synthesis of biomolecules under anoxic conditions

    NASA Technical Reports Server (NTRS)

    Folsome, C.; Brittain, A.; Zelko, M.

    1983-01-01

    The long-wavelength UV anoxic photosynthesis of uracil, various sugars (including deoxyribose and glycoaldehyde), amino acids, and other organic photoproducts is reported. The reactions were conducted in a mixture of water, calcium carbonate, hydrazine, and formaldehyde which were subjected to 24 hr or 72 hr radiation. Product yields were greatest when the hydrazine/formaldehyde ratio was one, and when the reactant concentrations were low. These data suggest that organic products can be formed in variety from those amounts of formaldehyde and hydazine precursors which are themselves formed under anoxic UV photochemical conditions.

  18. The elusive abnormal CO2 insertion enabled by metal-ligand cooperative photochemical selectivity inversion.

    PubMed

    Schneck, Felix; Ahrens, Jennifer; Finger, Markus; Stückl, A Claudia; Würtele, Christian; Schwarzer, Dirk; Schneider, Sven

    2018-03-21

    Direct hydrogenation of CO 2 to CO, the reverse water-gas shift reaction, is an attractive route to CO 2 utilization. However, the use of molecular catalysts is impeded by the general reactivity of metal hydrides with CO 2 . Insertion into M-H bonds results in formates (MO(O)CH), whereas the abnormal insertion to the hydroxycarbonyl isomer (MC(O)OH), which is the key intermediate for CO-selective catalysis, has never been directly observed. We here report that the selectivity of CO 2 insertion into a Ni-H bond can be inverted from normal to abnormal insertion upon switching from thermal to photochemical conditions. Mechanistic examination for abnormal insertion indicates photochemical N-H reductive elimination as the pivotal step that leads to an umpolung of the hydride ligand. This study conceptually introduces metal-ligand cooperation for selectivity control in photochemical transformations.

  19. Near-infrared diode laser hydrogen fluoride monitor for dielectric etch

    NASA Astrophysics Data System (ADS)

    Xu, Ning; Pirkle, David R.; Jeffries, Jay B.; McMillin, Brian; Hanson, Ronald K.

    2004-11-01

    A hydrogen fluoride (HF) monitor, using a tunable diode laser, is designed and used to detect the etch endpoints for dielectric film etching in a commercial plasma reactor. The reactor plasma contains HF, a reaction product of feedstock gas CF4 and the hydrogen-containing films (photoresist, SiOCH) on the substrate. A near-infrared diode laser is used to scan the P(3) transition in the first overtone of HF near 1.31 μm to monitor changes in the level of HF concentration in the plasma. Using 200 ms averaging and a signal modulation technique, we estimate a minimum detectable HF absorbance of 6×10-5 in the etch plasma, corresponding to an HF partial pressure of 0.03 mTorr. The sensor could indicate, in situ, the SiOCH over tetraethoxysilane oxide (TEOS) trench endpoint, which was not readily discerned by optical emission. These measurements demonstrate the feasibility of a real-time diode laser-based sensor for etch endpoint monitoring and a potential for process control.

  20. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  1. Primary photochemical processes in fac-ClRe(CO){sub 3}L{sub 2} (L = 4-phenylpyridine and 4-cyanopyridine): A steady-state and flash photochemical study of reaction products and intermediates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feliz, M.; Ferraudi, G.; Altmiller, H.

    1992-01-09

    The photochemistry of fac-ClRe(CO){sub 3}L{sub 2}, L = 4-phenylpyridine and 4-cyanopyridine, has been investigated by monochromatic steady-state and flash photolyses between 400 and 229 nm. Two parallel photoprocesses, the photogeneration of the emissive MLCT state and the photoredox dissociation in (ClRe(CO){sub 3}L{sup +}, L{sup {sm_bullet}{minus}}) products, have been observed with both compounds. A third photoprocess, namely, the photogeneration of a Re(I)-ligand biradical, has been observed only in photolyses of the 4-phenylpyridine complex. While this Re(I)-ligand biradical reduces Cu{sup II}(TIM){sup 2+} to the corresponding Cu(I) species, no such reaction is undergone by the MLCT state. Differences between the electronic structures ofmore » these complexes, shown by extended Hueckel MO calculations, were related to their intrinsic photochemical behavior. 54 refs., 9 figs., 1 tab.« less

  2. Predicting synergy in atomic layer etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J.; Tan, Samantha; Yang, Wenbing

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO 2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms ofmore » energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.« less

  3. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  4. Mapping the Complete Reaction Path of a Complex Photochemical Reaction.

    PubMed

    Smith, Adam D; Warne, Emily M; Bellshaw, Darren; Horke, Daniel A; Tudorovskya, Maria; Springate, Emma; Jones, Alfred J H; Cacho, Cephise; Chapman, Richard T; Kirrander, Adam; Minns, Russell S

    2018-05-04

    We probe the dynamics of dissociating CS_{2} molecules across the entire reaction pathway upon excitation. Photoelectron spectroscopy measurements using laboratory-generated femtosecond extreme ultraviolet pulses monitor the competing dissociation, internal conversion, and intersystem crossing dynamics. Dissociation occurs either in the initially excited singlet manifold or, via intersystem crossing, in the triplet manifold. Both product channels are monitored and show that, despite being more rapid, the singlet dissociation is the minor product and that triplet state products dominate the final yield. We explain this by a consideration of accurate potential energy curves for both the singlet and triplet states. We propose that rapid internal conversion stabilizes the singlet population dynamically, allowing for singlet-triplet relaxation via intersystem crossing and the efficient formation of spin-forbidden dissociation products on longer timescales. The study demonstrates the importance of measuring the full reaction pathway for defining accurate reaction mechanisms.

  5. Mapping the Complete Reaction Path of a Complex Photochemical Reaction

    NASA Astrophysics Data System (ADS)

    Smith, Adam D.; Warne, Emily M.; Bellshaw, Darren; Horke, Daniel A.; Tudorovskya, Maria; Springate, Emma; Jones, Alfred J. H.; Cacho, Cephise; Chapman, Richard T.; Kirrander, Adam; Minns, Russell S.

    2018-05-01

    We probe the dynamics of dissociating CS2 molecules across the entire reaction pathway upon excitation. Photoelectron spectroscopy measurements using laboratory-generated femtosecond extreme ultraviolet pulses monitor the competing dissociation, internal conversion, and intersystem crossing dynamics. Dissociation occurs either in the initially excited singlet manifold or, via intersystem crossing, in the triplet manifold. Both product channels are monitored and show that, despite being more rapid, the singlet dissociation is the minor product and that triplet state products dominate the final yield. We explain this by a consideration of accurate potential energy curves for both the singlet and triplet states. We propose that rapid internal conversion stabilizes the singlet population dynamically, allowing for singlet-triplet relaxation via intersystem crossing and the efficient formation of spin-forbidden dissociation products on longer timescales. The study demonstrates the importance of measuring the full reaction pathway for defining accurate reaction mechanisms.

  6. Longitudinally Controlled Modification of Cylindrical and Conical Track-Etched Poly(ethylene terephthalate) Pores Using an Electrochemically Assisted Click Reaction

    DOE PAGES

    Coceancigh, Herman; Tran-Ba, Khanh-Hoa; Siepser, Natasha; ...

    2017-09-27

    Here in this study, the longitudinally controlled modification of the inner surfaces of poly(ethylene terephthalate) (PET) track-etched pores was explored using an electrochemically assisted Cu(I)-catalyzed azide–alkyne cycloaddition (CuAAC) click reaction. Cylindrical or conical PET track-etched pores were first decorated with ethynyl groups via the amidation of surface -COOH groups, filled with a solution containing Cu(II) and azide-tagged fluorescent dye, and then sandwiched between comb-shaped and planar gold electrodes. Cu(I) was produced at the comb-shaped working electrode by the reduction of Cu(II); it diffused along the pores toward the other electrode and catalyzed CuAAC between an azide-tagged fluorescent dye and amore » pore-tethered ethynyl group. The modification efficiency of cylindrical pores (ca. 1 μm in diameter) was assessed from planar and cross-sectional fluorescence microscope images of modified membranes. Planar images showed that pore modification took place only above the teeth of the comb-shaped electrode with a higher reaction yield for longer Cu(II) reduction times. Cross-sectional images revealed micrometer-scale gradient modification along the pore axis, which reflected a Cu(I) concentration profile within the pores, as supported by finite-element computer simulations. The reported approach was applicable to the asymmetric modification of cylindrical pores with two different fluorescent dyes in the opposite directions and also for the selective visualization of the tip and base openings of conical pores (ca. 3.5 μm in base diameter and ca. 1 μm in tip diameter). Lastly, the method based on electrochemically assisted CuAAC provides a controlled means to fabricate asymmetrically modified nanoporous membranes and, in the future, will be applicable for chemical separations and the development of sequential catalytic reactors.« less

  7. Longitudinally Controlled Modification of Cylindrical and Conical Track-Etched Poly(ethylene terephthalate) Pores Using an Electrochemically Assisted Click Reaction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coceancigh, Herman; Tran-Ba, Khanh-Hoa; Siepser, Natasha

    Here in this study, the longitudinally controlled modification of the inner surfaces of poly(ethylene terephthalate) (PET) track-etched pores was explored using an electrochemically assisted Cu(I)-catalyzed azide–alkyne cycloaddition (CuAAC) click reaction. Cylindrical or conical PET track-etched pores were first decorated with ethynyl groups via the amidation of surface -COOH groups, filled with a solution containing Cu(II) and azide-tagged fluorescent dye, and then sandwiched between comb-shaped and planar gold electrodes. Cu(I) was produced at the comb-shaped working electrode by the reduction of Cu(II); it diffused along the pores toward the other electrode and catalyzed CuAAC between an azide-tagged fluorescent dye and amore » pore-tethered ethynyl group. The modification efficiency of cylindrical pores (ca. 1 μm in diameter) was assessed from planar and cross-sectional fluorescence microscope images of modified membranes. Planar images showed that pore modification took place only above the teeth of the comb-shaped electrode with a higher reaction yield for longer Cu(II) reduction times. Cross-sectional images revealed micrometer-scale gradient modification along the pore axis, which reflected a Cu(I) concentration profile within the pores, as supported by finite-element computer simulations. The reported approach was applicable to the asymmetric modification of cylindrical pores with two different fluorescent dyes in the opposite directions and also for the selective visualization of the tip and base openings of conical pores (ca. 3.5 μm in base diameter and ca. 1 μm in tip diameter). Lastly, the method based on electrochemically assisted CuAAC provides a controlled means to fabricate asymmetrically modified nanoporous membranes and, in the future, will be applicable for chemical separations and the development of sequential catalytic reactors.« less

  8. Photochemical processes on Titan: Irradiation of mixtures of gases that simulate Titan's atmosphere

    NASA Astrophysics Data System (ADS)

    Tran, Buu N.; Joseph, Jeffrey C.; Force, Michael; Briggs, Robert G.; Vuitton, Veronique; Ferris, James P.

    2005-09-01

    Photochemical reaction pathways in Titan's atmosphere were investigated by irradiation of the individual components and the mixture containing nitrogen, methane, hydrogen, acetylene, ethylene, and cyanoacetylene. The quantum yields for the loss of the reactants and the formation of products were determined. Photolysis of ethylene yields mainly saturated compounds (ethane, propane, and butane) while photolysis of acetylene yields the same saturated compounds as well as ethylene and diacetylene. Irradiation of cyanoacetylene yields mainly hydrogen cyanide and small amounts of acetonitrile. When an amount of methane corresponding to its mixing ratio on Titan was added to these mixtures the quantum yields for the loss of reactants decreased and the quantum yields for hydrocarbon formation increased indicative of a hydrogen atom abstraction from methane by the photochemically generated radicals. GC/MS analysis of the products formed by irradiation of mixtures of all these gases generated over 120 compounds which were mainly aliphatic hydrocarbons containing double and triple bonds along with much smaller amounts of aromatic compounds like benzene, toluene and phenylacetylene. The reaction pathways were investigated by the use of 13C acetylene in these gas mixtures. No polycyclic aromatic compounds were detected. Vapor pressures of these compounds under conditions present in Titan's atmosphere were calculated. The low molecular weight compounds likely to be present in the atmosphere and aerosols of Titan as a result of photochemical processes are proposed.

  9. Modelling the photochemical attenuation pathways of the fibrate drug gemfibrozil in surface waters.

    PubMed

    Fabbri, Debora; Maurino, Valter; Minella, Marco; Minero, Claudio; Vione, Davide

    2017-03-01

    Gemfibrozil (GFZ) is a relatively persistent pollutant in surface-water environments and it is rather recalcitrant to biological degradation. The GFZ photochemical lifetimes are relatively short in shallow waters with low levels of dissolved organic carbon (DOC), but they can reach the month-year range in deep and high-DOC waters. The main reason is that GFZ undergoes negligible reaction with singlet oxygen or degradation sensitised by the triplet states of chromophoric dissolved organic matter, which are the usually prevalent photochemical pathways in deep and high-DOC sunlit waters. Nitrate and nitrite scarcely affect the overall GFZ lifetimes, but they can shift photodegradation from direct photolysis to the OH process. These two pathways are the main GFZ phototransformation routes, with the direct photolysis prevailing in shallow environments during summer. Under these conditions the GFZ photochemical lifetimes are also shorter and the environmental significance of photodegradation correspondingly higher. The direct photolysis of GFZ under UVB irradiation yielded several transformation intermediates deriving from oxidation or cleavage of the aliphatic lateral chain. A quinone derivative (2,5-dimethyl-1,4-benzoquinone), a likely oxidation product of the transformation intermediate 2,5-dimethylphenol, is expected to be the most acutely and chronically toxic compound arising from GFZ direct photolysis. Interestingly, literature evidence suggests that the same toxic intermediate would be formed upon OH reaction. Copyright © 2016 Elsevier Ltd. All rights reserved.

  10. Photochemical Upconversion: A Physical or Inorganic Chemistry Experiment for Undergraduates Using a Conventional Fluorimeter

    ERIC Educational Resources Information Center

    Wilke, Bryn M.; Castellano, Felix N.

    2013-01-01

    Photochemical upconversion is a regenerative process that transforms lower-energy photons into higher-energy light through two sequential bimolecular reactions, triplet sensitization of an appropriate acceptor followed by singlet fluorescence producing triplet-triplet annihilation derived from two energized acceptors. This laboratory directly…

  11. Photochemical studies on aromatic γ,δ-epoxy ketones: efficient synthesis of benzocyclobutanones and indanones.

    PubMed

    Shao, Yutian; Yang, Chao; Gui, Weijun; Liu, Yang; Xia, Wujiong

    2012-04-11

    Irradiation of terminal aromatic γ,δ-epoxy ketones with a 450 W UV lamp led to Norrish type II cyclization/semi-pinacol rearrangement cascade reaction which formed the benzocyclobutanones containing a full-carbon quaternary center, whereas irradiation of substituted aromatic γ,δ-epoxy ketones led to the indanones through a photochemical epoxy rearrangement and 1,5-biradicals cyclization tandem reaction. This journal is © The Royal Society of Chemistry 2012

  12. RIE-based Pattern Transfer Using Nanoparticle Arrays as Etch Masks

    NASA Astrophysics Data System (ADS)

    Hogg, Chip; Majetich, Sara A.; Bain, James A.

    2009-03-01

    Nanomasking is used to transfer the pattern of a self-assembled array of nanoparticles into an underlying thin film, for potential use as bit-patterned media. We have used this process to investigate the limits of pattern transfer, as a function of gap size in the pattern. Reactive Ion Etching (RIE) is our chosen process, since the gaseous reaction products and high chemical selectivity are ideal features for etching very small gaps. Interstitial surfactant is removed with an O2 plasma, allowing the etchants to penetrate between the particles. Their pattern is transferred into an intermediate SiO2 mask using a CH4-based RIE. This patterned SiO2 layer is finally used as a mask for the MeOH-based RIE which patterns the magnetic film. We present cross-sectional TEM characterization of the etch profiles, as well as magnetic characterization of the film before and after patterning.

  13. Photochemical reactions of water and carbon monoxide in earth's primitive atmosphere

    NASA Technical Reports Server (NTRS)

    Bar-Nun, A.; Chang, S.

    1983-01-01

    The gas-phase photolysis of H2O at 1849 A in the presence of CO yields mainly CO2 and H2 and a variety of organic compounds, including C1-C3 hydrocarbons, alcohols, aldehydes, acetone, and acetic acid. The overall quantum yield for conversion of CO to organic compounds varies between 0.23 and 0.03 as a function of the CO abundance. These results indicate that even if primitive earth's atmosphere initially contained no molecular hydrogen and contained carbon only in the form of CO or a mixture of CO and CO2, the prebiotic environment would have become enriched with a variety of organic compounds produced by photochemical processes.

  14. A Universal Protocol for Photochemical Covalent Immobilization of Intact Carbohydrates for the Preparation of Carbohydrate Microarrays

    PubMed Central

    Wang, Huibin; Zhang, Yiming; Yuan, Xun; Chen, Yi; Yan, Mingdi

    2010-01-01

    A universal photochemical method has been established for the immobilization of intact carbohydrates and their analogues, and for the fabrication of carbohydrate microarrays. The method features the use of perfluorophenyl azide (PFPA)-modified substrates and the photochemical reaction of surface azido groups with printed carbohydrates. Various aldoses, ketoses, non-reducing sugars such as alditols and their derivatives can be directly arrayed on the PFPA-modified chips. The lectin-recognition ability of arrayed mannose, glucose and their oligo- and polysaccharides were confirmed using surface plasmon resonance imaging and laser-induced fluorescence imaging. PMID:21138274

  15. A universal protocol for photochemical covalent immobilization of intact carbohydrates for the preparation of carbohydrate microarrays.

    PubMed

    Wang, Huibin; Zhang, Yiming; Yuan, Xun; Chen, Yi; Yan, Mingdi

    2011-01-19

    A universal photochemical method has been established for the immobilization of intact carbohydrates and their analogues, and for the fabrication of carbohydrate microarrays. The method features the use of perfluorophenyl azide (PFPA)-modified substrates and the photochemical reaction of surface azido groups with printed carbohydrates. Various aldoses, ketoses, nonreducing sugars such as alditols, and their derivatives can be directly arrayed on the PFPA-modified chips. The lectin-recognition ability of arrayed mannose, glucose, and their oligo- and polysaccharides were confirmed using surface plasmon resonance imaging and laser-induced fluorescence imaging.

  16. Photochemical insertion of alkynes into Cp sub 2 Fe sub 2 (CO) sub 2 (. mu. -CO) sub 2 : A mechanistic study by laser flash photolysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bursten, B.E.; McKee, S.D.; Platz, M.S.

    1989-04-26

    Cp{sub 2}Fe{sub 2}(CO){sub 2}({mu}-CO){sub 2} (1: Cp = {eta}{sup 5}-C{sub 5}H{sub 5}) has a rich and diverse photochemistry, as evidenced by the plethora of synthetic and mechanistic studies of it in the literature. Early photochemical studies of 1 have demonstrated homolysis to the radical Cp(CO){sub 2}Fe{sup {sm bullet}} (2). Recent work on metal dimers indicates that a dinuclear species is formed concomitantly. Tyler, Schmidt, and Gray (TSG) first proposed that irradiation of 1 leads to the dinuclear species 3, which they suggested was the intermediate responsible for phosphine substitution. Research by other individuals has indicated that the substitutionally active speciesmore » is the CO-loss photoproduct CpFe({mu}-CO){sub 3}FeCp (4). The authors interest in the photochemistry of 1 stemmed from their theoretical studies on piano-stool dimers. One reaction of particular concern is the photochemical insertion of alkynes into 1 to yield dimetallacyclopentenone 5. On the basis of MO calculations, the authors proposed a possible LUMO-controlled mechanism for this reaction that involved alkyne addition to the TSG transition state 3, followed by CO loss. In this contribution, they report initial experimental studies which demonstrate that 4 is the photochemical intermediate responsible for this reaction. They consider this reaction to be a paradigm for photochemical substitution and insertion reaction in such systems.« less

  17. Selforganized Structure Formation in Organized Microstructuring by Laser-Jet Etching

    NASA Astrophysics Data System (ADS)

    Rabbow, T. J.; Plath, P. J.; Mora, A.; Haase, M.

    Laser-jet induced wet etching of stainless steel in 5M H3PO4 has been investigated. By this method, it is possible to cut and microstructure metals and alloys that form passive layers in strong etchants. Due to the laser heating of the metal and the adjacent layers of the etchant, the passive layer is removed and an active dissolution of the base metal together with the formation of hydrogen is observed. The reactions are limited by the transport of fresh acid and the removal of dissolved metal. A jet of etchant reduces the transport limitations. For definite ranges of the laser power, the feed velocity and the etchant jet velocity, a regime of periodic structure formation of the kerf, often called ripples, has been found. The ripple length depends on all three parameters. The ripple formation can be brought into correlation with a periodic change of the intensity of the reflected light as well as oscillations of the potential workpiece. It could be shown that the periodic structure formation is connected to a spreading of an etching front from the laser activated area, that temporarily moves ahead to the laser. This leads to modulations of the interface for the laser absorption, which results, for example, in oscillations of the intensity of the reflected light. This means the laser induced etching reaction attracts a feedback based on the conditions of absorption for the laser. For those parameters of feed velocity, laser power and etchant jet velocity, without ripple formation the laser induced etching front is of a constant distance to the laser which results in steady conditions at the interface for the absorption of the laser.

  18. Controlled ion track etching

    NASA Astrophysics Data System (ADS)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  19. More vertical etch profile using a Faraday cage in plasma etching

    NASA Astrophysics Data System (ADS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  20. Growth of fluorescence gold clusters using photo-chemically activated ligands

    NASA Astrophysics Data System (ADS)

    Mishra, Dinesh; Aldeek, Fadi; Michael, Serge; Palui, Goutam; Mattoussi, Hedi

    2016-03-01

    Ligands made of lipoic acid (LA) appended with a polyethylene glycol (PEG) chain have been used in the aqueous phase growth of luminescent gold clusters with distinct emission from yellow to near-IR, using two different routes. In the first route, the gold-ligand complex was chemically reduced using sodium borohydride in alkaline medium, which gave near- IR luminescent gold clusters with maximum emission around 745 nm. In the second method, LA-PEG ligand was photochemically modified to a mixture of thiols, oligomers and oxygenated species under UV-irradiation, which was then used as both reducing agent and stabilizing ligand. By adjusting the pH, temperature, and time of the reaction, we were able to obtain clusters with two distinct emission properties. Refluxing the gold-ligand complex in alkaline medium in the presence of excess ligand gave yellow emission within the first two hours and the emission shifted to red after overnight reaction. Mass spectrometry and chemical assay were used to understand the photo-chemical transformation of Lipoic Acid (LA). Mass spectroscopic studies showed the photo-irradiated product contains thiols, oligomers (dimers, trimers and tetramers) as well as oxygenated species. The amount of thiol formed under different conditions of irradiation was estimated using Ellman's assay.

  1. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    PubMed

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (p<0.001). The highest shear bond strength was found for FNR after phosphoric acid etching. Without phosphoric acid etching, only FNR showed no significant differences compared to the control (SC). SEM evaluations showed mostly adhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  2. Photochemical versus Thermal Synthesis of Cobalt Oxyhydroxide Nanocrystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alvarado, Samuel R.; Guo, Yijun; Ruberu, T. Purnima A.

    2012-04-18

    Photochemical methods facilitate the generation, isolation, and study of metastable nanomaterials having unusual size, composition, and morphology. These harder-to-isolate and highly reactive phases, inaccessible using conventional high-temperature pyrolysis, are likely to possess enhanced and unprecedented chemical, electromagnetic, and catalytic properties. We report a fast, low-temperature and scalable photochemical route to synthesize very small (3 nm) monodisperse cobalt oxyhydroxide (Co(O)OH) nanocrystals. This method uses readily and commercially available pentaamminechlorocobalt(III) chloride, [Co(NH3)5Cl]Cl2, under acidic or neutral pH and proceeds under either near-UV (350 nm) or Vis (575 nm) illumination. Control experiments showed that the reaction proceeds at competent rates only in themore » presence of light, does not involve a free radical mechanism, is insensitive to O2, and proceeds in two steps: (1) Aquation of [Co(NH3)5Cl]2+ to yield [Co(NH3)5(H2O)]3+, followed by (2) slow photoinduced release of NH3 from the aqua complex. This reaction is slow enough for Co(O)OH to form but fast enough so that nanocrystals are small (ca. 3 nm). The alternative dark thermal reaction proceeds much more slowly and produces much larger (250 nm) polydisperse Co(O)OH aggregates. UV–Vis absorption measurements and ab initio calculations yield a Co(O)OH band gap of 1.7 eV. Fast thermal annealing of Co(O)OH nanocrystals leads to Co3O4 nanocrystals with overall retention of nanoparticle size and morphology. Thermogravimetric analysis shows that oxyhydroxide to mixed-oxide phase transition occurs at significantly lower temperatures (up to ΔT = 64 °C) for small nanocrystals compared with the bulk.« less

  3. Upper atmosphere research: Reaction rate and optical measurements

    NASA Technical Reports Server (NTRS)

    Stief, L. J.; Allen, J. E., Jr.; Nava, D. F.; Payne, W. A., Jr.

    1990-01-01

    The objective is to provide photochemical, kinetic, and spectroscopic information necessary for photochemical models of the Earth's upper atmosphere and to examine reactions or reactants not presently in the models to either confirm the correctness of their exclusion or provide evidence to justify future inclusion in the models. New initiatives are being taken in technique development (many of them laser based) and in the application of established techniques to address gaps in the photochemical/kinetic data base, as well as to provide increasingly reliable information.

  4. Photochemical pathways of the dimeric, mixed dimer, and monomeric sulfophthalocyanines of cobalt(III) and iron(II)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ferraudi, G.

    1979-04-01

    The photochemical reactivity of the dimeric, mixed dimer, and monomeric sulfophthalocyanines of cobalt (III) and iron (II) was investigated by steady-state and flash irradiations. The dimeric species photodissociated into sulfophthalocyanine radicals which were coordinated to either Co(III) or Fe(II) metal centers. Reactions of such intermediates were investigated by interception with alcohols and O/sub 2/. Also, photoredox reactions were detected with monomeric acidocobalt(III) sulfophtahlocyanines. These processes produce the oxidation of the acido ligands (Cl/sup -/, Br/sup -/, N/sub 3//sup -/, I/sup -/) and the reduction of the metal center. The photoredox dissociation was also investigated by using mixed dimers of themore » cobalt sulfophthalocyanines with Cr(bpy)/sub 3//sup 3 +/ and Ru(bpy)/sub 3//sup 2 +/. The photogeneration of sulfophthalocyanine radicals was observed as a general reaction which was produced by excitation of either the Cr(bby)/sub 3//sup 3 +/ or Ru(bpy)/sub 3//sup 2 +/ units in the mixed dimer. The nature of the reactive excited states involved in the various photochemical reactions of the sulfophthalocyanines of Co(II), Co(III), Cu(II), and Fe(II) is discussed.« less

  5. Phenylazopyridine as Switch in Photochemical Reactions. A Detailed Computational Description of the Mechanism of Its Photoisomerization

    PubMed Central

    Casellas, Josep; Alcover-Fortuny, Gerard; de Graaf, Coen

    2017-01-01

    Azo compounds are organic photochromic systems that have the possibility of switching between cis and trans isomers under irradiation. The different photochemical properties of these isomers make azo compounds into good light-triggered switches, and their significantly different geometries make them very interesting as components in molecular engines or mechanical switches. For instance, azo ligands are used in coordination complexes to trigger photoresponsive properties. The light-induced trans-to-cis isomerization of phenylazopyridine (PAPy) plays a fundamental role in the room-temperature switchable spin crossover of Ni-porphyrin derivatives. In this work, we present a computational study developed at the SA-CASSCF/CASPT2 level (State Averaged Complete Active Space Self Consistent Field/CAS second order Perturbation Theory) to elucidate the mechanism, up to now unknown, of the cis–trans photoisomerization of 3-PAPy. We have analyzed the possible reaction pathways along its lowest excited states, generated by excitation of one or two electrons from the lone pairs of the N atoms of the azo group (nazoπ*2 and nazo2π*2 states), from a π delocalized molecular orbital (ππ* state), or from the lone pair of the N atom of the pyridine moiety (npyπ* state). Our results show that the mechanism proceeds mainly along the rotation coordinate in both the nazoπ* and ππ* excited states, although the nazo2π*2 state can also be populated temporarily, while the npyπ* does not intervene in the reaction. For rotationally constrained systems, accessible paths to reach the cis minimum along planar geometries have also been located, again on the nazoπ* and ππ* potential energy surfaces, while the nazo2π*2 and npyπ* states are not involved in the reaction. The relative energies of the different paths differ from those found for azobenzene in a previous work, so our results predict some differences between the reactivities of both compounds. PMID:29168765

  6. Enhanced Indirect Photochemical Transformation of Histidine and Histamine through Association with Chromophoric Dissolved Organic Matter.

    PubMed

    Chu, Chiheng; Lundeen, Rachel A; Remucal, Christina K; Sander, Michael; McNeill, Kristopher

    2015-05-05

    Photochemical transformations greatly affect the stability and fate of amino acids (AAs) in sunlit aquatic ecosystems. Whereas the direct phototransformation of dissolved AAs is well investigated, their indirect photolysis in the presence of chromophoric dissolved organic matter (CDOM) is poorly understood. In aquatic systems, CDOM may act both as sorbent for AAs and as photosensitizer, creating microenvironments with high concentrations of photochemically produced reactive intermediates, such as singlet oxygen (1O2). This study provides a systematic investigation of the indirect photochemical transformation of histidine (His) and histamine by 1O2 in solutions containing CDOM as a function of solution pH. Both His and histamine showed pH-dependent enhanced phototransformation in the CDOM systems as compared to systems in which model, low-molecular-weight 1O2 sensitizers were used. Enhanced reactivity resulted from sorption of His and histamine to CDOM and thus exposure to elevated 1O2 concentrations in the CDOM microenvironment. The extent of reactivity enhancement depended on solution pH via its effects on the protonation state of His, histamine, and CDOM. Sorption-enhanced reactivity was independently supported by depressed rate enhancements in the presence of a cosorbate that competitively displaced His and histamine from CDOM. Incorporating sorption and photochemical transformation processes into a reaction rate prediction model improved the description of the abiotic photochemical transformation rates of His in the presence of CDOM.

  7. On the influence of etch pits in the overall dissolution rate of apatite basal sections

    NASA Astrophysics Data System (ADS)

    Alencar, Igor; Guedes, Sandro; Palissari, Rosane; Hadler, Julio C.

    2015-09-01

    Determination of efficiencies for particle detection plays a central role for proper estimation of reaction rates. If chemical etching is employed in the revelation of latent particle tracks in solid-state detectors, dissolution rates and etchable lengths are important factors governing the revelation and observation. In this work, the mask method, where a reference part of the sample is protected during dissolution, was employed to measure step heights in basal sections of apatite etched with a nitric acid, HNO, solution at a concentration of 1.1 M and a temperature of 20 °C. We show a drastic increase in the etching velocity as the number of etch pits in the surface augments, in accordance with the dissolution stepwave model, where the outcrop of each etch pit generates a continuous sequence of stepwaves. The number of etch pits was varied by irradiation with neutrons and perpendicularly incident heavy ions. The size dependence of the etch-pit opening with etching duration for ion (200-300 MeV 152Sm and 238U) tracks was also investigated. There is no distinction for the etch pits between the different ions, and the dissolution seems to be governed by the opening velocity when a high number of etch pits are present in the surface. Measurements of the etchable lengths of these ion tracks show an increase in these lengths when samples are not pre-annealed before irradiation. We discuss the implications of these findings for fission-track modelling.

  8. Controlled in situ etch-back

    NASA Technical Reports Server (NTRS)

    Mattauch, R. J.; Seabaugh, A. C. (Inventor)

    1981-01-01

    A controlled in situ etch-back technique is disclosed in which an etch melt and a growth melt are first saturated by a source-seed crystal and thereafter etch-back of a substrate takes place by the slightly undersaturated etch melt, followed by LPE growth of a layer by the growth melt, which is slightly supersaturated.

  9. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    PubMed

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (p<0.05) SBS and SFL with pre-etching than it did without pre-etching. The SBS and SFL of dentin bonds decreased with phosphoric acid pre-etching. The SBS and SFL of bonds using phosphoric acid prior to application of self-etching adhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was

  10. Photochemical transformation of phenylurea herbicides in surface waters: a model assessment of persistence, and implications for the possible generation of hazardous intermediates.

    PubMed

    Fabbri, Debora; Minella, Marco; Maurino, Valter; Minero, Claudio; Vione, Davide

    2015-01-01

    This work models the phototransformation kinetics in surface waters of five phenylurea herbicides (diuron, fenuron, isoproturon, metoxuron and chlortoluron), for which important photochemical parameters are available in the literature (direct photolysis quantum yields and reaction rate constants with ·OH, CO3(-·) and the triplet states of chromophoric dissolved organic matter, (3)CDOM*). Model calculations suggest that isoproturon and metoxuron would be the least photochemically persistent and diuron the most persistent compound. Reactions with ·OH and (3)CDOM* would be the main phototransformation pathways for all compounds in the majority of environmental conditions. Reaction with CO3(-) could be important in waters with low dissolved organic carbon (DOC), while direct photolysis would be negligible for fenuron, quite important for chlortoluron, and somewhat significant for the other compounds. The direct photolysis of metoxuron and diuron is known to increase toxicity, and such a photoreaction pathway would be enhanced at intermediate DOC values (1-4 mg C L(1)). The reaction between phenylureas and ·OH is known to produce toxic intermediates, differently from (3)CDOM*. Therefore, the shift of reactivity from ·OH to (3)CDOM* with increasing DOC could reduce the environmental impact of photochemical transformation. Copyright © 2014 Elsevier Ltd. All rights reserved.

  11. Platinum-catalyzed hydrolysis etching of SiC in water: A density functional theory study

    NASA Astrophysics Data System (ADS)

    Van Bui, Pho; Toh, Daisetsu; Isohashi, Ai; Matsuyama, Satoshi; Inagaki, Kouji; Sano, Yasuhisa; Yamauchi, Kazuto; Morikawa, Yoshitada

    2018-05-01

    A comprehensive study of the physicochemical interactions and the reaction mechanism of SiC etching with water by Pt catalysts can reveal key details about the surface treatment and catalytic phenomena at interfaces. Therefore, density functional theory simulations were performed to study the kinetics of Pt-assisted water dissociation and breaking of a Si–C bond compared to the HF-assisted mechanism. These calculations carefully considered the elastic and chemical interaction energies at the Pt–SiC interface, activation barriers of Si–C bond dissociation, and the catalytic role of Pt. It was found that the Pt-catalyzed etching of SiC in water is initiated via hydrolysis reactions that break the topmost Si–C bonds. The activation barrier strongly depends on the elastic and chemical interactions. However, chemical interactions are a dominant factor and mainly contribute to the lowering of the activation barrier, resulting in an increased rate of reaction.

  12. Pattern sampling for etch model calibration

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2017-06-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels as well as the choice of calibration patterns is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels -"internal, external, curvature, Gaussian, z_profile" - designed to capture the finest details of the resist contours and represent precisely any etch bias. By evaluating the etch kernels on various structures it is possible to map their etch signatures in a multi-dimensional space and analyze them to find an optimal sampling of structures to train an etch model. The method was specifically applied to a contact layer containing many different geometries and was used to successfully select appropriate calibration structures. The proposed kernels evaluated on these structures were combined to train an etch model significantly better than the standard one. We also illustrate the usage of the specific kernel "z_profile" which adds a third dimension to the description of the resist profile.

  13. A theoretical approach to the photochemical activation of matrix isolated aluminum atoms and their reaction with methane

    NASA Astrophysics Data System (ADS)

    Pacheco-Blas, M. A.; Novaro, O. A.; Pacheco-Sánchez, J. H.

    2010-11-01

    The photochemical activation of Al atoms in cryogenic matrices to induce their reaction with methane has been experimentally studied before. Here, a theoretical study of the nonadiabatic transition probabilities for the ground (P2:3s23p1) and the lowest excited states (S2:3s24s1 and D2:3s23d1) of an aluminum atom interacting with a methane molecule (CH4) was carried out through ab initio Hartree-Fock self-consistent field calculations. This was followed by a multiconfigurational study of the correlation energy obtained by extensive variational and perturbational configuration interaction analyses using the CIPSI program. The D2 state is readily inserted into a C-H bond, this being a prelude to a sequence of avoided crossings with the initially repulsive (to CH4) lower lying states P2 and S2. We then use a direct extension of the Landau-Zener theory to obtain transition probabilities at each avoided crossing, allowing the formation of an HAlCH3 intermediate that eventually leads to the final pair of products H+AlCH3 and HAl+CH3.

  14. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching

    NASA Astrophysics Data System (ADS)

    Chen, Limei; Deming, Christopher P.; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-07-01

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold

  15. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    PubMed

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  16. Thermodynamics of nuclear track chemical etching

    NASA Astrophysics Data System (ADS)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  17. A box model study on photochemical interactions between VOCs and reactive halogen species in the marine boundary layer

    NASA Astrophysics Data System (ADS)

    Toyota, K.; Kanaya, Y.; Takahashi, M.; Akimoto, H.

    2004-09-01

    A new chemical scheme is developed for the multiphase photochemical box model SEAMAC (size-SEgregated Aerosol model for Marine Air Chemistry) to investigate photochemical interactions between volatile organic compounds (VOCs) and reactive halogen species in the marine boundary layer (MBL). Based primarily on critically evaluated kinetic and photochemical rate parameters as well as a protocol for chemical mechanism development, the new scheme has achieved a near-explicit description of oxidative degradation of up to C3-hydrocarbons (CH4, C2H6, C3H8, C2H4, C3H6, and C2H2) initiated by reactions with OH radicals, Cl- and Br-atoms, and O3. Rate constants and product yields for reactions involving halogen species are taken from the literature where available, but the majority of them need to be estimated. In particular, addition reactions of halogen atoms with alkenes will result in forming halogenated organic intermediates, whose photochemical loss rates are carefully evaluated in the present work. Model calculations with the new chemical scheme reveal that the oceanic emissions of acetaldehyde (CH3CHO) and alkenes (especially C3H6) are important factors for regulating reactive halogen chemistry in the MBL by promoting the conversion of Br atoms into HBr or more stable brominated intermediates in the organic form. The latter include brominated hydroperoxides, bromoacetaldehyde, and bromoacetone, which sequester bromine from a reactive inorganic pool. The total mixing ratio of brominated organic species thus produced is likely to reach 10-20% or more of that of inorganic gaseous bromine species over wide regions over the ocean. The reaction between Br atoms and C2H2 is shown to be unimportant for determining the degree of bromine activation in the remote MBL. These results imply that reactive halogen chemistry can mediate a link between the oceanic emissions of VOCs and the behaviors of compounds that are sensitive to halogen chemistry such as dimethyl sulfide, NOx, and

  18. Thermal and Photochemical Reactions of NO2 on a Chromium (III) Oxide Surface

    NASA Astrophysics Data System (ADS)

    Nishino, N.; Finlayson-Pitts, B. J.

    2011-12-01

    Chromium oxide (Cr2O3) is a major component of the oxide layer on stainless steel surfaces. It is also widely used as pigment in paints and roofs and as a protective coating on various surfaces. While many studies have focused on the catalytic activity of Cr2O3 surfaces for selective catalytic reduction (SCR), less attention has been paid to its surface chemistry involving atmospherically important species such as NO2 under atmospheric conditions. In this study, we have investigated thermal and photochemical reactions of NO2 in the presence and the absence of water vapor, using a thin layer of Cr2O3 as a model for the surface of stainless steel as well as other similarly coated surfaces in the boundary layer. A 30 nm thick Cr2O3 film was deposited on a germanium attenuated total reflectance (ATR) crystal, and the changes in the surface species were monitored by Fourier Transform Infrared (FTIR) spectroscopy. Upon NO2 adsorption, nitrate (NO3-) ions appeared likely coordinated to Cr3+ ion(s). The NO3- peaks reversibly shifted when water vapor was added, suggesting that NO3- become solvated. Irradiation at 311 nm led to a decrease in NO3- ions under both dry and humid conditions. The major gas-phase species formed by the irradiation was NO under dry conditions, while NO2 was mainly formed in the presence of H2O. Possible mechanisms and the implications for heterogeneous NO2 chemistry in the boundary layer will be discussed. The results will also be compared to similar chemistry on other surfaces.

  19. Photochemically induced focal cochlear lesions in the guinea pig: II. A transmission electron microscope study.

    PubMed

    Miyashita, H; Iwasaki, S; Hoshino, T

    1998-05-15

    Photochemically induced focal lesions in guinea pig cochleas were studied by light microscopy and transmission electron microscopy. The lesions were induced in the second cochlear turns of 35 adult guinea pigs by illumination for 10 minutes with a focused green light immediately after a rose bengal solution was injected into the jugular vein. The cochlear lateral wall and organ of Corti were examined 5, 10, 20, 30, and 90 minutes, 12 and 24 hours, and 3, 7, and 30 days after the procedure. Aggregations of platelets and red blood cells were found in strial capillaries at 5 minutes after illumination. After 30 minutes, marginal cell surfaces protruded into the endolymphatic space; surface membranes were ruptured and the cytoplasm was expelled into the space. In outer hair cells, disruption of the cellular membrane was found near the cuticular plate 12 hours after the procedure. All cellular elements of the lateral wall and organ of Corti were markedly degenerated in the 30-day specimens. Histological changes found in the stria vascularis were consistent with cell damage caused by active oxygen species. It is likely that the stria vascularis is more sensitive to the photochemical reaction than other parts of the cochlea. Cell damage in other parts of the cochlea seemed to have been caused by local microvascular ischemia in addition to the action of active oxygen species induced by the photochemical reaction.

  20. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  1. Photochemical degradation of triazine herbicides - comparison of homogeneous and heterogeneous photocatalysis.

    PubMed

    Klementova, Sarka; Zlamal, Martin

    2013-04-01

    Photochemical degradation of atrazine under different conditions was studied and compared, namely degradation via photocatalysis on TiO2, UV C photolysis, and homogeneous photocatalysis in the presence of added ferric ions. The reaction rate constants in heterogeneous photocatalytic reactions on TiO2 and of photolytic degradation by means of UV C light are similar, 0.018 min(-1) and 0.020 min(-1), respectively. The reaction rate constants in homogeneous photocatalytic reactions with Fe(III) added depend strongly on the Fe(III) concentration, 0.0017 min(-1) for 1.6 × 10(-6) mol l(-1) Fe(III) to 0.105 min(-1) for 3.3 × 10(-4) mol l(-1) Fe(III). In all types of reactions, dechlorination was observed; in homogeneous photocatalytic reactions and in UV C (250-300 nm) photolysis, dechlorination proceeds with a 1 : 1 stoichiometry to atrazine degradation, in photocatalytic reactions on TiO2, dechlorination measured as chloride ion release reaches only 1/5 of the substrate degradation. In photocatalytic reactions on TiO2, mineralisation of 40% carbon was observed.

  2. Using Graphene Liquid Cell Transmission Electron Microscopy to Study in Situ Nanocrystal Etching.

    PubMed

    Hauwiller, Matthew R; Ondry, Justin C; Alivisatos, A Paul

    2018-05-17

    Graphene liquid cell electron microscopy provides the ability to observe nanoscale chemical transformations and dynamics as the reactions are occurring in liquid environments. This manuscript describes the process for making graphene liquid cells through the example of graphene liquid cell transmission electron microscopy (TEM) experiments of gold nanocrystal etching. The protocol for making graphene liquid cells involves coating gold, holey-carbon TEM grids with chemical vapor deposition graphene and then using those graphene-coated grids to encapsulate liquid between two graphene surfaces. These pockets of liquid, with the nanomaterial of interest, are imaged in the electron microscope to see the dynamics of the nanoscale process, in this case the oxidative etching of gold nanorods. By controlling the electron beam dose rate, which modulates the etching species in the liquid cell, the underlying mechanisms of how atoms are removed from nanocrystals to form different facets and shapes can be better understood. Graphene liquid cell TEM has the advantages of high spatial resolution, compatibility with traditional TEM holders, and low start-up costs for research groups. Current limitations include delicate sample preparation, lack of flow capability, and reliance on electron beam-generated radiolysis products to induce reactions. With further development and control, graphene liquid cell may become a ubiquitous technique in nanomaterials and biology, and is already being used to study mechanisms governing growth, etching, and self-assembly processes of nanomaterials in liquid on the single particle level.

  3. L-Cysteine Capped CdSe Quantum Dots Synthesized by Photochemical Route.

    PubMed

    Singh, Avinash; Kunwar, Amit; Rath, M C

    2018-05-01

    L-cysteine capped CdSe quantum dots were synthesized via photochemical route in aqueous solution under UV photo-irradiation. The as grown CdSe quantum dots exhibit broad fluorescence at room temperature. The CdSe quantum dots were found to be formed only through the reactions of the precursors, i.e., Cd(NH3)2+4 and SeSO2-3 with the photochemically generated 1-hydroxy-2-propyl radicals, (CH3)2COH radicals, which are formed through the process of H atom abstraction by the photoexcited acetone from 2-propanol. L-Cysteine was found to act as a suitable capping agent for the CdSe quantum dots and increases their biocompatability. Cytotoxicty effects of these quantum dots were evaluated in Chinese Hamster Ovary (CHO) epithelial cells, indicated a significant lower level for the L-cysteine capped CdSe quantum dots as compare to the bare ones.

  4. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    PubMed Central

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (p<0.001) of bond strength with surface roughness of enamel. Conclusion All groups might show clinically

  5. Direct evidence of photochemical α-cleavage of benzoin in fluid solutions

    NASA Astrophysics Data System (ADS)

    Koyanagi, Motohiko; Futami, Hiroshi; Mukai, Masahiro; Yamauchi, Seigo

    1989-02-01

    By means of optical absorption, 1 NMR, and transient EPR techniques, the fate of diluted benzoin upon light irradiation to its S 1 (nπ*) state has been investigated in methylcyclohexane and benzene solutions at room temperature. The CIDEP spectrum of benzoin is observed for the first time, and the intermediate radicals involved are assigned. The overall results show that the main scheme of the photochemical reactions is the α-cleavage occurring in the excited triplet state of benzoin, as proved in the almost net emission pattern of the CIDEP spectra. A stoichiometric reaction leading to effective benzaldehyde formation is established for the benzoin solutions.

  6. Singlet oxygen in the coupled photochemical and biochemical oxidation of dissolved organic matter.

    PubMed

    Cory, Rose M; McNeill, Kristopher; Cotner, James P; Amado, Andre; Purcell, Jeremiah M; Marshall, Alan G

    2010-05-15

    Dissolved organic matter (DOM) is a significant (>700 Pg) global C pool. Transport of terrestrial DOM to the inland waters and coastal zones represents the largest flux of reduced C from land to water (215 Tg yr(-1)) (Meybeck, M. Am. J. Sci. 1983, 282, 401-450). Oxidation of DOM by interdependent photochemical and biochemical processes largely controls the fate of DOM entering surface waters. Reactive oxygen species (ROS) have been hypothesized to play a significant role in the photooxidation of DOM, because they may oxidize the fraction of DOM that is inaccessible to direct photochemical degradation by sunlight. We followed the effects of photochemically produced singlet oxygen ((1)O(2)) on DOM by mass spectrometry with (18)O-labeled oxygen, to understand how (1)O(2)-mediated transformations of DOM may lead to altered DOM bioavailability. The photochemical oxygen uptake by DOM attributed to (1)O(2) increased with DOM concentration, yet it remained a minority contributor to photochemical oxygen uptake even at very high DOM concentrations. When DOM samples were exposed to (1)O(2)-generating conditions (Rose Bengal and visible light), increases were observed in DOM constituents with higher oxygen content and release of H(2)O(2) was detected. Differential effects of H(2)O(2) and (1)O(2)-treated DOM showed that (1)O(2)-treated DOM led to slower bacterial growth rates relative to unmodified DOM. Results of this study suggested that the net effect of the reactions between singlet oxygen and DOM may be production of partially oxidized substrates with correspondingly lower potential biological energy yield.

  7. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  8. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  9. Microtensile bond strength of etch and rinse versus self-etch adhesive systems.

    PubMed

    Hamouda, Ibrahim M; Samra, Nagia R; Badawi, Manal F

    2011-04-01

    The aim of this study was to compare the microtensile bond strength of the etch and rinse adhesive versus one-component or two-component self-etch adhesives. Twelve intact human molar teeth were cleaned and the occlusal enamel of the teeth was removed. The exposed dentin surfaces were polished and rinsed, and the adhesives were applied. A microhybride composite resin was applied to form specimens of 4 mm height and 6 mm diameter. The specimens were sectioned perpendicular to the adhesive interface to produce dentin-resin composite sticks, with an adhesive area of approximately 1.4 mm(2). The sticks were subjected to tensile loading until failure occurred. The debonded areas were examined with a scanning electron microscope to determine the site of failure. The results showed that the microtensile bond strength of the etch and rinse adhesive was higher than that of one-component or two-component self-etch adhesives. The scanning electron microscope examination of the dentin surfaces revealed adhesive and mixed modes of failure. The adhesive mode of failure occurred at the adhesive/dentin interface, while the mixed mode of failure occurred partially in the composite and partially at the adhesive/dentin interface. It was concluded that the etch and rinse adhesive had higher microtensile bond strength when compared to that of the self-etch adhesives. Copyright © 2010 Elsevier Ltd. All rights reserved.

  10. Fabrication of volcano-shaped nano-patterned sapphire substrates using colloidal self-assembly and wet chemical etching.

    PubMed

    Geng, Chong; Zheng, Lu; Fang, Huajing; Yan, Qingfeng; Wei, Tongbo; Hao, Zhibiao; Wang, Xiaoqing; Shen, Dezhong

    2013-08-23

    Patterned sapphire substrates (PSS) have been widely used to enhance the light output power in GaN-based light emitting diodes. The shape and feature size of the pattern in a PSS affect its enhancement efficiency to a great degree. In this work we demonstrate the nanoscale fabrication of volcano-shaped PSS using a wet chemical etching approach in combination with a colloidal monolayer templating strategy. Detailed analysis by scanning electron microscopy reveals that the unique pattern shape is a result of the different corrosion-resistant abilities of silica masks of different effective heights during wet chemical etching. The formation of silica etching masks of different effective heights has been ascribed to the silica precursor solution in the interstice of the colloidal monolayer template being distributed unevenly after infiltration. In the subsequent wet chemical etching process, the active reaction sites altered as etching duration was prolonged, resulting in the formation of volcano-shaped nano-patterned sapphire substrates.

  11. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Posseme, N., E-mail: nicolas.posseme@cea.fr; Pollet, O.; Barnola, S.

    2014-08-04

    Silicon nitride spacer etching realization is considered today as one of the most challenging of the etch process for the new devices realization. For this step, the atomic etch precision to stop on silicon or silicon germanium with a perfect anisotropy (no foot formation) is required. The situation is that none of the current plasma technologies can meet all these requirements. To overcome these issues and meet the highly complex requirements imposed by device fabrication processes, we recently proposed an alternative etching process to the current plasma etch chemistries. This process is based on thin film modification by light ionsmore » implantation followed by a selective removal of the modified layer with respect to the non-modified material. In this Letter, we demonstrate the benefit of this alternative etch method in term of film damage control (silicon germanium recess obtained is less than 6 A), anisotropy (no foot formation), and its compatibility with other integration steps like epitaxial. The etch mechanisms of this approach are also addressed.« less

  12. Anisotropic etching of platinum electrodes at the onset of cathodic corrosion

    PubMed Central

    Hersbach, Thomas J. P.; Yanson, Alexei I.; Koper, Marc T. M.

    2016-01-01

    Cathodic corrosion is a process that etches metal electrodes under cathodic polarization. This process is presumed to occur through anionic metallic reaction intermediates, but the exact nature of these intermediates and the onset potential of their formation is unknown. Here we determine the onset potential of cathodic corrosion on platinum electrodes. Electrodes are characterized electrochemically before and after cathodic polarization in 10 M sodium hydroxide, revealing that changes in the electrode surface start at an electrode potential of −1.3 V versus the normal hydrogen electrode. The value of this onset potential rules out previous hypotheses regarding the nature of cathodic corrosion. Scanning electron microscopy shows the formation of well-defined etch pits with a specific orientation, which match the voltammetric data and indicate a remarkable anisotropy in the cathodic etching process, favouring the creation of (100) sites. Such anisotropy is hypothesized to be due to surface charge-induced adsorption of electrolyte cations. PMID:27554398

  13. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  14. Etching fission tracks in zircons

    USGS Publications Warehouse

    Naeser, C.W.

    1969-01-01

    A new technique has been developed whereby fission tracks can be etched in zircon with a solution of sodium hydroxide at 220??C. Etching time varied between 15 minutes and 5 hours. Colored zircon required less etching time than the colorless varieties.

  15. Photochemical reduction of UO(2)(2+) in the presence of alcohol studied by density functional theory calculations.

    PubMed

    Tsushima, Satoru

    2009-06-01

    A well-known photochemical process of U(VI)O(2)(2+) reduction to U(V)O(2)(+) in the presence of alcohols was studied by density functional theory (DFT) calculations. It was found that the first process which takes place is a photoexcitation of the ground-state UO(2)(2+) to the triplet excited state (*UO(2)(2+)) followed by a significant shortening of the *UO(2)(2+)-to-alcohol O(ax)-H distance. A charge transfer from *UO(2)(2+) to alcohol and hydrogen abstraction takes place in the following step. Consequently, U(VI)O(2)(2+) gets reduced to U(V)O(OH)(2+). The photochemical byproduct RCHOH acts further as a reducing agent toward UO(2)(2+) to yield UO(2)(+) and RCHO (aldehyde). Only a combination of these two reactions can explain a high quantum yield of this reaction. In the absence of alcohol, the lowest-lying triplet state exhibits a different character, and photoreduction is unlikely to take place via the same mechanism. The present results agree well with recent experimental finding [J. Am. Chem. Soc. 2006, 128, 14024] and supports the idea that the O(ax)-H linkage between UO(2)(2+) and the solvent molecule is the key to the photochemical reduction process.

  16. Methods for the photochemical utilization of solar energy

    NASA Technical Reports Server (NTRS)

    Schwerzel, R. E.

    1978-01-01

    The paper considers the 'ground rules' which govern the efficiency of photochemical solar energy conversion and then summarizes the most promising approaches in each of three categories: photochemically assisted thermal systems for the heating and/or cooling of structures; photogalvanic systems for the production of electrical power in applications, such as photorechargeable batteries or inexpensive 'solar cells'; and photochemical formation of fuels for combustion and for use as chemical feedstocks or foods. Three concepts for the photochemical utilization of solar energy in space are found to be particularly promising: (1) photochemical trans-cis isomerization of indigold dyes for photoassisted heating or cooling, (2) the redox stabilized photoelectrolysis cell for the production of hydrogen (and/or oxygen or other useful chemicals), and (3) the liquid-junction photovoltaic cell for the production of electrical power.

  17. Determination of Double Bond Positions in Polyunsaturated Fatty Acids Using the Photochemical Paternò-Büchi Reaction with Acetone and Tandem Mass Spectrometry.

    PubMed

    Murphy, Robert C; Okuno, Toshiaki; Johnson, Christopher A; Barkley, Robert M

    2017-08-15

    The positions of double bonds along the carbon chain of methylene interrupted polyunsaturated fatty acids are unique identifiers of specific fatty acids derived from biochemical reactions that occur in cells. It is possible to obtain direct structural information as to these double bond positions using tandem mass spectrometry after collisional activation of the carboxylate anions of an acetone adduct at each of the double bond positions formed by the photochemical Paternò-Büchi reaction with acetone. This reaction can be carried out by exposing a small portion of an inline fused silica capillary to UV photons from a mercury vapor lamp as the sample is infused into the electrospray ion source of a mass spectrometer. Collisional activation of [M - H] - yields a series of reverse Paternò-Büchi reaction product ions that essentially are derived from cleavage of the original carbon-carbon double bonds that yield an isopropenyl carboxylate anion corresponding to each double bond location. Aldehydic reverse Paternò-Büchi product ions are much less abundant as the carbon chain length and number of double bonds increase. The use of a mixture of D 0 /D 6 -acetone facilitates identification of these double bonds indicating product ions as shown for arachidonic acid. If oxygen is present in the solvent stream undergoing UV photoactivation, ozone cleavage ions are also observed without prior collisional activation. This reaction was used to determine the double bond positions in a 20:3 fatty acid that accumulated in phospholipids of RAW 264.7 cells cultured for 3 days.

  18. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    NASA Astrophysics Data System (ADS)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  19. Reaction Profiles and Molecular Dynamics Simulations of Cyanide Radical Reactions Relevant to Titan's Atmosphere

    NASA Astrophysics Data System (ADS)

    Trinidad Pérez-Rivera, Danilo; Romani, Paul N.; Lopez-Encarnacion, Juan Manuel

    2016-10-01

    Titan's atmosphere is arguably the atmosphere of greatest interest that we have an abundance of data for from both ground based and spacecraft observations. As we have learned more about Titan's atmospheric composition, the presence of pre-biotic molecules in its atmosphere has generated more and more fascination about the photochemical process and pathways it its atmosphere. Our computational laboratory has been extensively working throughout the past year characterizing nitrile synthesis reactions, making significant progress on the energetics and dynamics of the reactions of .CN with the hydrocarbons acetylene (C2H2), propylene (CH3CCH), and benzene (C6H6), developing a clear picture of the mechanistic aspects through which these three reactions proceed. Specifically, first principles calculations of the reaction profiles and molecular dynamics studies for gas-phase reactions of .CN and C2H2, .CN and CH3CCH, and .CN and C6H6 have been carried out. A very accurate determination of potential energy surfaces of these reactions will allow us to compute the reaction rates which are indispensable for photochemical modeling of Titan's atmosphere.The work at University of Puerto Rico at Cayey was supported by Puerto Rico NASA EPSCoR IDEAS-ER program (2015-2016) and DTPR was sponsored by the Puerto Rico NASA Space Grant Consortium Fellowship. *E-mail: juan.lopez15@upr.edu

  20. Submicron patterned metal hole etching

    DOEpatents

    McCarthy, Anthony M.; Contolini, Robert J.; Liberman, Vladimir; Morse, Jeffrey

    2000-01-01

    A wet chemical process for etching submicron patterned holes in thin metal layers using electrochemical etching with the aid of a wetting agent. In this process, the processed wafer to be etched is immersed in a wetting agent, such as methanol, for a few seconds prior to inserting the processed wafer into an electrochemical etching setup, with the wafer maintained horizontal during transfer to maintain a film of methanol covering the patterned areas. The electrochemical etching setup includes a tube which seals the edges of the wafer preventing loss of the methanol. An electrolyte composed of 4:1 water: sulfuric is poured into the tube and the electrolyte replaces the wetting agent in the patterned holes. A working electrode is attached to a metal layer of the wafer, with reference and counter electrodes inserted in the electrolyte with all electrodes connected to a potentiostat. A single pulse on the counter electrode, such as a 100 ms pulse at +10.2 volts, is used to excite the electrochemical circuit and perform the etch. The process produces uniform etching of the patterned holes in the metal layers, such as chromium and molybdenum of the wafer without adversely effecting the patterned mask.

  1. Dry etching technologies for reflective multilayer

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  2. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    NASA Technical Reports Server (NTRS)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  3. Facile one-pot preparation of thermally and photochemically convertible soluble precursors of copper phthalocyanine and naphthalocyanine.

    PubMed

    Kikukawa, Yuu; Fukuda, Takamitsu; Fuyuhiro, Akira; Ishikawa, Naoto; Kobayashi, Nagao

    2011-08-14

    Soluble copper phthalocyanine (CuPc) and naphthalocyanine (CuNc) precursors which can be converted thermally and photochemically into insoluble CuPc and CuNc, respectively, have been synthesized by a one-step reaction using commercially available chemicals. This journal is © The Royal Society of Chemistry 2011

  4. High-pressure modulation of the structure of the bacterial photochemical reaction center at physiological and cryogenic temperatures

    NASA Astrophysics Data System (ADS)

    Timpmann, Kõu; Kangur, Liina; Lõhmus, Ants; Freiberg, Arvi

    2017-07-01

    The optical absorption and fluorescence response to external high pressure of the reaction center membrane chromoprotein complex from the wild-type non-sulfur photosynthetic bacterium Rhodobacter sphaeroides was investigated using the native pigment cofactors as local molecular probes of the reaction center structure at physiological (ambient) and cryogenic (79 K) temperatures. In detergent-purified complexes at ambient temperature, abrupt blue shift and accompanied broadening of the special pair band was observed at about 265 MPa. These reversible in pressure features were assigned to a pressure-induced rupture of a lone hydrogen bond that binds the photo-chemically active L-branch primary electron donor bacteriochlorophyll cofactor to the surrounding protein scaffold. In native membrane-protected complexes the hydrogen bond rupture appeared significantly restricted and occurred close to about 500 MPa. The free energy change associated with the rupture of the special pair hydrogen bond in isolate complexes was estimated to be equal to about 12 kJ mol-1. In frozen samples at cryogenic temperatures the hydrogen bond remained apparently intact up to the maximum utilized pressure of 600 MPa. In this case, however, heterogeneous spectral response of the cofactors from the L-and M-branches was observed due to anisotropic build-up of the protein structure. While in solid phase, the special pair fluorescence as a function of pressure exactly followed the respective absorption spectrum at a constant Stokes shift, at ambient temperature, the two paths began to deviate strongly from one other at the hydrogen bond rupture pressure. This effect was tentatively interpreted by different emission properties of hydrogen-bound and hydrogen-unbound special pair exciton states.

  5. Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching: effects of SiO2 chamber wall coating

    NASA Astrophysics Data System (ADS)

    Tinck, S.; Boullart, W.; Bogaerts, A.

    2011-08-01

    In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.

  6. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    PubMed

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (p<0.05) than those recorded for VM and VE, except when VE was treated with MEP, where the difference was statistically insignificant. Traces of fluoride ion were detected when MEP was used with VE and VM. Under limited conditions, using MEP resulted in comparable SBS results to HFMP; meanwhile HFMP remains the gold standard for pretreatment of glass ceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  7. Photochemical dimerization and functionalization of alkanes, ethers, primary alcohols and silanes

    DOEpatents

    Crabtree, Robert H.; Brown, Stephen H.

    1988-01-01

    The space-time yield and/or the selectivity of the photochemical dimerization of alkanes, ethers, primary alcohols and tertiary silanes with Hg and U.V. light is enhanced by refluxing the substrate in the irradiated reaction zone at a temperature at which the dimer product condenses and remains condensed promptly upon its formation. Cross-dimerization of the alkanes, ethers and silanes with primary alcohols is disclosed, as is the functionalization to aldehydes of the alkanes with carbon monoxide.

  8. Photochemical dimerization and functionalization of alkanes, ethers, primary alcohols and silanes

    DOEpatents

    Crabtree, R.H.; Brown, S.H.

    1988-02-16

    The space-time yield and/or the selectivity of the photochemical dimerization of alkanes, ethers, primary alcohols and tertiary silanes with Hg and U.V. light is enhanced by refluxing the substrate in the irradiated reaction zone at a temperature at which the dimer product condenses and remains condensed promptly upon its formation. Cross-dimerization of the alkanes, ethers and silanes with primary alcohols is disclosed, as is the functionalization to aldehydes of the alkanes with carbon monoxide.

  9. Annihilation of photochemical reactivity of photo-alignment layer.

    PubMed

    Hong, S H; Hwang, Y J; Lee, S G; Shin, D M

    2008-09-01

    The gas-polymer and liquid-polymer interfacial reactions of photosensitive polyimide can annihilate photo-reactive carbon-carbon double bonds, which remain after photo-alignment process. The annihilation processes dramatically affect voltage holding ratio and reorientation of photo-active functional groups. Photochemical dimerizations were identified using UV-visible and FT-IR spectroscopy. Polyimide films containing cinnamate groups were irradiated by linear polarized ultra violet (LPUV) light. Schadt et al. claims that the photo-alignment results from the anisotropy depletion of the cinnamate side chains as a consequence of the (2+2) cycloaddition reactions. The photo-aligned polyimide induces the orientation of nematic liquid crystals perpendicular to the polarization axis. However, the un-reacted photo-sensitive functional groups generate problems such as image sticking and reduced contrast ratio. Voltage holding ratio and photo-fading observed from photo-alignment layer can be dramatically improved by annihilation process of remnant photoreactive groups.

  10. Etching and Growth of GaAs

    NASA Technical Reports Server (NTRS)

    Seabaugh, A. C.; Mattauch, R., J.

    1983-01-01

    In-place process for etching and growth of gallium arsenide calls for presaturation of etch and growth melts by arsenic source crystal. Procedure allows precise control of thickness of etch and newly grown layer on substrate. Etching and deposition setup is expected to simplify processing and improve characteristics of gallium arsenide lasers, high-frequency amplifiers, and advanced integrated circuits.

  11. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  12. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  13. Photochemical mechanisms of ocular photic injury (Abstract Only)

    NASA Astrophysics Data System (ADS)

    Stuck, Bruce E.; Lund, David J.; Zuclich, Joseph A.

    2000-03-01

    Mechanisms of photic injury to the eye can be categorized as photochemical, photothermal or photodistruptive. Exposure wavelength, exposure duration, ocular tissue characteristics and response criteria are key factors in the delineation of the ocular injury mechanisms. Depending on the exposure condition, one or all of the laser-tissue interaction mechanisms can be involved. Although photic injury to the eye was initially assumed to involve thermal mechanisms, more recent research has demonstrated that ocular effects can be produced by light exposure without a significant retinal temperature rise. Photochemical mechanisms are also implicated in UV photic injury to the cornea and lens. Exposure of the retina to short visible wavelengths for prolonged durations results in photochemical retinal damage with negligible localized retinal temperature elevation. For exposure conditions where photochemical mechanisms are dominate, the reciprocity of irradiance and exposure duration is apparent. The latency until observation of a photochemical lesion is often 24-48 hours whereas a thermal lesion is observed immediately or within a few hours after the exposure. Action spectra for photochemical injury to the eye are discussed in the context of ocular injury thresholds and current permissible exposure limits.

  14. Effects of etch-and-rinse and self-etch adhesives on dentin MMP-2 and MMP-9.

    PubMed

    Mazzoni, A; Scaffa, P; Carrilho, M; Tjäderhane, L; Di Lenarda, R; Polimeni, A; Tezvergil-Mutluay, A; Tay, F R; Pashley, D H; Breschi, L

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives.

  15. Effects of Etch-and-Rinse and Self-etch Adhesives on Dentin MMP-2 and MMP-9

    PubMed Central

    Mazzoni, A.; Scaffa, P.; Carrilho, M.; Tjäderhane, L.; Di Lenarda, R.; Polimeni, A.; Tezvergil-Mutluay, A.; Tay, F.R.; Pashley, D.H.; Breschi, L.

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives. PMID:23128110

  16. Hydroxyapatite Effect on Photopolymerization of Self-etching Adhesives with Different Aggressiveness

    PubMed Central

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To understand the correlation of the acidic monomer/hydroxyapatite (HAp) reaction with the photopolymerization behavior of self-etching adhesives with different aggressiveness. Methods Two commercial self-etching adhesives the strong Adper Prompt L-Pop (APLP, pH~0.8) and the mild Adper Easy Bond (AEB, pH~2.5) were used. HAp powders were incorporated into both adhesives to acquire solutions with concentrations of 0, 1, 3, 5, 7 wt%. The attenuated total reflectance Fourier transform infrared (ATR/FT-IR) technique was employed to collect the in-situ spectra during light-curing, from which the degree of conversion (DC) and polymerization rate (PR) were calculated. The pH of each tested solution was also measured. Results Without HAp incorporation, the DC and PR of the strong APLP (7.8% and 0.12%/s, respectively) were much lower than those of the mild AEB (85.5% and 5.7%/s, respectively). The DC and PR of APLP displayed an apparent increasing trend with the HAp content. For example, the DC increased from 7.8% to 58.4% and the PR increased from 0.12 to 3.8%/s when the HAp content increased from 0 to 7 wt%. In contrast, the DC and PR of AEB were much less affected by the HAp content. The observations were correlated well with the spectral and pH changes, which indicated that APLP underwent a higher extent of chemical reaction with HAp than AEB. Conclusions The results disclosed the important role of the acidic monomer/HAp chemical reaction in improving the photopolymerization of the strong (low-pH) self-etching adhesives such as APLP. The phenomenon of polymerization improvement strongly depended on the adhesive aggressiveness. PMID:22445789

  17. TrackEtching - A Java based code for etched track profile calculations in SSNTDs

    NASA Astrophysics Data System (ADS)

    Muraleedhara Varier, K.; Sankar, V.; Gangadathan, M. P.

    2017-09-01

    A java code incorporating a user friendly GUI has been developed to calculate the parameters of chemically etched track profiles of ion-irradiated solid state nuclear track detectors. Huygen's construction of wavefronts based on secondary wavelets has been used to numerically calculate the etched track profile as a function of the etching time. Provision for normal incidence and oblique incidence on the detector surface has been incorporated. Results in typical cases are presented and compared with experimental data. Different expressions for the variation of track etch rate as a function of the ion energy have been utilized. The best set of values of the parameters in the expressions can be obtained by comparing with available experimental data. Critical angle for track development can also be calculated using the present code.

  18. A box model study on photochemical interactions between VOCs and reactive halogen species in the marine boundary layer

    NASA Astrophysics Data System (ADS)

    Toyota, K.; Kanaya, Y.; Takahashi, M.; Akimoto, H.

    2003-09-01

    A new chemical scheme is developed for the multiphase photochemical box model SEAMAC (size-SEgregated Aerosol model for Marine Air Chemistry) to investigate photochemical interactions between volatile organic compounds (VOCs) and reactive halogen species in the marine boundary layer (MBL). Based primarily on critically evaluated kinetic and photochemical rate parameters as well as a protocol for chemical mechanism development, the new scheme has achieved a near-explicit treatment of oxidative degradation of up to C3-hydrocarbons CH4, C2H6, C3H8, C2H4, C3H6, and C2H2) initiated by reactions with OH radicals, Cl- and Br-atoms, and O3. Rate constants and product yields for reactions involving halogen species are taken from the literature where available, but the majority of them need to be estimated. In particular, addition reactions of halogen atoms with alkenes will result in the formation of halogenated organic intermediates, whose photochemical loss rates are carefully evaluated in the present work. Model calculations with the new chemical scheme reveal that the oceanic emissions of acetaldehyde (CH3CHO) and alkenes (especially C3H6) are important factors for regulating reactive halogen chemistry in the MBL by promoting the conversion of Br atoms into HBr or more stable brominated intermediates in the organic form. The latter include brominated hydroperoxides, bromoacetaldehyde, and bromoacetone, which sequester bromine from reactive inorganic pool. The total mixing ratio of brominated organic species thus produced is likely to reach 10-20% or more of that of inorganic gaseous bromine species over wide regions over the ocean. On the other hand, the reaction between Br atoms and C2H2 is unimportant for determining the degree of bromine activation in the remote MBL. It is suggested that peroxyacetic acid formed via CH3CHO oxidation is one of the important chemical agents for triggering autocatalytic halogen release from sea-salt aerosols. These results imply that

  19. Adhesive capability of total-etch, self-etch, and self-adhesive systems for fiber post cementation

    NASA Astrophysics Data System (ADS)

    Theodor, Y.; Koesmaningati, H.; Gita, F.

    2017-08-01

    The aim of this study was to analyze whether self-etch and self-adhesive systems are comparable to the total-etch system for fiber post cementation. This experimental laboratory study, which was approved by an ethics committee, was performed using 27 mandibular premolar teeth randomly divided into three groups. Fiber post cementation was done using three different adhesive systems. Specimens were prepared with a thickness of 5 mm, which was measured from the cervical to medial areas of the root, and stored for 24 h in saline solution at room temperature. A push-out test was performed using a universal testing machine (Shimidzu AG-5000E) with a crosshead speed of 0.5 mm/min. The results of one way ANOVA bivariate testing showed that the total-etch and self-etch systems have comparable adhesion capability (p<0.05) and that the self-adhesive system has the lowest adhesion capability (p>0.05). With easier application, the self-etch system has a comparable adhesion capability to the total-etch system.

  20. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    PubMed

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  1. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    PubMed

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  2. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    NASA Astrophysics Data System (ADS)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  3. Formulation design and photochemical studies on nanocrystal solid dispersion of curcumin with improved oral bioavailability.

    PubMed

    Onoue, Satomi; Takahashi, Haruki; Kawabata, Yohei; Seto, Yoshiki; Hatanaka, Junya; Timmermann, Barbara; Yamada, Shizuo

    2010-04-01

    Considerable interest has been focused on curcumin due to its use to treat a wide variety of disorders, however, the therapeutic potential of curcumin could often be limited by its poor solubility, bioavailability, and photostability. To overcome these drawbacks, efficacious formulations of curcumin, including nanocrystal solid dispersion (CSD-Cur), amorphous solid dispersion (ASD-Cur), and nanoemulsion (NE-Cur), were designed with the aim of improving physicochemical and pharmacokinetic properties. Physicochemical properties of the prepared formulations were characterized by scanning/transmission electron microscope for morphological analysis, laser diffraction, and dynamic light scattering for particle size analysis, and polarized light microscope, powder X-ray diffraction and differential scanning calorimetry for crystallinity assessment. In dissolution tests, all curcumin formulations exhibited marked improvement in the dissolution behavior when compared with crystalline curcumin. Significant improvement in pharmacokinetic behavior was observed in the newly developed formulations, as evidenced by 12- (ASD-Cur), 16- (CSD-Cur), and 9-fold (NE-Cur) increase of oral bioavailability. Upon photochemical characterization, curcumin was found to be photoreactive and photodegradable in the solution state, possibly via type 2 photochemical reaction, whereas high photochemical stability was seen in the solid formulations, especially CSD-Cur. On the basis of these observations, taken together with dissolution and pharmacokinetic behaviors, CSD strategy would be efficacious to enhance bioavailability of curcumin with high photochemical stability. 2009 Wiley-Liss, Inc. and the American Pharmacists Association

  4. Nanoparticle-based etching of silicon surfaces

    DOEpatents

    Branz, Howard [Boulder, CO; Duda, Anna [Denver, CO; Ginley, David S [Evergreen, CO; Yost, Vernon [Littleton, CO; Meier, Daniel [Atlanta, GA; Ward, James S [Golden, CO

    2011-12-13

    A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.

  5. Etching method for photoresists or polymers

    NASA Technical Reports Server (NTRS)

    Lerner, Narcinda R. (Inventor); Wydeven, Theodore J., Jr. (Inventor)

    1991-01-01

    A method for etching or removing polymers, photoresists, and organic contaminants from a substrate is disclosed. The method includes creating a more reactive gas species by producing a plasma discharge in a reactive gas such as oxygen and contacting the resulting gas species with a sacrificial solid organic material such as polyethylene or polyvinyl fluoride, reproducing a highly reactive gas species, which in turn etches the starting polymer, organic contaminant, or photoresist. The sample to be etched is located away from the plasma glow discharge region so as to avoid damaging the substrate by exposure to high energy particles and electric fields encountered in that region. Greatly increased etching rates are obtained. This method is highly effective for etching polymers such as polyimides and photoresists that are otherwise difficult or slow to etch downstream from an electric discharge in a reactive gas.

  6. Anisotropic Etching Using Reactive Cluster Beams

    NASA Astrophysics Data System (ADS)

    Koike, Kunihiko; Yoshino, Yu; Senoo, Takehiko; Seki, Toshio; Ninomiya, Satoshi; Aoki, Takaaki; Matsuo, Jiro

    2010-12-01

    The characteristics of Si etching using nonionic cluster beams with highly reactive chlorine-trifluoride (ClF3) gas were examined. An etching rate of 40 µm/min or higher was obtained even at room temperature when a ClF3 molecular cluster was formed and irradiated on a single-crystal Si substrate in high vacuum. The etching selectivity of Si with respect to a photoresist and SiO2 was at least 1:1000. We also succeeded in highly anisotropic etching with an aspect ratio of 10 or higher. Moreover, this etching method has a great advantage of low damage, compared with the conventional plasma process.

  7. Accelerated gas-liquid visible light photoredox catalysis with continuous-flow photochemical microreactors.

    PubMed

    Straathof, Natan J W; Su, Yuanhai; Hessel, Volker; Noël, Timothy

    2016-01-01

    In this protocol, we describe the construction and use of an operationally simple photochemical microreactor for gas-liquid photoredox catalysis using visible light. The general procedure includes details on how to set up the microreactor appropriately with inlets for gaseous reagents and organic starting materials, and it includes examples of how to use it to achieve continuous-flow preparation of disulfides or trifluoromethylated heterocycles and thiols. The reported photomicroreactors are modular, inexpensive and can be prepared rapidly from commercially available parts within 1 h even by nonspecialists. Interestingly, typical reaction times of gas-liquid visible light photocatalytic reactions performed in microflow are lower (in the minute range) than comparable reactions performed as a batch process (in the hour range). This can be attributed to the improved irradiation efficiency of the reaction mixture and the enhanced gas-liquid mass transfer in the segmented gas-liquid flow regime.

  8. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching.

    PubMed

    Chen, Limei; Deming, Christopher P; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-08-14

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.

  9. Photochemical Modeling Applications

    EPA Pesticide Factsheets

    Provides access to modeling applications involving photochemical models, including modeling of ozone, particulate matter (PM), and mercury for national and regional EPA regulations such as the Clean Air Interstate Rule (CAIR) and the Clean Air Mercury Rule

  10. A junction-level optoelectronic characterization of etching-induced damage for third-generation HgCdTe infrared focal-plane array photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Peng; Wang, Yueming; Wu, Mingzai; Ye, Zhenhua

    2018-06-01

    Third-generation HgCdTe-based infrared focal plane arrays require high aspect ratio trenches with admissible etch induced damage at the surface and sidewalls for effectively isolating the pixels. In this paper, the high-density inductively coupled plasma enhanced reaction ion etching technique has been used for micro-mesa delineation of HgCdTe for third-generation infrared focal-plane array detectors. A nondestructive junction-level optoelectronic characterization method called laser beam induced current (LBIC) is used to evaluate the lateral junction extent of HgCdTe etch-induced damage scanning electron microscopy. It is found that the LBIC profiles exhibit evident double peaks and valleys phenomena. The lateral extent of etch induced mesa damage of ∼2.4 μm is obtained by comparing the LBIC profile and the scanning electron microscopy image of etched sample. This finding will guide us to nondestructively identify the distributions of the etching damages in large scale HgCdTe micro-mesa.

  11. Photochemical transformation of azoxystrobin in aqueous solutions.

    PubMed

    Boudina, A; Emmelin, C; Baaliouamer, A; Païssé, O; Chovelon, J M

    2007-07-01

    The photochemical behaviour of azoxystrobin fungicide (AZX) in water was studied under laboratory conditions. Photodegradation was initiated using a solar simulator (xenon arc lamp) or a jacketed Pyrex reaction cell equipped with a 125 W, high-pressure mercury lamp. HPLC/MS analysis (APCI and ESI in positive and negative modes) was used to identify AZX photoproducts. The calculated polychromatic quantum efficiencies (phi) of AZX at pH 4.5, 7 and 9 were 5.42 x 10(-3), 3.47 x 10(-3) and 3.06 x 10(-3) (degraded molecules per absorbed photon), respectively. The relatively narrow range of values indicates the stability of AZX with respect to photodegradation in the studied pH range. Results from the HPLC/MS analysis suggest that the phototransformation of AZX proceeds via multiple, parallel reaction pathways including: (1) photo-isomerization (E-->Z), (2) photo-hydrolysis of the methyl ester and of the nitrile group, (3) cleavage of the acrylate double bond, (4) photohydrolytic ether cleavage between the aromatic ring giving phenol, and (5) oxidative cleavage of the acrylate double bond.

  12. Alternating SiCl4/O2 passivation steps with SF6 etch steps for silicon deep etching

    NASA Astrophysics Data System (ADS)

    Duluard, C. Y.; Ranson, P.; Pichon, L. E.; Pereira, J.; Oubensaid, E. H.; Lefaucheux, P.; Puech, M.; Dussart, R.

    2011-06-01

    Deep etching of silicon has been investigated in an inductively coupled plasma etch reactor using short SiCl4/O2 plasma steps to passivate the sidewalls of the etched structures. A study was first carried out to define the appropriate parameters to create, at a substrate temperature of -20 °C, a passivation layer by SiCl4/O2 plasma that resists lateral chemical etching in SF6 plasma. The most efficient passivation layer was obtained for a SiCl4/O2 gas flow ratio of 2:1, a pressure of 1 Pa and a source power of 1000 W. Ex situ analyses on a film deposited with these parameters show that it is very rich in oxygen. Silicon etching processes that alternate SF6 plasma etch steps with SiCl4/O2 plasma passivation steps were then developed. Preliminary tests in pulsed-mode conditions have enabled etch rates greater than 2 µm min-1 with selectivities higher than 220. These results show that it is possible to develop a silicon deep etching process at substrate temperatures around -20 °C that uses low SiCl4 and O2 gas flows instead of conventional fluorocarbon gases for sidewall protection.

  13. Effect of additional etching and ethanol-wet bonding on the dentin bond strength of one-step self-etch adhesives

    PubMed Central

    Ahn, Joonghee; Jung, Kyoung-Hwa; Son, Sung-Ae; Hur, Bock; Kwon, Yong-Hoon

    2015-01-01

    Objectives This study examined the effects of additional acid etching on the dentin bond strength of one-step self-etch adhesives with different compositions and pH. The effect of ethanol wetting on etched dentin bond strength of self-etch adhesives was also evaluated. Materials and Methods Forty-two human permanent molars were classified into 21 groups according to the adhesive types (Clearfil SE Bond [SE, control]; G-aenial Bond [GB]; Xeno V [XV]; Beauti Bond [BB]; Adper Easy Bond [AE]; Single Bond Universal [SU]; All Bond Universal [AU]), and the dentin conditioning methods. Composite resins were placed on the dentin surfaces, and the teeth were sectioned. The microtensile bond strength was measured, and the failure mode of the fractured specimens was examined. The data were analyzed statistically using two-way ANOVA and Duncan's post hoc test. Results In GB, XV and SE (pH ≤ 2), the bond strength was decreased significantly when the dentin was etched (p < 0.05). In BB, AE and SU (pH 2.4 - 2.7), additional etching did not affect the bond strength (p > 0.05). In AU (pH = 3.2), additional etching increased the bond strength significantly (p < 0.05). When adhesives were applied to the acid etched dentin with ethanol-wet bonding, the bond strength was significantly higher than that of the no ethanol-wet bonding groups, and the incidence of cohesive failure was increased. Conclusions The effect of additional acid etching on the dentin bond strength was influenced by the pH of one-step self-etch adhesives. Ethanol wetting on etched dentin could create a stronger bonding performance of one-step self-etch adhesives for acid etched dentin. PMID:25671215

  14. Solar Photochemical Synthesis: From the Beginnings of Organic Photochemistry to the Solar Manufacturing of Commodity Chemicals.

    PubMed

    Oelgemöller, Michael

    2016-09-14

    Natural sunlight offers a cost-efficient and sustainable energy source for photochemical reactions. In contrast to the lengthy and small-scale "flask in the sun" procedures of the past, modern solar concentrator systems nowadays significantly shorten reaction times and enable technical-scale operations. After a brief historical introduction, this review presents the most important solar reactor types and their successful application in preparative solar syntheses. The examples demonstrate that solar manufacturing of fine chemicals is technically feasible and environmentally sustainable. After over 100 years, Ciamician's prophetic vision of "the photochemistry of the future" as a clean and green manufacturing methodology has yet to be realized. At the same time, his warning "for nature is not in a hurry but mankind is" is still valid today. It is hoped that this review will lead to a renewed interest in this truly enlightening technology, that it will stimulate photochemists and photochemical engineers to "go back to the roots onto the roofs" and that it will ultimately result in industrial applications in the foreseeable future.

  15. Photophysical and Photochemical Properties of Some Fluorescent Derivatives of Vitamin B1

    NASA Astrophysics Data System (ADS)

    Marciniak, B.

    1987-05-01

    Absorption and emission spectra, depopulation kinetics of the lowest excited singlet and triplet states and acid-base equilibria of two fluorescent vitamin B, derivatives, the products I and II of the reaction of N-methylated vitamine B, with cytidine and adenosine, respectively, were investigated. Analysis of the lifetime and quantum yield data indicate that at 77 K emissions are the main processes of deactivation of the S1 and T1 states for the free ion and protonated forms. The pKa values indicate a much higher acidity in the excited singlet and triplet states than in the ground state. I and II undergo very slow photochemical reactions in solution in the presence of oxygen (Φ ~ 10-4).

  16. The photochemical formation and gas-particle partitioning of oxidation products of decamethyl cyclopentasiloxane and decamethyl tetrasiloxane in the atmosphere

    NASA Astrophysics Data System (ADS)

    Chandramouli, Bharadwaj; Kamens, Richard M.

    Decamethyl cyclopentasiloxane (D 5) and decamethyl tetrasiloxane (MD 2M) were injected into a smog chamber containing fine Arizona road dust particles (95% surface area <2.6 μM) and an urban smog atmosphere in the daytime. A photochemical reaction - gas-particle partitioning reaction scheme, was implemented to simulate the formation and gas-particle partitioning of hydroxyl oxidation products of D 5 and MD 2M. This scheme incorporated the reactions of D 5 and MD 2M into an existing urban smog chemical mechanism carbon bond IV and partitioned the products between gas and particle phase by treating gas-particle partitioning as a kinetic process and specifying an uptake and off-gassing rate. A photochemical model PKSS was used to simulate this set of reactions. A Langmuirian partitioning model was used to convert the measured and estimated mass-based partitioning coefficients ( KP) to a molar or volume-based form. The model simulations indicated that >99% of all product silanol formed in the gas-phase partition immediately to particle phase and the experimental data agreed with model predictions. One product, D 4TOH was observed and confirmed for the D 5 reaction and this system was modeled successfully. Experimental data was inadequate for MD 2M reaction products and it is likely that more than one product formed. The model set up a framework into which more reaction and partitioning steps can be easily added.

  17. Optimization of etching and reading procedures for the Autoscan 60 track etch system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McKeever, R.; Devine, R.; Coennen, C.

    1997-02-11

    The Los Alamos National Laboratory is charged with measuring the occupational exposure to radiological workers and contractors throughout the Laboratory, which includes many different sites with multiple and varied radiation fields. Of concern here are the high energy neutrons such as those generated during accelerator operations at Los Alamos Neutron Science Center (LANSCE). In 1993, the Los Alamos National Laboratory purchased an Autoscan 60 automated reader for use with chemically etched CR39 detectors. The dosimeter design employed at LANL uses a plastic, hemispherical case, encompassing a polystyrene pyramidal detector holder. The pyramidal holder supports three detectors at a 35{degree} angle.more » Averaging the results of the three detectors minimizes the angular dependence normally associated with a planar dosimeter. The Autoscan 60 is an automated reading system for use with CR39 chemical etch detectors. The detectors are immersed in an etch solution to enhance the visibility of the damage sites caused by recoil proton impact with the hydrogen atoms in the detector. The authors decided to increase the etch time from six hours to 15 hours, while retaining the 70 C temperature. The reason for the change in the etch is to enhance the sensitivity and precision of the CR39 detector as indicated by this study.« less

  18. Photochemically Synthesized Polyimides

    NASA Technical Reports Server (NTRS)

    Meador, Michael A.; Tyson, Daniel S.

    2008-01-01

    An alternative to the conventional approach to synthesis of polyimides involves the use of single monomers that are amenable to photopolymerization. Heretofore, the synthesis of polyimides has involved multiple-monomer formulations and heating to temperatures that often exceed 250 C. The present alternative approach enables synthesis under relatively mild conditions that can include room temperature. The main disadvantages of the conventional approach are the following: Elevated production temperatures can lead to high production costs and can impart thermal stresses to the final products. If the proportions of the multiple monomeric ingredients in a given batch are not exactly correct, the molecular weight and other physical properties of the final material could be reduced from their optimum or desired values. To be useful in the alternative approach, a monomer must have a molecular structure tailored to exploit Diels-Alder trapping of a photochemically generated ortho-quinodimethane. (In a Diels-Alder reaction, a diene combines with a dienophile to form molecules that contain six-membered rings.) In particular, a suitable monomer (see figure) contains ortho-methylbenzophenone connected to a dienophile (in this case, a maleimide) through a generic spacer group. Irradiation with ultraviolet light gives rise to a photochemical intermediate the aforementioned ortho-quinodimethane from the ortho-methylbenzophenone. This group may react with the dienophile on another such monomer molecule to produce an oligomer that, in turn may react in a stepgrowth manner to produce a polyimide. This approach offers several advantages in addition to those mentioned above: The monomer can be stored for a long time because it remains unreactive until exposed to light. Because the monomer is the only active starting ingredient, there is no need for mixing, no concern for ensuring correct proportions of monomers, and the purity of the final product material is inherently high. The use

  19. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    PubMed

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  20. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  1. Etching Behavior of Aluminum Alloy Extrusions

    NASA Astrophysics Data System (ADS)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  2. Investigation of the photochemical changes of chlorogenic acids induced by ultraviolet light in model systems and in agricultural practice with Stevia rebaudiana cultivation as an example.

    PubMed

    Karaköse, Hande; Jaiswal, Rakesh; Deshpande, Sagar; Kuhnert, Nikolai

    2015-04-08

    Mono- and diacyl chlorogenic acids undergo photochemical trans-cis isomerization under ultraviolet (UV) irradiation. The photochemical equilibrium composition was established for eight selected derivatives. In contrast to all other dicaffeoylquinic acid derivatives, cynarin (1,3-dicaffeoylquinic acid) undergoes a [2 + 2] photochemical cycloaddition reaction, constituting a first example of Schmidt's law in a natural product family. The relevance of photochemical isomerization in agricultural practice was investigated using 120 samples of Stevia rebaudiana leave samples grown under defined cultivation conditions. Ratios of cis to trans chlorogenic acids were determined in leaf samples and correlated with climatic and harvesting conditions. The data indicate a clear correlation between the formation of cis-caffeoyl derivatives and sunshine hours prior to harvesting and illustrate the relevance of UV exposure to plant material affecting its phytochemical composition.

  3. Development of fibrin-free intraocular lens with photochemical surface modification

    NASA Astrophysics Data System (ADS)

    Sato, Yuji; Tanizawa, Katsuya; Anai, Hiroyuki; Sato, Nobuhiro; Sato, Yuki; Ajiki, Tooru; Parel, Jean-Marie; Murahara, Masataka

    2004-07-01

    Having substituted the hydrophilic and hydrophobic groups alternately on the soft acrylic resin intraocular lens (IOL) surface by using an ArF excimer laser and a Xe2 excimer lamp, we have developed the IOL that is free from fibrin. Acrylic resin or PMMA lens has been used as an intraocular lens for 50 years. However, protein and fat are stuck onto the IOL surface after a long implantation, which opacifies the surface (after-cataract). Thus, we designed the micro domain structures of hydrophilic and hydrophobic groups on the IOL surface for fibrin-free. Firstly, the IOL was irradiated with the Xe2 excimer lamp in the presence of perfluoropolyether in order to make it hydrophobic. By this photochemical reaction, the CF3 functional groups were substituted on the IOL surface. Secondly, the ArF laser was projected on the IOL through the mask pattern in reduced size in the presence of water in order to be hydrophilic. With the photochemical reaction, the OH groups were substituted at the part exposed. The fibrin adsorption test of the modified IOL surface was carried out with FT-IR; which revealed that the fibrin-sticking rate of the treated sample has decreased by 23% compared with that of the non-treated sample. As a result, the fibrin-free IOL has been made by modifying the surface of the IOL to have the micro domain structures of the hydrophilic and hydrophobic groups that are arrayed alternately. In conclusion, the ideal intraocular lens has been demonstrated.

  4. Photochemical hole-burned spectra of protonated and deuterated reaction centers of Rhodobacter sphaeroides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lyle, P.A.; Kolaczkowski, S.V.; Small, G.J.

    1993-07-01

    Photochemical hole-burned spectra with improved signal-to-noise ratio ([times]20) are reported for the protonated and deuterated reaction center of the purple bacterium Rhodobacter sphaeroides. Spectra obtained as a function of burn frequency ([omega][sub B]) establish that the lifetime of P870*, the primary electron-donor state, is invariant to location of [omega][sub B] within the inhomogeneous distribution of P870 zero-phonon line transition frequencies. For both the protonated and deuterated RC, which exhibit P870 absorption widths at 4.2 K of only 440 and 420 cm[sup [minus]1], the zero-phonon holes yield a lifetime of 0.93 [+-] 0.10 ps. This lifetime is independent of temperature betweenmore » 1.6 and 8.0 K (range over which the zero-phonon hole could be studied). The invariance of the P870* lifetime to [omega][sub B] and other data indicates that the nonexponential decay of P870* (Vos et al. Proc. Natl. Acad. Sci. U.S.A. 1991, 88, 8885) is due neither to a distribution of values from the electronic coupling matrix element associated with electron transfer, which one might expect from the normal glasslike structural heterogeneity of the RC, nor to gross heterogeneity. The higher quality of the hole spectra has allowed for more stringent testing of the theoretical model previously used to simulate the P870 hole profiles and absorption spectrum. Although the essential findings reported earlier (see, e.g., Reddy et al. Photosyn. Res. 1992, 31, 167) are not altered, it is concluded that the modeling of the distribution of low-frequency phonons (mean frequency approximately 30 cm[sup [minus]1]), which couples to P870*, in terms of a Debye distribution is inadequate. The anomalous low-frequency modes of glasses and polymers are suggested to be important also for proteins. 60 refs., 8 figs., 2 tabs.« less

  5. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    PubMed

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  6. Copper-assisted, anti-reflection etching of silicon surfaces

    DOEpatents

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  7. Investigating the pathway for the photochemical formation of VOCs in presence of an organic monolayer at the air/water interface.

    NASA Astrophysics Data System (ADS)

    Tinel, Liselotte; Rossignol, Stéphanie; Ciuraru, Raluca; George, Christian

    2015-04-01

    Investigating the pathway for the photochemical formation of VOCs in presence of an organic monolayer at the air/water interface. Liselotte Tinel, Stéphanie Rossignol, Raluca Ciuraru and Christian George Université de Lyon, Université Lyon 1, CNRS, UMR5256, IRCELYON, Institut de recherches sur la catalyse et l'environnement de Lyon, Villeurbanne, F-69626, France Recently the surface microlayer (SML) has received growing attention for its role in the deposition and emission of trace gases. This SML is presumably a highly efficient environment for photochemical reactions thanks to its physical and chemical properties, showing enrichment in chromophores [1]. Still, little is known about the possible photochemical processes that could influence the emission and deposition of volatile organic compounds (VOCs) in the SML. A recent study underlines the particularity of the presence of an organic microlayer, showing enhanced formation of peptide bonds at the air-water interface, although this reaction is thermodynamically disfavoured in bulk water [2]. Also, emissions of small gas phase carbonyl compounds formed photochemically by dissolved organic matter have been measured above natural water and glyoxal, for example, measured above the open ocean is thought to be photochemically produced [3, 4]. This study presents the results of a set of laboratory studies set up in order to better understand the role of the SML in the photochemical production of VOCs. Recently, our group has shown the formation of VOCs by light driven reactions in a small quartz reactor (14mL) containing aqueous solutions of humic acids (HA) in the presence of an organic (artificial or natural) microlayer [5]. The main VOCs produced were oxidized species, such as aldehydes, ketones and alcohols, as classically can be expected by the oxidation of the organics present at the interface initiated by triplet excited chromophores present in the HA. But also alkenes, dienes, including isoprene and

  8. Lagrangian photochemical modeling studies of the 1987 Antarctic spring vortex. II - Seasonal trends in ozone

    NASA Technical Reports Server (NTRS)

    Austin, J.; Jones, R. L.; Mckenna, D. S.; Buckland, A. T.; Anderson, J. G.; Fahey, D. W.; Farmer, C. B.; Heidt, L. E.; Proffitt, M. H.; Vedder, J. F.

    1989-01-01

    A photochemical model consisting of 40 species and 107 reactions is integrated along 80-day air parcel trajectories calculated in the lower stratosphere for the springtime Antarctic. For the trajectory starting at 58 deg S, which may be regarded as outside the circumpolar vortex, only a small change in O3 occurs in the model. In contrast, for the air parcel starting in the vortex at 74 deg S, the O3 concentration is reduced by 93 percent during the 80 days from the beginning of August to late October. The model results for several species are compared with measurements from the Airborne Antarctic Ozone Experiment and, in general, good agreement is obtained. In the model, the dentrification of the air parcels in polar stratospheric clouds increases the amount of chlorine present in active form. Heterogeneous reactions maintain high active chlorine which destroys O3 via the formation of the ClO dimer. Results of calculations with reduced concentrations of inorganic chlorine show considerably reduced O3 destruction rates and compare favorably with the behavior of total O3 since the late 1970s. The remaining major uncertainties in the photochemical aspects of the Antarctic ozone hole are highlighted.

  9. Photochemical Hydrogen Doping Induced Embedded Two-Dimensional Metallic Channel Formation in InGaZnO at Room Temperature.

    PubMed

    Kim, Myeong-Ho; Lee, Young-Ahn; Kim, Jinseo; Park, Jucheol; Ahn, Seungbae; Jeon, Ki-Joon; Kim, Jeong Won; Choi, Duck-Kyun; Seo, Hyungtak

    2015-10-27

    The photochemical tunability of the charge-transport mechanism in metal-oxide semiconductors is of great interest since it may offer a facile but effective semiconductor-to-metal transition, which results from photochemically modified electronic structures for various oxide-based device applications. This might provide a feasible hydrogen (H)-radical doping to realize the effectively H-doped metal oxides, which has not been achieved by thermal and ion-implantation technique in a reliable and controllable way. In this study, we report a photochemical conversion of InGaZnO (IGZO) semiconductor to a transparent conductor via hydrogen doping to the local nanocrystallites formed at the IGZO/glass interface at room temperature. In contrast to thermal or ionic hydrogen doping, ultraviolet exposure of the IGZO surface promotes a photochemical reaction with H radical incorporation to surface metal-OH layer formation and bulk H-doping which acts as a tunable and stable highly doped n-type doping channel and turns IGZO to a transparent conductor. This results in the total conversion of carrier conduction property to the level of metallic conduction with sheet resistance of ∼16 Ω/□, room temperature Hall mobility of 11.8 cm(2) V(-1) sec(-1), the carrier concentration at ∼10(20) cm(-3) without any loss of optical transparency. We demonstrated successful applications of photochemically highly n-doped metal oxide via optical dose control to transparent conductor with excellent chemical and optical doping stability.

  10. Graphene nanoribbons: Relevance of etching process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonet, P., E-mail: psimonet@phys.ethz.ch; Bischoff, D.; Moser, A.

    2015-05-14

    Most graphene nanoribbons in the experimental literature are patterned using plasma etching. Various etching processes induce different types of defects and do not necessarily result in the same electronic and structural ribbon properties. This study focuses on two frequently used etching techniques, namely, O{sub 2} plasma ashing and O{sub 2 }+ Ar reactive ion etching (RIE). O{sub 2} plasma ashing represents an alternative to RIE physical etching for sensitive substrates, as it is a more gentle chemical process. We find that plasma ashing creates defective graphene in the exposed trenches, resulting in instabilities in the ribbon transport. These are probably caused bymore » more or larger localized states at the edges of the ashed device compared to the RIE defined device.« less

  11. Photochemical Dual-Catalytic Synthesis of Alkynyl Sulfides.

    PubMed

    Santandrea, Jeffrey; Minozzi, Clémentine; Cruché, Corentin; Collins, Shawn K

    2017-09-25

    A photochemical dual-catalytic cross-coupling to form alkynyl sulfides via C(sp)-S bond formation is described. The cross-coupling of thiols and bromoalkynes is promoted by a soluble organic carbazole-based photocatalyst using continuous flow techniques. Synthesis of alkynyl sulfides bearing a wide range of electronically and sterically diverse aromatic alkynes and thiols can be achieved in good to excellent yields (50-96 %). The simple continuous flow setup also allows for short reaction times (30 min) and high reproducibility on gram scale. In addition, we report the first application of photoredox/nickel dual catalysis towards macrocyclization, as well as the first example of the incorporation of an alkynyl sulfide functional group into a macrocyclic scaffold. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Comparative Evaluation of the Etching Pattern of Er,Cr:YSGG & Acid Etching on Extracted Human Teeth-An ESEM Analysis

    PubMed Central

    Mazumdar, Dibyendu; Ranjan, Shashi; Krishna, Naveen Kumar; Kole, Ravindra; Singh, Priyankar; Lakiang, Deirimika; Jayam, Chiranjeevi

    2016-01-01

    Introduction Etching of enamel and dentin surfaces increases the surface area of the substrate for better bonding of the tooth colored restorative materials. Acid etching is the most commonly used method. Recently, hard tissue lasers have been used for this purpose. Aim The aim of the present study was to evaluate and compare the etching pattern of Er,Cr:YSGG and conventional etching on extracted human enamel and dentin specimens. Materials and Methods Total 40 extracted non-diseased teeth were selected, 20 anterior and 20 posterior teeth each for enamel and dentin specimens respectively. The sectioned samples were polished by 400 grit Silicon Carbide (SiC) paper to a thickness of 1.0 ± 0.5 mm. The enamel and dentin specimens were grouped as: GrE1 & GrD1 as control specimens, GrE2 & GrD2 were acid etched and GrE3 & GrD3 were lased. Acid etching was done using Conditioner 36 (37 % phosphoric acid) according to manufacturer instructions. Laser etching was done using Er,Cr:YSGG (Erbium, Chromium : Ytrium Scandium Gallium Garnet) at power settings of 3W, air 70% and water 20%. After surface treatment with assigned agents the specimens were analyzed under ESEM (Environmental Scanning Electron Microscope) at X1000 and X5000 magnification. Results Chi Square and Student “t” statistical analysis was used to compare smear layer removal and etching patterns between GrE2-GrE3. GrD2 and GrD3 were compared for smear layer removal and diameter of dentinal tubule opening using the same statistical analysis. Chi-square test for removal of smear layer in any of the treated surfaces i.e., GrE2-E3 and GrD2-D3 did not differ significantly (p>0.05). While GrE2 showed predominantly type I etching pattern (Chi-square=2.78, 0.05

    0.10) and GrE3 showed type III etching (Chi-square=4.50, p<0.05). The tubule diameters were measured using GSA (Gesellschaft fur Softwareentwicklung und Analytik, Germany) image analyzer and the ‘t’ value of student ‘t’ test was 18.10 which was a

  13. Photochemical degradation of PCBs in snow.

    PubMed

    Matykiewiczová, Nina; Klánová, Jana; Klán, Petr

    2007-12-15

    This work represents the first laboratory study known to the authors describing photochemical behavior of persistent organic pollutants in snow at environmentally relevant concentrations. The snow samples were prepared by shock freezing of the corresponding aqueous solutions in liquid nitrogen and were UV-irradiated in a photochemical cold chamber reactor at -25 degrees C, in which simultaneous monitoring of snow-air exchange processeswas also possible. The main photodegradation pathway of two model snow contaminants, PCB-7 and PCB-153 (c approximately 100 ng kg(-1)), was found to be reductive dehalogenation. Possible involvement of the water molecules of snow in this reaction has been excluded by performing the photolyses in D2O snow. Instead, trace amounts of volatile organic compounds have been proposed to be the major source of hydrogen atom in the reduction, and this hypothesis was confirmed by the experiments with deuterated organic cocontaminants, such as d6-ethanol or d8-tetrahydrofuran. It is argued that bimolecular photoreduction of PCBs was more efficient or feasible than any other phototransformations under the experimental conditions used, including the coupling reactions. The photodegradation of PCBs, however, competed with a desorption process responsible for the pollutant loss from the snow samples, especially in case of lower molecular-mass congeners. Organic compounds, apparently largely located or photoproduced on the surface of snow crystals, had a predisposition to be released to the air but, at the same time, to react with other species in the gas phase. It is concluded that physicochemical properties of the contaminants and trace co-contaminants, their location and local concentrations in the matrix, and the wavelength and intensity of radiation are the most important factors in the evaluation of organic contaminants' lifetime in snow. Based on the results, it has been estimated that the average lifetime of PCBs in surface snow, connected

  14. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    PubMed

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  15. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    NASA Astrophysics Data System (ADS)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  16. Overview of atomic layer etching in the semiconductor industry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article providesmore » defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.« less

  17. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    PubMed

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  18. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    PubMed Central

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P < 0.0001 and P < 0.0001). When enamel and dentin interfaces were evaluated separately, (1) for the Self-Etch adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P < 0.0001); (2) for the Etch and Rinse adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  19. Mechanisms of Hydrocarbon Based Polymer Etch

    NASA Astrophysics Data System (ADS)

    Lane, Barton; Ventzek, Peter; Matsukuma, Masaaki; Suzuki, Ayuta; Koshiishi, Akira

    2015-09-01

    Dry etch of hydrocarbon based polymers is important for semiconductor device manufacturing. The etch mechanisms for oxygen rich plasma etch of hydrocarbon based polymers has been studied but the mechanism for lean chemistries has received little attention. We report on an experimental and analytic study of the mechanism for etching of a hydrocarbon based polymer using an Ar/O2 chemistry in a single frequency 13.56 MHz test bed. The experimental study employs an analysis of transients from sequential oxidation and Ar sputtering steps using OES and surface analytics to constrain conceptual models for the etch mechanism. The conceptual model is consistent with observations from MD studies and surface analysis performed by Vegh et al. and Oehrlein et al. and other similar studies. Parameters of the model are fit using published data and the experimentally observed time scales.

  20. Applying green chemistry to the photochemical route to artemisinin

    NASA Astrophysics Data System (ADS)

    Amara, Zacharias; Bellamy, Jessica F. B.; Horvath, Raphael; Miller, Samuel J.; Beeby, Andrew; Burgard, Andreas; Rossen, Kai; Poliakoff, Martyn; George, Michael W.

    2015-06-01

    Artemisinin is an important antimalarial drug, but, at present, the environmental and economic costs of its semi-synthetic production are relatively high. Most of these costs lie in the final chemical steps, which follow a complex acid- and photo-catalysed route with oxygenation by both singlet and triplet oxygen. We demonstrate that applying the principles of green chemistry can lead to innovative strategies that avoid many of the problems in current photochemical processes. The first strategy combines the use of liquid CO2 as solvent and a dual-function solid acid/photocatalyst. The second strategy is an ambient-temperature reaction in aqueous mixtures of organic solvents, where the only inputs are dihydroartemisinic acid, O2 and light, and the output is pure, crystalline artemisinin. Everything else—solvents, photocatalyst and aqueous acid—can be recycled. Some aspects developed here through green chemistry are likely to have wider application in photochemistry and other reactions.

  1. Applying green chemistry to the photochemical route to artemisinin.

    PubMed

    Amara, Zacharias; Bellamy, Jessica F B; Horvath, Raphael; Miller, Samuel J; Beeby, Andrew; Burgard, Andreas; Rossen, Kai; Poliakoff, Martyn; George, Michael W

    2015-06-01

    Artemisinin is an important antimalarial drug, but, at present, the environmental and economic costs of its semi-synthetic production are relatively high. Most of these costs lie in the final chemical steps, which follow a complex acid- and photo-catalysed route with oxygenation by both singlet and triplet oxygen. We demonstrate that applying the principles of green chemistry can lead to innovative strategies that avoid many of the problems in current photochemical processes. The first strategy combines the use of liquid CO2 as solvent and a dual-function solid acid/photocatalyst. The second strategy is an ambient-temperature reaction in aqueous mixtures of organic solvents, where the only inputs are dihydroartemisinic acid, O2 and light, and the output is pure, crystalline artemisinin. Everything else-solvents, photocatalyst and aqueous acid-can be recycled. Some aspects developed here through green chemistry are likely to have wider application in photochemistry and other reactions.

  2. Semiconductor etching by hyperthermal neutral beams

    NASA Technical Reports Server (NTRS)

    Minton, Timothy K. (Inventor); Giapis, Konstantinos P. (Inventor)

    1999-01-01

    An at-least dual chamber apparatus and method in which high flux beams of fast moving neutral reactive species are created, collimated and used to etch semiconductor or metal materials from the surface of a workpiece. Beams including halogen atoms are preferably used to achieve anisotropic etching with good selectivity at satisfactory etch rates. Surface damage and undercutting are minimized.

  3. Photochemical organonitrate formation in wet aerosols

    NASA Astrophysics Data System (ADS)

    Lim, Yong Bin; Kim, Hwajin; Kim, Jin Young; Turpin, Barbara J.

    2016-10-01

    Water is the most abundant component of atmospheric fine aerosol. However, despite rapid progress, multiphase chemistry involving wet aerosols is still poorly understood. In this work, we report results from smog chamber photooxidation of glyoxal- and OH-containing ammonium sulfate or sulfuric acid particles in the presence of NOx and O3 at high and low relative humidity. Particles were analyzed using ultra-performance liquid chromatography coupled to quadrupole time-of-flight mass spectrometry (UPLC-Q-TOF-MS). During the 3 h irradiation, OH oxidation products of glyoxal that are also produced in dilute aqueous solutions (e.g., oxalic acids and tartaric acids) were formed in both ammonium sulfate (AS) aerosols and sulfuric acid (SA) aerosols. However, the major products were organonitrogens (CHNO), organosulfates (CHOS), and organonitrogen sulfates (CHNOS). These were also the dominant products formed in the dark chamber, indicating non-radical formation. In the humid chamber (> 70 % relative humidity, RH), two main products for both AS and SA aerosols were organonitrates, which appeared at m / z- 147 and 226. They were formed in the aqueous phase via non-radical reactions of glyoxal and nitric acid, and their formation was enhanced by photochemistry because of the photochemical formation of nitric acid via reactions of peroxy radicals, NOx and OH during the irradiation.

  4. The Hammett relationship and reactions in the excited electronic state: hemithioindigo Z/E-photoisomerization.

    PubMed

    Cordes, Thorben; Schadendorf, Torsten; Priewisch, Beate; Rück-Braun, Karola; Zinth, Wolfgang

    2008-01-31

    The photochemical reaction dynamics of a set of photochromic compounds based on thioindigo and stilbene molecular parts (hemithioindigos, HTI) are presented. Photochemical Z/E isomerization around the central double bond occurs with time constants of 216 ps (Z --> E) and 10 ps (E --> Z) for a 5-methyl-hemithioindigo. Chemical substitution on the stilbene moiety causes unusually strong changes in the reaction rate. Electron-donating substituents in the position para to the central double bond (e.g., para-methoxy) strongly accelerate the reaction, while the reaction is drastically slowed by electron-withdrawing groups in this position (e.g., para-nitrile). We correlate the experimental data of seven HTI-compounds in a quantitative manner using the Hammett equation and present a qualitative explanation for the application of ground-state Hammett constants to describe the photoisomerization reaction.

  5. Trends in Dielectric Etch for Microelectronics Processing

    NASA Astrophysics Data System (ADS)

    Hudson, Eric A.

    2003-10-01

    Dielectric etch technology faces many challenges to meet the requirements for leading-edge microelectronics processing. The move to sub 100-nm device design rules increases the aspect ratios of certain features, imposes tighter restrictions on etched features' critical dimensions, and increases the density of closely packed arrays of features. Changes in photolithography are driving transitions to new photoresist materials and novel multilayer resist methods. The increasing use of copper metallization and low-k interlayer dielectric materials has introduced dual-damascene integration methods, with specialized dielectric etch applications. A common need is the selective removal of multiple layers which have very different compositions, while maintaining close control of the etched features' profiles. To increase productivity, there is a growing trend toward in-situ processing, which allows several films to be successively etched during a single pass through the process module. Dielectric etch systems mainly utilize capacitively coupled etch reactors, operating with medium-density plasmas and low gas residence time. Commercial technology development increasingly relies upon plasma diagnostics and modeling to reduce development cycle time and maximize performance.

  6. Rapid In Situ Profiling of Lipid C═C Location Isomers in Tissue Using Ambient Mass Spectrometry with Photochemical Reactions.

    PubMed

    Tang, Fei; Guo, Chengan; Ma, Xiaoxiao; Zhang, Jian; Su, Yuan; Tian, Ran; Shi, Riyi; Xia, Yu; Wang, Xiaohao; Ouyang, Zheng

    2018-05-01

    Rapid and in situ profiling of lipids using ambient mass spectrometry (AMS) techniques has great potential for clinical diagnosis, biological studies, and biomarker discovery. In this study, the online photochemical reaction involving carbon-carbon double bonds was coupled with a surface sampling technique to develop a direct tissue-analysis method with specificity to lipid C═C isomers. This method enabled the in situ analysis of lipids from the surface of various tissues or tissue sections, which allowed the structural characterization of lipid isomers within 2 min. Under optimized reaction conditions, we have established a method for the relative quantitation of lipid C═C location isomers by comparing the abundances of the diagnostic ions arising from each isomer, which has been proven effective through the established linear relationship ( R 2 = 0.999) between molar ratio and diagnostic ion ratio of the FA 18:1 C═C location isomers. This method was then used for the rapid profiling of unsaturated lipid C═C isomers in the sections of rat brain, lung, liver, spleen, and kidney, as well as in normal and diseased rat tissues. Quantitative information on FA 18:1 and PC 16:0-18:1 C═C isomers was obtained, and significant differences were observed between different samples. To the best of our knowledge, this is the first study to report the direct analysis of lipid C═C isomers in tissues using AMS. Our results demonstrated that this method can serve as a rapid analytical approach for the profiling of unsaturated lipid C═C isomers in biological tissues and should contribute to functional lipidomics and clinical diagnosis.

  7. Photochemical conversion of tin-oxo cage compounds studied using hard x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Zhang, Yu; Haitjema, Jarich; Liu, Xiaomeng; Johansson, Fredrik; Lindblad, Andreas; Castellanos, Sonia; Ottosson, Niklas; Brouwer, Albert M.

    2017-03-01

    Several metal-containing molecular inorganic materials are currently considered as photoresists for extreme ultraviolet lithography (EUVL). This is primarily due to their high EUV absorption cross section and small building block size, properties which potentially allow both high sensitivity and resolution as well as low line-edge roughness. The photochemical reaction mechanisms that allow these kinds of materials to function as photoresists, however, are still poorly understood. As a step in this direction, we here discuss photochemical reactions upon deep UV (DUV) irradiation of a model negative-tone EUV photoresist material, namely the well-defined molecular tin-oxo cage compound [(SnR)12O14(OH)6]X2 (R = organic group; X = anion) which is spin coated to thin layers of 20 nm. The core electronic structure (Sn 3d, O 1s and C 1s) of fresh and DUV exposed films were then investigated using synchrotron radiationbased hard X-ray photoelectron spectroscopy (HAXPES). This method provides information about the structure and chemical state of the respective atoms in the material. We performed a comparative HAXPES study of the composition of the tin-oxo cage compound [(SnR)12O14(OH)6](OH)2, either fresh directly after spin-coated vs. DUV-exposed materials under either ambient condition or under a dry N2 atmosphere. Different chemical oxidation states and concentrations of atoms and atom types in the fresh and exposed films were found. We further found that the chemistry resulting from exposure in air and N2 is strikingly different, clearly illustrating the influence of film-gas interactions on the (photo)chemical processes that eventually determine the photoresist. Finally, a mechanistic hypothesis for the basic DUV photoreactions in molecular tin-oxo cages is proposed.

  8. Laser-enhanced chemical reactions and the liquid state. II. Possible applications to nuclear fuel reprocessing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DePoorter, G.L.; Rofer-DePoorter, C.K.

    1976-01-01

    Laser photochemistry is surveyed as a possible improvement upon the Purex process for reprocessing spent nuclear fuel. Most of the components of spent nuclear fuel are photochemically active, and lasers can be used to selectively excite individual chemical species. The great variety of chemical species present and the degree of separation that must be achieved present difficulties in reprocessing. Lasers may be able to improve the necessary separations by photochemical reaction or effects on rates and equilibria of reactions. (auth)

  9. Determination of total fluoride in HF/HNO3/H2SiF6 etch solutions by new potentiometric titration methods.

    PubMed

    Weinreich, Wenke; Acker, Jörg; Gräber, Iris

    2007-03-30

    In the photovoltaic industry the etching of silicon in HF/HNO(3) solutions is a decisive process for cleaning wafer surfaces or to produce certain surface morphologies like polishing or texturization. With regard to cost efficiency, a maximal utilisation of etch baths in combination with highest quality and accuracy is strived. To provide an etch bath control realised by a replenishment with concentrated acids the main constituents of these HF/HNO(3) etch solutions including the reaction product H(2)SiF(6) have to be analysed. Two new methods for the determination of the total fluoride content in an acidic etch solution based on the precipitation titration with La(NO(3))(3) are presented within this paper. The first method bases on the proper choice of the reaction conditions, since free fluoride ions have to be liberated from HF and H(2)SiF(6) at the same time to be detected by a fluoride ion-selective electrode (F-ISE). Therefore, the sample is adjusted to a pH of 8 for total cleavage of the SiF(6)(2-) anion and titrated in absence of buffers. In a second method, the titration with La(NO(3))(3) is followed by a change of the pH-value using a HF resistant glass-electrode. Both methods provide consistent values, whereas the analysis is fast and accurate, and thus, applicable for industrial process control.

  10. Triangle pore arrays fabricated on Si (111) substrate by sphere lithography combined with metal-assisted chemical etching and anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Asoh, Hidetaka; Fujihara, Kosuke; Ono, Sachiko

    2012-07-01

    The morphological change of silicon macropore arrays formed by metal-assisted chemical etching using shape-controlled Au thin film arrays was investigated during anisotropic chemical etching in tetramethylammonium hydroxide (TMAH) aqueous solution. After the deposition of Au as the etching catalyst on (111) silicon through a honeycomb mask prepared by sphere lithography, the specimens were etched in a mixed solution of HF and H2O2 at room temperature, resulting in the formation of ordered macropores in silicon along the [111] direction, which is not achievable by conventional chemical etching without a catalyst. In the anisotropic etching in TMAH, the macropores changed from being circular to being hexagonal and finally to being triangular, owing to the difference in etching rate between the crystal planes.

  11. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  12. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    NASA Astrophysics Data System (ADS)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  13. High density plasma etching of magnetic devices

    NASA Astrophysics Data System (ADS)

    Jung, Kee Bum

    Magnetic materials such as NiFe (permalloy) or NiFeCo are widely used in the data storage industry. Techniques for submicron patterning are required to develop next generation magnetic devices. The relative chemical inertness of most magnetic materials means they are hard to etch using conventional RIE (Reactive Ion Etching). Therefore ion milling has generally been used across the industry, but this has limitations for magnetic structures with submicron dimensions. In this dissertation, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma) for the etching of magnetic materials (NiFe, NiFeCo, CoFeB, CoSm, CoZr) and other related materials (TaN, CrSi, FeMn), which are employed for magnetic devices like magnetoresistive random access memories (MRAM), magnetic read/write heads, magnetic sensors and microactuators. This research examined the fundamental etch mechanisms occurring in high density plasma processing of magnetic materials by measuring etch rate, surface morphology and surface stoichiometry. However, one concern with using Cl2-based plasma chemistry is the effect of residual chlorine or chlorinated etch residues remaining on the sidewalls of etched features, leading to a degradation of the magnetic properties. To avoid this problem, we employed two different processing methods. The first one is applying several different cleaning procedures, including de-ionized water rinsing or in-situ exposure to H2, O2 or SF6 plasmas. Very stable magnetic properties were achieved over a period of ˜6 months except O2 plasma treated structures, with no evidence of corrosion, provided chlorinated etch residues were removed by post-etch cleaning. The second method is using non-corrosive gas chemistries such as CO/NH3 or CO2/NH3. There is a small chemical contribution to the etch mechanism (i.e. formation of metal carbonyls) as determined by a comparison with Ar and N2 physical sputtering. The discharge should be NH3

  14. Versatile control of metal-assisted chemical etching for vertical silicon microwire arrays and their photovoltaic applications

    PubMed Central

    Um, Han-Don; Kim, Namwoo; Lee, Kangmin; Hwang, Inchan; Hoon Seo, Ji; Yu, Young J.; Duane, Peter; Wober, Munib; Seo, Kwanyong

    2015-01-01

    A systematic study was conducted into the use of metal-assisted chemical etching (MacEtch) to fabricate vertical Si microwire arrays, with several models being studied for the efficient redox reaction of reactants with silicon through a metal catalyst by varying such parameters as the thickness and morphology of the metal film. By optimizing the MacEtch conditions, high-quality vertical Si microwires were successfully fabricated with lengths of up to 23.2 μm, which, when applied in a solar cell, achieved a conversion efficiency of up to 13.0%. These solar cells also exhibited an open-circuit voltage of 547.7 mV, a short-circuit current density of 33.2 mA/cm2, and a fill factor of 71.3% by virtue of the enhanced light absorption and effective carrier collection provided by the Si microwires. The use of MacEtch to fabricate high-quality Si microwires therefore presents a unique opportunity to develop cost-effective and highly efficient solar cells. PMID:26060095

  15. Investigation of Nitride Morphology After Self-Aligned Contact Etch

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Keil, J.; Helmer, B. A.; Chien, T.; Gopaladasu, P.; Kim, J.; Shon, J.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Self-Aligned Contact (SAC) etch has emerged as a key enabling technology for the fabrication of very large-scale memory devices. However, this is also a very challenging technology to implement from an etch viewpoint. The issues that arise range from poor oxide etch selectivity to nitride to problems with post etch nitride surface morphology. Unfortunately, the mechanisms that drive nitride loss and surface behavior remain poorly understood. Using a simple langmuir site balance model, SAC nitride etch simulations have been performed and compared to actual etched results. This approach permits the study of various etch mechanisms that may play a role in determining nitride loss and surface morphology. Particle trajectories and fluxes are computed using Monte-Carlo techniques and initial data obtained from double Langmuir probe measurements. Etched surface advancement is implemented using a shock tracking algorithm. Sticking coefficients and etch yields are adjusted to obtain the best agreement between actual etched results and simulated profiles.

  16. Photochemical reduction of cytochrome c by a 1,4,5,8-naphthalenediimide radical anion.

    PubMed

    Campos, Ivana B; Nantes, Iseli L; Politi, Mario J; Brochsztain, Sergio

    2004-01-01

    Steady-state UV irradiation of aqueous solutions containing cytochrome c (cyt c) and N,N'-bis(2-phosphonoethyl)-1,4,5,8-naphthalenediimide (BPNDI), a water-soluble aromatic imide, resulted in the reduction of the heme iron from the Fe(III) to the Fe(II) oxidation state. The reaction kinetics were followed by the increase of the ferrocytochrome c absorbance band at 549 nm. The rate of the photochemical reaction was pH dependent, reaching its maximum values over the pH range 4-7. Addition of electrolyte (NaCl) at pH 5 resulted in a decrease in the reaction rate, as expected for reactions between oppositely charged species. Flash photolysis studies revealed that the actual reductant in the reaction was a photogenerated BPNDI radical anion, which transferred an electron to the cyt c heme iron. The participation of imide radicals in the process was confirmed by the ready reduction of cyt c by BPNDI radicals chemically generated with sodium dithionite.

  17. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  18. Diagnostic for Plasma Enhanced Chemical Vapor Deposition and Etch Systems

    NASA Technical Reports Server (NTRS)

    Cappelli, Mark A.

    1999-01-01

    In order to meet NASA's requirements for the rapid development and validation of future generation electronic devices as well as associated materials and processes, enabling technologies ion the processing of semiconductor materials arising from understanding etch chemistries are being developed through a research collaboration between Stanford University and NASA-Ames Research Center, Although a great deal of laboratory-scale research has been performed on many of materials processing plasmas, little is known about the gas-phase and surface chemical reactions that are critical in many etch and deposition processes, and how these reactions are influenced by the variation in operating conditions. In addition, many plasma-based processes suffer from stability and reliability problems leading to a compromise in performance and a potentially increased cost for the semiconductor manufacturing industry. Such a lack of understanding has hindered the development of process models that can aid in the scaling and improvement of plasma etch and deposition systems. The research described involves the study of plasmas used in semiconductor processes. An inductively coupled plasma (ICP) source in place of the standard upper electrode assembly of the Gaseous Electronics Conference (GEC) radio-frequency (RF) Reference Cell is used to investigate the discharge characteristics and chemistries. This ICP source generates plasmas with higher electron densities (approximately 10(exp 12)/cu cm) and lower operating pressures (approximately 7 mTorr) than obtainable with the original parallel-plate version of the GEC Cell. This expanded operating regime is more relevant to new generations of industrial plasma systems being used by the microelectronics industry. The motivation for this study is to develop an understanding of the physical phenomena involved in plasma processing and to measure much needed fundamental parameters, such as gas-phase and surface reaction rates. species

  19. Porous silicon formation during Au-catalyzed etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Algasinger, Michael; Bernt, Maximilian; Koynov, Svetoslav

    2014-04-28

    The formation of “black” nano-textured Si during the Au-catalyzed wet-chemical etch process was investigated with respect to photovoltaic applications. Cross-sectional scanning electron microscopy (SEM) images recorded at different stages of the etch process exhibit an evolution of a two-layer structure, consisting of cone-like Si hillocks covered with a nano-porous Si (np-Si) layer. Optical measurements confirm the presence of a np-Si phase which appears after the first ∼10 s of the etch process and continuously increases with the etch time. Furthermore, the etch process was investigated on Si substrates with different doping levels (∼0.01–100 Ω cm). SEM images show a transition frommore » the two-layer morphology to a structure consisting entirely of np-Si for higher doping levels (<0.1 Ω cm). The experimental results are discussed on the basis of the model of a local electrochemical etch process. A better understanding of the metal-catalyzed etch process facilitates the fabrication of “black” Si on various Si substrates, which is of significant interest for photovoltaic applications.« less

  20. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    NASA Technical Reports Server (NTRS)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  1. A miniature extrinsic fiber Fabry-Perot pressure sensor based on fiber etching

    NASA Astrophysics Data System (ADS)

    Ge, Yixian; Wang, Ming; Yang, Chundi

    2009-10-01

    This paper presents a miniature fiber optic pressure sensor based on Fabry-Perot interference fabricated on the tip of a single mode (SM) fiber. The sensor measures only 125μm in diameter. A Fabry-Perot cavity and a thin silica diaphragm are fabricated by simple techniques involving only fusion splicing, cleaving, and wet chemical etching. Interference pattern of the sensor is analyzed and issues in sensor design are discussed. The overall chemical reaction of the fiber wet etching is specifically represented. Pressure testing system is carried out. By tracing a peak point in the interference spectrum, the gap length of the sensor can be demodulated. The sensor is made entirely of fused silica, whose structure has good stability, cabinet, simple for fabrication and low cost. It may also find uses in medical applications.

  2. A miniature extrinsic fiber Fabry-Perot pressure sensor based on fiber etching

    NASA Astrophysics Data System (ADS)

    Ge, Yixian; Zhou, Junping; Wang, Tingting

    2011-11-01

    A miniature fiber optic pressure sensor based on Fabry-Perot interference fabricated on the tip of a single mode (SM) fiber is presented. The sensor measures only 125μm in diameter. A Fabry-Perot cavity and a thin silica diaphragm are fabricated by simple techniques involving only cleaving, wet chemical etching and fusion splicing. Interference pattern of the sensor is analyzed and issues in sensor design are discussed. The overall chemical reaction of the fiber wet etching is specifically represented. Pressure testing system is carried out. By tracing a peak point in the interference spectrum, the gap length of the sensor can be demodulated. Experimental results show the sensor has a good linearity. The sensor is made entirely of fused silica, whose structure has good stability, cabinet, simple for fabrication and low cost.

  3. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    PubMed

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  4. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    NASA Astrophysics Data System (ADS)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  5. EMERGING TECHNOLOGY PROJECT BULLETIN: LASER INDUCED PHOTOCHEMICAL OXIDATIVE DESTRUCTION

    EPA Science Inventory

    The process developed by Energy and Environmental Engineering, Incorporated, is designed to photochemically oxidize organic compounds in wastewater by applying ultraviolet radiation using an Excimer laser. The photochemical reactor can destroy low to moderate concentrations...

  6. Holographic interferometry imaging monitoring of photodynamic (PDT) reactions in gelatin biophantom

    NASA Astrophysics Data System (ADS)

    Davidenko, N.; Mahdi, H.; Zheng, X.; Davidenko, I.; Pavlov, V.; Kuranda, N.; Chuprina, N.; Studzinsky, S.; Pandya, A.; Karia, H.; Tajouri, S.; Dervenis, M.; Gergely, C.; Douplik, A.

    2018-01-01

    Heat and photochemical reactions with human hemoglobin and photosensitizer were monitored by holography interference method in gelatin phantom. The method has successfully facilitated monitoring the reactions as a highresolution refraction index mapping in real time video regime. Methylene Blue was exploited as a photosensitizer.

  7. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  8. Micro/nanofabrication of poly({sub L}-lactic acid) using focused ion beam direct etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa; Nagasawa, Naotsugu; Taguchi, Mitsumasa

    2013-10-14

    Micro/nanofabrication of biocompatible and biodegradable poly({sub L}-lactic acid) (PLLA) using focused Ga ion beam direct etching was evaluated for future bio-device applications. The fabrication performance was determined with different ion fluences and fluxes (beam currents), and it was found that the etching speed and fabrication accuracy were affected by irradiation-induced heat. Focused ion beam (FIB)-irradiated surfaces were analyzed using micro-area X-ray photoelectron spectroscopy. Owing to reactions such as the physical sputtering of atoms and radiation-induced decomposition, PLLA was gradually carbonized with increasing C=C bonds. Controlled micro/nanostructures of PLLA were fabricated with C=C bond-rich surfaces expected to have good cell attachmentmore » properties.« less

  9. Selective etching of silicon carbide films

    DOEpatents

    Gao, Di; Howe, Roger T.; Maboudian, Roya

    2006-12-19

    A method of etching silicon carbide using a nonmetallic mask layer. The method includes providing a silicon carbide substrate; forming a non-metallic mask layer by applying a layer of material on the substrate; patterning the mask layer to expose underlying areas of the substrate; and etching the underlying areas of the substrate with a plasma at a first rate, while etching the mask layer at a rate lower than the first rate.

  10. Tridimensional morphology and kinetics of etch pit on the {l_brace}0 0 0 1{r_brace} plane of sapphire crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang Lunyong; Sun Jianfei, E-mail: jfsun_hit@263.net; Zuo Hongbo

    2012-08-15

    The tridimensional morphology and etching kinetics of the etch pit on the C-{l_brace}0 0 0 1{r_brace} plane of sapphire crystal ({alpha}-Al{sub 2}O{sub 3}) in molten KOH were studied experimentally. It was shown that the etch pit takes on tridimensional morphologies with triangular symmetry same as the symmetric property of the sapphire crystal. Pits like centric and eccentric triangular pyramid as well as hexagonal pyramid were observed, but the latter is less in density. In-depth analyses show the side walls of the etch pits belong to the {l_brace}1 1{sup Macron} 0 2{sup Macron }{r_brace} family, and the triangular pit contains edgesmore » full composed by Al{sup 3+} ions on the etching surface so it is more stable than the hexagonal pit since its edges on the etching surface contains Al{sup 2+} ions. The etch pits developed in a manner of kinematic wave by the step moving with constant speed, which is controlled by the chemical reaction with activation energy of 96.6 kJ/mol between Al{sub 2}O{sub 3} and KOH. - Graphical abstract: Schematic showing the atomic configuration of the predicted side walls of regular triangular pyramid shaped etch pit on the C-{l_brace}0 0 0 1{r_brace} plane of sapphire crystal. Highlights: Black-Right-Pointing-Pointer Observed the tridimensional morphology of etch pits. Black-Right-Pointing-Pointer Figured out the atomic configuration origin of the etch pits. Black-Right-Pointing-Pointer Quantitatively determined the etch rates of the etch pits.« less

  11. Photochemical tissue bonding

    DOEpatents

    Redmond, Robert W [Brookline, MA; Kochevar, Irene E [Charlestown, MA

    2012-01-10

    Photochemical tissue bonding methods include the application of a photosensitizer to a tissue and/or tissue graft, followed by irradiation with electromagnetic energy to produce a tissue seal. The methods are useful for tissue adhesion, such as in wound closure, tissue grafting, skin grafting, musculoskeletal tissue repair, ligament or tendon repair and corneal repair.

  12. Comparison between universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2017-06-01

    This aim of this study was to compare universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode. Three universal adhesives - Clearfil Universal, G-Premio Bond, and Scotchbond Universal Adhesive - and three-two-step self-etch adhesives - Clearfil SE Bond, Clearfil SE Bond 2, and OptiBond XTR - were used. The initial shear bond strength and shear fatigue strength of resin composite bonded to adhesive on dentin in self-etch mode were determined. Scanning electron microscopy observations of fracture surfaces after bond strength tests were also made. The initial shear bond strength of universal adhesives was material dependent, unlike that of two-step self-etch adhesives. The shear fatigue strength of Scotchbond Universal Adhesive was not significantly different from that of two-step self-etch adhesives, unlike the other universal adhesives. The shear fatigue strength of universal adhesives differed depending on the type of adhesive, unlike those of two-step self-etch adhesives. The results of this study encourage the continued use of two-step self-etch adhesive over some universal adhesives but suggest that changes to the composition of universal adhesives may lead to a dentin bond fatigue durability similar to that of two-step self-etch adhesives. © 2017 Eur J Oral Sci.

  13. Two-year clinical trial of a universal adhesive in total-etch and self-etch mode in non-carious cervical lesions☆

    PubMed Central

    Lawson, Nathaniel C.; Robles, Augusto; Fu, Chin-Chuan; Lin, Chee Paul; Sawlani, Kanchan; Burgess, John O.

    2016-01-01

    Objectives To compare the clinical performance of Scotchbond™ Universal Adhesive used in self- and total-etch modes and two-bottle Scotchbond™ Multi-purpose Adhesive in total-etch mode for Class 5 non-carious cervical lesions (NCCLs). Methods 37 adults were recruited with 3 or 6 NCCLs (>1.5 mm deep). Teeth were isolated, and a short cervical bevel was prepared. Teeth were restored randomly with Scotchbond Universal total-etch, Scotchbond Universal self-etch or Scotchbond Multi-purpose followed with a composite resin. Restorations were evaluated at baseline, 6, 12 and 24 months for marginal adaptation, marginal discoloration, secondary caries, and sensitivity to cold using modified USPHS Criteria. Patients and evaluators were blinded. Logistic and linear regression models using a generalized estimating equation were applied to evaluate the effects of time and adhesive material on clinical assessment outcomes over the 24 month follow-up period. Kaplan–Meier method was used to compare the retention between adhesive materials. Results Clinical performance of all adhesive materials deteriorated over time for marginal adaptation, and discoloration (p <0.0001). Both Scotchbond Universal self-etch and Scotchbond Multi-purpose materials were more than three times as likely to contribute to less satisfying performance in marginal discoloration over time than Scotchbond Universal total-etch. The retention rates up to 24 months were 87.6%, 94.9% and 100% for Scotchbond Multi-purpose and Scotchbond Universal self-etch and total-etch, respectively. Conclusions Scotchbond Universal in self- and total- etch modes performed similar to or better than Scotchbond Multipurpose, respectively. Clinical significance 24 month evaluation of a universal adhesive indicates acceptable clinical performance, particularly in a total-etch mode. PMID:26231300

  14. 40 CFR 52.1877 - Control strategy: Photochemical oxidants (hydrocarbons).

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... oxidants (hydrocarbons). 52.1877 Section 52.1877 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY....1877 Control strategy: Photochemical oxidants (hydrocarbons). (a) The requirements of Subpart G of this... national standard for photochemical oxidants (hydrocarbons) in the Metropolitan Cincinnati interstate...

  15. 40 CFR 52.1877 - Control strategy: Photochemical oxidants (hydrocarbons).

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... oxidants (hydrocarbons). 52.1877 Section 52.1877 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY....1877 Control strategy: Photochemical oxidants (hydrocarbons). (a) The requirements of Subpart G of this... national standard for photochemical oxidants (hydrocarbons) in the Metropolitan Cincinnati interstate...

  16. In Situ Infrared Spectroscopic Studies of Molecular Layer Deposition and Atomic Layer Etching Processes

    NASA Astrophysics Data System (ADS)

    DuMont, Jaime Willadean

    In this thesis, in situ Fourier transform infrared (FTIR) spectroscopy was used to study: i) the growth and pyrolysis of molecular layer deposition (MLD) films. ii) the surface chemistry of atomic layer etching (ALE) processes. Atomic layer processes such as molecular layer deposition (MLD) and atomic layer etching (ALE) are techniques that can add or remove material with atomic level precision using sequential, self-limiting surface reactions. Deposition and removal processes at the atomic scale are powerful tools for many industrial and research applications such as energy storage and semiconductor nanofabrication. The first section of this thesis describes the chemistry of reactions leading to the MLD of aluminum and tin alkoxide polymer films known as "alucone" and "tincone", respectively. The subsequent pyrolysis of these films to produce metal oxide/carbon composites was also investigated. In situ FTIR spectroscopy was conducted to monitor surface species during MLD film growth and to monitor the films background infrared absorbance versus pyrolysis temperature. Ex situ techniques such as transmission electron microscopy (TEM), four-point probe and X-ray diffraction (XRD) were utilized to study the properties of the films post-pyrolysis. TEM confirmed that the pyrolyzed films maintained conformality during post-processing. Four-point probe monitored film resistivity versus pyrolysis temperature and XRD determined the film crystallinity. The second section of this thesis focuses on the surface chemistry of Al2O3 and SiO2 ALE processes, respectively. Thermal ALE processes have been recently developed which utilize sequential fluorination and ligand exchange reactions. An intimate knowledge of the surface chemistry is important in understanding the ALE process. In this section, the competition between the Al2O3 etching and AlF 3 growth that occur during sequential HF (fluorinating agent) and TMA (ligand exchange) exposures is investigated using in situ FTIR

  17. Increased Sensitivity of HIV-1 p24 ELISA Using a Photochemical Signal Amplification System.

    PubMed

    Bystryak, Simon; Santockyte, Rasa

    2015-10-01

    In this study we describe a photochemical signal amplification method (PSAM) for increasing of the sensitivity of enzyme-linked immunosorbent assay (ELISA) for determination of HIV-1 p24 antigen. The photochemical signal amplification method is based on an autocatalytic photochemical reaction of a horseradish peroxidase (HRP) substrate, orthophenylenediamine (OPD). To compare the performance of PSAM-boosted ELISA with a conventional colorimetric ELISA for determination of HIV-1 p24 antigen we employed a PerkinElmer HIV-1 p24 ELISA kit, using conventional ELISA alongside ELISA + PSAM. In the present study, we show that PSAM technology allows one to increase the analytical sensitivity and dynamic range of a commercial HIV-1 p24 ELISA kit, with and without immune-complex disruption, by a factor of approximately 40-fold. ELISA + PSAM is compatible with commercially available microtiter plate readers, requires only an inexpensive illumination device, and the PSAM amplification step takes no longer than 15 min. This method can be used for both commercially available and in-house ELISA tests, and has the advantage of being considerably simpler and less costly than alternative signal amplification methods. This method can be used for both commercially available and in-house ELISA tests, and has the advantage of being considerably simpler and less costly than alternative signal amplification methods.

  18. Dry etching technologies for the advanced binary film

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  19. PHOTOCHEMICAL REACTIVITY OF PERCHLOROETHYLENE

    EPA Science Inventory

    Perchloroethylene (PCE), a solvent used in dry cleaning, has been suspected of contributing significantly to photochemical ozone/oxidant (O3/Ox) problems in urban atmospheres. Past evidence, however, was neither complete nor consistent. To interpret more conclusively the past evi...

  20. Photochemical coatings for the prevention of bacterial colonization.

    PubMed

    Dunkirk, S G; Gregg, S L; Duran, L W; Monfils, J D; Haapala, J E; Marcy, J A; Clapper, D L; Amos, R A; Guire, P E

    1991-10-01

    Biomaterials are being used with increasing frequency for tissue substitution. Implantable, prosthetic devices are instrumental in the saving of patients' lives and enhancing the quality of life for many others. However, the greatest barrier to expanding the use of biomedical devices is the high probability of bacterial adherence and proliferation, causing very difficult and often untreatable medical-device centered infections. The difficulty in treating such infections results in great danger to the patient, and usually retrieval of the device with considerable pain and suffering. Clearly, development of processes that make biomedical devices resistant to bacterial adherence and colonization would have widespread application in the field of biomedical technology. A photochemical surface modification process is being investigated as a generic means of applying antimicrobial coatings to biomedical devices. The photochemical process results in covalent immobilization of coatings to all classes of medical device polymers. A discussion of the photochemical surface modification process and preliminary results demonstrating the success of photochemical coatings in formulating microbial-resistant surfaces are presented in this paper.

  1. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    NASA Astrophysics Data System (ADS)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  2. Surey of Alternate Stored Chemical Energy Reactions.

    DTIC Science & Technology

    1985-12-01

    Fr., Report No. CEA-N-1293, 36 p. Pilipovich. D.; Rogers, H. H. and Wilson, R. D., 1972, Chlorine trifluoride oxide. II. Photochemical synthesis...some fluorine and chlorine compounds: Zh. Fiz. Khim., V. 43, No. 2, p. 386-9. Rogers, H. H. and Pilipovich, D., 1973, Oxychlorine trifluoride (Patent...chemical energy reactions has been made for purposes of comparison with the lithium- aluminum /water, lithium/sulfur hexafluoride, and other reaction schemes

  3. The Materials Chemistry of Atomic Oxygen with Applications to Anisotropic Etching of Submicron Structures in Microelectronics and the Surface Chemistry Engineering of Porous Solids

    NASA Technical Reports Server (NTRS)

    Koontz, Steve L.; Leger, Lubert J.; Wu, Corina; Cross, Jon B.; Jurgensen, Charles W.

    1994-01-01

    Neutral atomic oxygen is the most abundant component of the ionospheric plasma in the low Earth orbit environment (LEO; 200 to 700 kilometers altitude) and can produce significant degradation of some spacecraft materials. In order to produce a more complete understanding of the materials chemistry of atomic oxygen, the chemistry and physics of O-atom interactions with materials were determined in three radically different environments: (1) The Space Shuttle cargo bay in low Earth orbit (the EOIM-3 space flight experiment), (2) a high-velocity neutral atom beam system (HVAB) at Los Alamos National Laboratory (LANL), and (3) a microwave-plasma flowing-discharge system at JSC. The Space Shuttle and the high velocity atom beam systems produce atom-surface collision energies ranging from 0.1 to 7 eV (hyperthermal atoms) under high-vacuum conditions, while the flowing discharge system produces a 0.065 eV surface collision energy at a total pressure of 2 Torr. Data obtained in the three different O-atom environments referred to above show that the rate of O-atom reaction with polymeric materials is strongly dependent on atom kinetic energy, obeying a reactive scattering law which suggests that atom kinetic energy is directly available for overcoming activation barriers in the reaction. General relationships between polymer reactivity with O atoms and polymer composition and molecular structure have been determined. In addition, vacuum ultraviolet photochemical effects have been shown to dominate the reaction of O atoms with fluorocarbon polymers. Finally, studies of the materials chemistry of O atoms have produced results which may be of interest to technologists outside the aerospace industry. Atomic oxygen 'spin-off' or 'dual use' technologies in the areas of anisotropic etching in microelectronic materials and device processing, as well as surface chemistry engineering of porous solid materials are described.

  4. Temperature-Dependent Nanofabrication on Silicon by Friction-Induced Selective Etching.

    PubMed

    Jin, Chenning; Yu, Bingjun; Xiao, Chen; Chen, Lei; Qian, Linmao

    2016-12-01

    Friction-induced selective etching provides a convenient and practical way for fabricating protrusive nanostructures. A further understanding of this method is very important for establishing a controllable nanofabrication process. In this study, the effect of etching temperature on the formation of protrusive hillocks and surface properties of the etched silicon surface was investigated. It is found that the height of the hillock produced by selective etching increases with the etching temperature before the collapse of the hillock. The temperature-dependent selective etching rate can be fitted well by the Arrhenius equation. The etching at higher temperature can cause rougher silicon surface with a little lower elastic modulus and hardness. The contact angle of the etched silicon surface decreases with the etching temperature. It is also noted that no obvious contamination can be detected on silicon surface after etching at different temperatures. As a result, the optimized condition for the selective etching was addressed. The present study provides a new insight into the control and application of friction-induced selective nanofabrication.

  5. Solar Irradiation of Bilirubin: An Experiment in Photochemical Oxidation

    ERIC Educational Resources Information Center

    Pillay A. E.; Salih, F. M.

    2006-01-01

    An experiment in photochemical oxidation, which deals with bilirubin, a well-known light-sensitive biological compound that is pedagogically ideal for photochemical experiments at tertiary institutes, is presented. The experiment would benefit students in chemistry who eventually branch out into the health sciences or biochemistry.

  6. Method of sputter etching a surface

    DOEpatents

    Henager, Jr., Charles H.

    1984-01-01

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion.

  7. Photochemical Modeling of CH3 Abundances in the Outer Solar System

    NASA Technical Reports Server (NTRS)

    Lee, Anthony Y. T.; Yung, Yuk L.; Moses, Julianne

    2000-01-01

    Recent measurements of methyl radicals (CH3) in the upper atmospheres of Saturn and Neptune by the Infrared Space Observatory (ISO) provide new constraints to photochemical models of hydrocarbon chemistry in the outer solar system. The derived column abundances of CH3 on Saturn above 10 mbar and Neptune above the 0.2 mbar pressure level are (2.5 - 6.0) x 10(exp 13) / sq cm and (0.7 - 2.8) x 10(exp 13) / sq cm, respectively. We use the updated Caltech/Jet Propulsion Laboratory photochemical model, which incorporates hydrocarbon photochemistry, vertical molecular and bulk atmospheric eddy diffusion, and realistic radiative transfer modeling, to study the CH3 abundances in the upper atmosphere of the giant planets and Titan. We identify the key reactions that control the concentrations of CH3 in the model, such as the three-body recombination reaction, CH3 + CH3 + M yields C2H6 + M. We evaluate and extrapolate the three-body rate constant of this reaction to the low-temperature limit (1.8 x 10(exp -16) T(sup -3.75) e(sup -300/T), T < 300 K) and compare methyl radical abundances in five atmospheres: Jupiter, Saturn, Uranus, Neptune, and Titan. The sensitivity of our models to the rate coefficients for the reactions H + CH3 + M yields CH4 + M, H + C2H3 yields C2H2 + H2, (sup 1)CH2 + H2 yields CH3 + H, and H + C2H5 yields 2CH3, the branching ratios of CH4 photolysis, vertical mixing in the five atmospheres, and Lyman alpha photon enhancement at the orbit of Neptune have all been tested. The results of our model CH3 abundances for both Saturn (5.1 x 10(exp 13) / sq cm) and Neptune (2.2 x 10(exp 13) / sq cm) show good agreement with ISO Short Wavelength Spectrometer measurements. Using the same chemical reaction set, our calculations also successfully generate vertical profiles of stable hydrocarbons consistent with Voyager and ground-based measurements in these outer solar system atmospheres. Predictions of CH3 column concentrations (for p <= 0.2 mbar) in the atmospheres

  8. Photochemical CVD of Ru on functionalized self-assembled monolayers from organometallic precursors

    NASA Astrophysics Data System (ADS)

    Johnson, Kelsea R.; Arevalo Rodriguez, Paul; Brewer, Christopher R.; Brannaka, Joseph A.; Shi, Zhiwei; Yang, Jing; Salazar, Bryan; McElwee-White, Lisa; Walker, Amy V.

    2017-02-01

    Chemical vapor deposition (CVD) is an attractive technique for the metallization of organic thin films because it is selective and the thickness of the deposited film can easily be controlled. However, thermal CVD processes often require high temperatures which are generally incompatible with organic films. In this paper, we perform proof-of-concept studies of photochemical CVD to metallize organic thin films. In this method, a precursor undergoes photolytic decomposition to generate thermally labile intermediates prior to adsorption on the sample. Three readily available Ru precursors, CpRu(CO)2Me, (η3-allyl)Ru(CO)3Br, and (COT)Ru(CO)3, were employed to investigate the role of precursor quantum yield, ligand chemistry, and the Ru oxidation state on the deposition. To investigate the role of the substrate chemistry on deposition, carboxylic acid-, hydroxyl-, and methyl-terminated self-assembled monolayers were used. The data indicate that moderate quantum yields for ligand loss (φ ≥ 0.4) are required for ruthenium deposition, and the deposition is wavelength dependent. Second, anionic polyhapto ligands such as cyclopentadienyl and allyl are more difficult to remove than carbonyls, halides, and alkyls. Third, in contrast to the atomic layer deposition, acid-base reactions between the precursor and the substrate are more effective for deposition than nucleophilic reactions. Finally, the data suggest that selective deposition can be achieved on organic thin films by judicious choice of precursor and functional groups present on the substrate. These studies thus provide guidelines for the rational design of new precursors specifically for selective photochemical CVD on organic substrates.

  9. Radicals are required for thiol etching of gold particles

    PubMed Central

    Dreier, Timothy A.

    2016-01-01

    Etching of gold with excess thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is opaque. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. PMID:26089294

  10. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    PubMed

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  11. UV-induced photochemical heterogeneity of dissolved and attached organic matter associated with cyanobacterial blooms in a eutrophic freshwater lake.

    PubMed

    Xu, Huacheng; Jiang, Helong

    2013-11-01

    Cyanobacterial blooms represent a significant ecological and human health problem worldwide. In aquatic environments, cyanobacterial blooms are actually surrounded by dissolved organic matter (DOM) and attached organic matter (AOM) that bind with algal cells. In this study, DOM and AOM fractionated from blooming cyanobacteria in a eutrophic freshwater lake (Lake Taihu, China) were irradiated with a polychromatic UV lamp, and the photochemical heterogeneity was investigated using fluorescence excitation-emission matrix (EEM)-parallel factor (PARAFAC) analysis and synchronous fluorescence (SF)-two dimensional correlation spectroscopy (2DCOS). It was shown that a 6-day UV irradiation caused more pronounced mineralization for DOM than AOM (59.7% vs. 41.9%). The EEM-PARAFAC analysis identified one tyrosine-, one humic-, and two tryptophan-like components in both DOM and AOM, and high component photodegradation rates were observed for DOM versus AOM (k > 0.554 vs. <0.519). Moreover, SF-2DCOS found that the photodegradation of organic matters followed the sequence of tyrosine-like > humic-like > tryptophan-like substances. Humic-like substances promoted the indirect photochemical reactions, and were responsible for the higher photochemical rate for DOM. The lower photodegradation of AOM benefited the integrality of cells in cyanobacterial blooms against the negative impact of UV irradiation. Therefore, the photochemical behavior of organic matter was related to the adaptation of enhanced-duration cyanobacterial blooms in aquatic environments. Copyright © 2013 Elsevier Ltd. All rights reserved.

  12. Anisotropic etching of silicon in solutions containing tensioactive compounds

    NASA Astrophysics Data System (ADS)

    Zubel, Irena

    2016-12-01

    The results of investigations concerning anisotropic etching in 3M KOH and 25% TMAH solutions modified by tensioactive compounds such as alcohols, diols and a typical surfactant Triton X100 have been compared. Etching anisotropy was assessed on the basis of etch rates ratio V(110)/V(100). It was stated that the relation between surface tension of the solutions and etch rates of particular planes depend not only on the kind of surfactant but also on the kind of etching solution (KOH, TMAH). It points out an important role of TMA+ ions in the etching process, probably in the process of forming an adsorption layer, consisting of the molecules of tensioactive compounds on Si surface, which decides about etch rate. We have observed that this phenomenon occurs only at high concentration of TMA+ ions (25% TMAH). Reduction of TMAH concentration changes the properties of surfactant containing TMAH solutions. From all investigated solutions, the solutions that assured developing of (110) plane inclined at the angle of 45° to (100) substrate were selected. Such planes can be used as micromirrors in MOEMS structures. The solutions provide the etch rate ratio V(110)/V(100)<0.7, thus they were selected from hydroxide solutions containing surfactants. A simple way for etch rate anisotropy V(110)/V(100) assessment based on microscopic images etched structures has been proposed.

  13. Directional Etching of Silicon by Silver Nanostructures

    NASA Astrophysics Data System (ADS)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  14. Photochemical oxidation: A solution for the mixed waste dilemma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prellberg, J.W.; Thornton, L.M.; Cheuvront, D.A.

    1995-12-31

    Numerous technologies are available to remove organic contamination from water or wastewater. A variety of techniques also exist that are used to neutralize radioactive waste. However, few technologies can satisfactorily address the treatment of mixed organic/radioactive waste without creating unacceptable secondary waste products or resulting in extremely high treatment costs. An innovative solution to the mixed waste problem is on-site photochemical oxidation. Liquid-phase photochemical oxidation has a long- standing history of successful application to the destruction of organic compounds. By using photochemical oxidation, the organic contaminants are destroyed on-site leaving the water, with radionuclides, that can be reused or disposedmore » of as appropriate. This technology offers advantages that include zero air emissions, no solid or liquid waste formation, and relatively low treatment cost. Discussion of the photochemical process will be described, and several case histories from recent design testing, including cost analyses for the resulting full-scale installations, will be presented as examples.« less

  15. Method of making gold thiolate and photochemically functionalized microcantilevers

    DOEpatents

    Boiadjiev, Vassil I [Knoxville, TN; Brown, Gilbert M [Knoxville, TN; Pinnaduwage, Lal A [Knoxville, TN; Thundat, Thomas G [Knoxville, TN; Bonnesen, Peter V [Knoxville, TN; Goretzki, Gudrun [Nottingham, GB

    2009-08-25

    Highly sensitive sensor platforms for the detection of specific reagents, such as chromate, gasoline and biological species, using microcantilevers and other microelectromechanical systems (MEMS) whose surfaces have been modified with photochemically attached organic monolayers, such as self-assembled monolayers (SAM), or gold-thiol surface linkage are taught. The microcantilever sensors use photochemical hydrosilylation to modify silicon surfaces and gold-thiol chemistry to modify metallic surfaces thereby enabling individual microcantilevers in multicantilever array chips to be modified separately. Terminal vinyl substituted hydrocarbons with a variety of molecular recognition sites can be attached to the surface of silicon via the photochemical hydrosilylation process. By focusing the activating UV light sequentially on selected silicon or silicon nitride hydrogen terminated surfaces and soaking or spotting selected metallic surfaces with organic thiols, sulfides, or disulfides, the microcantilevers are functionalized. The device and photochemical method are intended to be integrated into systems for detecting specific agents including chromate groundwater contamination, gasoline, and biological species.

  16. Method of sputter etching a surface

    DOEpatents

    Henager, C.H. Jr.

    1984-02-14

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion. 4 figs.

  17. Dry etching method for compound semiconductors

    DOEpatents

    Shul, Randy J.; Constantine, Christopher

    1997-01-01

    A dry etching method. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators.

  18. Dry etching method for compound semiconductors

    DOEpatents

    Shul, R.J.; Constantine, C.

    1997-04-29

    A dry etching method is disclosed. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators. 1 fig.

  19. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  20. A metal-organic cage incorporating multiple light harvesting and catalytic centres for photochemical hydrogen production

    NASA Astrophysics Data System (ADS)

    Chen, Sha; Li, Kang; Zhao, Fang; Zhang, Lei; Pan, Mei; Fan, Yan-Zhong; Guo, Jing; Shi, Jianying; Su, Cheng-Yong

    2016-11-01

    Photocatalytic water splitting is a natural but challenging chemical way of harnessing renewable solar power to generate clean hydrogen energy. Here we report a potential hydrogen-evolving photochemical molecular device based on a self-assembled ruthenium-palladium heterometallic coordination cage, incorporating multiple photo- and catalytic metal centres. The photophysical properties are investigated by absorption/emission spectroscopy, electrochemical measurements and preliminary DFT calculations and the stepwise electron transfer processes from ruthenium-photocentres to catalytic palladium-centres is probed by ultrafast transient absorption spectroscopy. The photocatalytic hydrogen production assessments reveal an initial reaction rate of 380 μmol h-1 and a turnover number of 635 after 48 h. The efficient hydrogen production may derive from the directional electron transfers through multiple channels owing to proper organization of the photo- and catalytic multi-units within the octahedral cage, which may open a new door to design photochemical molecular devices with well-organized metallosupramolecules for homogenous photocatalytic applications.

  1. Role of nitrite in the photochemical formation of radicals in the snow.

    PubMed

    Jacobi, Hans-Werner; Kleffmann, Jörg; Villena, Guillermo; Wiesen, Peter; King, Martin; France, James; Anastasio, Cort; Staebler, Ralf

    2014-01-01

    Photochemical reactions in snow can have an important impact on the composition of the atmosphere over snow-covered areas as well as on the composition of the snow itself. One of the major photochemical processes is the photolysis of nitrate leading to the formation of volatile nitrogen compounds. We report nitrite concentrations determined together with nitrate and hydrogen peroxide in surface snow collected at the coastal site of Barrow, Alaska. The results demonstrate that nitrite likely plays a significant role as a precursor for reactive hydroxyl radicals as well as volatile nitrogen oxides in the snow. Pollution events leading to high concentrations of nitrous acid in the atmosphere contributed to an observed increase in nitrite in the surface snow layer during nighttime. Observed daytime nitrite concentrations are much higher than values predicted from steady-state concentrations based on photolysis of nitrate and nitrite indicating that we do not fully understand the production of nitrite and nitrous acid in snow. The discrepancy between observed and expected nitrite concentrations is probably due to a combination of factors, including an incomplete understanding of the reactive environment and chemical processes in snow, and a lack of consideration of the vertical structure of snow.

  2. A novel spectroscopic analysis to detect photochemical reaction of the bronchodilator - Doxofylline and its estimation in pharmaceutical formulation

    NASA Astrophysics Data System (ADS)

    Sasi Rekha, P.; Gunasekaran, S.

    2018-02-01

    Photostability studies of drugs and drug products are an integral part of the product development process in the pharmaceutical industry. These studies are carried out to ensure quality, efficacy and safety of the formulated products during manufacture, storage and use. In this investigation, a novel spectroscopic approach has been adopted by employing the FTIR-ATR and UV/Visible techniques to detect the photochemical reactions of the drug Doxofylline, chemically designated as 7-(1, 3 dioxolane-2-yl methyl) theophylline, in its raw (pure) form. Significant changes were observed in terms of optical density of the absorption bands and a satisfactory analysis has been performed using ANOVA Statistics. It highlights the role of the photochemistry of drugs with respect to its spectral profiles and also explains photo physical processes. In addition; the drug compatibility study was also undertaken by using FTIR-ATR technique which indicated that there were no interactions occurring between the raw sample of the drug and the excipients used in the preparation of the pharmaceutical formulation. With this, UV-visible spectroscopic method was validated for the quantitative estimation of Doxofylline in pharmaceutical dosage forms and was performed with λmax at 274 nm. Calibration curves were linear between the concentration range 10-50 μg/ml. The various parameters such as linearity, precision, accuracy, recovery and specificity were studied according to ICH guidelines (Ahmed et al., 2016; Jain et al., 2011; ICH, 1996).

  3. Efficiency of photochemical stages of photosynthesis in purple bacteria (a critical survey).

    PubMed

    Borisov, A Yu

    2014-03-01

    Based on currently available data, the energy transfer efficiency in the successive photophysical and photochemical stages has been analyzed for purple bacteria. This analysis covers the stages starting from migration of the light-induced electronic excitations from the bulk antenna pigments to the reaction centers up to irreversible stage of the electron transport along the transmembrane chain of cofactors-carriers. Some natural factors are revealed that significantly increase the rates of efficient processes in these stages. The influence on their efficiency by the "bottleneck" in the energy migration chain is established. The overall quantum yield of photosynthesis in these stages is determined.

  4. CR-39 track etching and blow-up method

    DOEpatents

    Hankins, Dale E.

    1987-01-01

    This invention is a method of etching tracks in CR-39 foil to obtain uniformly sized tracks. The invention comprises a step of electrochemically etching the foil at a low frequency and a "blow-up" step of electrochemically etching the foil at a high frequency.

  5. Axi-symmetrical flow reactor for .sup.196 Hg photochemical enrichment

    DOEpatents

    Grossman, Mark W.

    1991-01-01

    The present invention is directed to an improved photochemical reactor useful for the isotopic enrichment of a predetermined isotope of mercury, especially, .sup.196 Hg. Specifically, two axi-symmetrical flow reactors were constructed according to the teachings of the present invention. These reactors improve the mixing of the reactants during the photochemical enrichment process, affording higher yields of the desired .sup.196 Hg product. Measurements of the variation of yield (Y) and enrichment factor (E) along the flow axis of these reactors indicates very substantial improvement in process uniformity compared to previously used photochemical reactor systems. In one preferred embodiment of the present invention, the photoreactor system was built such that the reactor chamber was removable from the system without disturbing the location of either the photochemical lamp or the filter employed therewith.

  6. Axi-symmetrical flow reactor for [sup 196]Hg photochemical enrichment

    DOEpatents

    Grossman, M.W.

    1991-04-30

    The present invention is directed to an improved photochemical reactor useful for the isotopic enrichment of a predetermined isotope of mercury, especially, [sup 196]Hg. Specifically, two axi-symmetrical flow reactors were constructed according to the teachings of the present invention. These reactors improve the mixing of the reactants during the photochemical enrichment process, affording higher yields of the desired [sup 196]Hg product. Measurements of the variation of yield (Y) and enrichment factor (E) along the flow axis of these reactors indicates very substantial improvement in process uniformity compared to previously used photochemical reactor systems. In one preferred embodiment of the present invention, the photoreactor system was built such that the reactor chamber was removable from the system without disturbing the location of either the photochemical lamp or the filter employed therewith. 10 figures.

  7. Formation of nanostructured silicon surfaces by stain etching

    PubMed Central

    2014-01-01

    In this work, we report the fabrication of ordered silicon structures by chemical etching of silicon in vanadium oxide (V2O5)/hydrofluoric acid (HF) solution. The effects of the different etching parameters including the solution concentration, temperature, and the presence of metal catalyst film deposition (Pd) on the morphologies and reflective properties of the etched Si surfaces were studied. Scanning electron microscopy (SEM) was carried out to explore the morphologies of the etched surfaces with and without the presence of catalyst. In this case, the attack on the surfaces with a palladium deposit begins by creating uniform circular pores on silicon in which we distinguish the formation of pyramidal structures of silicon. Fourier transform infrared spectroscopy (FTIR) demonstrates that the surfaces are H-terminated. A UV-Vis-NIR spectrophotometer was used to study the reflectance of the structures obtained. A reflectance of 2.21% from the etched Si surfaces in the wavelength range of 400 to 1,000 nm was obtained after 120 min of etching while it is of 4.33% from the Pd/Si surfaces etched for 15 min. PMID:25435830

  8. Quantitative assessment on the contribution of direct photolysis and radical oxidation in photochemical degradation of 4-chlorophenol and oxytetracycline.

    PubMed

    Liu, Yiqing; He, Xuexiang; Fu, Yongsheng; Dionysiou, Dionysios D

    2016-07-01

    In UV-254 nm/H2O2 advanced oxidation process (AOP), the potential degradation pathways for organic pollutants include (1) hydrolysis, (2) direct H2O2 oxidation, (3) UV direct photolysis, and (4) hydroxyl radical (HO(•)) reaction. In this study, the contribution of these pathways was quantitatively assessed in the photochemical destruction of 4-chlorophenol (4-CP), demonstrating pathways (3) and (4) to be predominantly responsible for the removal of 4-CP by UV/H2O2 in 50 mM phosphate buffer solution. Increasing reaction pH could significantly enhance the contribution of direct photolysis in UV/H2O2 process. The contribution of HO(•) oxidation was improved with increasing initial H2O2 concentration probably due to the increased formation of HO(•). Presence of sodium carbonate (Na2CO3) as in UV/H2O2/Na2CO3 system promoted the degradation of 4-CP, with carbonate radical (CO3 (•-)) reaction and direct photolysis identified to be the main contributing pathways. The trends in the contribution of each factor were further evaluated and validated on the degradation of the antibiotic compound oxytetracycline (OTC). This study provides valuable information on the relative importance of different reaction pathways on the photochemical degradation of organic contaminants such as 4-CP and OTC in the presence and absence of a CO3 (•-) precursor.

  9. Barium-strontium-titanate etching characteristics in chlorinated discharges

    NASA Astrophysics Data System (ADS)

    Stafford, Luc; Margot, Joëlle; Langlois, Olivier; Chaker, Mohamed

    2003-07-01

    The etching characteristics of barium-strontium-titanate (BST) were investigated using a high-density plasma sustained by surface waves at 190 MHz in Ar/Cl2 gas mixtures. The etch rate was examined as a function of both the total gas pressure and the Cl2 fraction in Ar/Cl2 using a wafer temperature of 10 °C. The results were correlated to positive ion density and plasma composition obtained from Langmuir probes and mass spectrometry. The BST etch rate was found to increase linearly with the positive ion density and to decrease with increasing chlorine atom concentration. This result indicates that for the temperature conditions used, the interaction between chlorine and BST yields compounds having a volatility that is lower than the original material. As a consequence, the contribution of neutral atomic Cl atoms to the etch mechanism is detrimental, thereby reducing the etch rate. As the wafer temperature increases, the role of chemistry in the etching process is enhanced.

  10. Chemical etching of nitinol stents.

    PubMed

    Katona, Bálint; Bognár, Eszter; Berta, Balázs; Nagy, Péter; Hirschberg, Kristóf

    2013-01-01

    At present the main cause of death originates from cardiovascular diseases. Primarily the most frequent cause is vessel closing thus resulting in tissue damage. The stent can help to avoid this. It expands the narrowed vessel section and allows free blood flow. The good surface quality of stents is important. It also must have adequate mechanical characteristics or else it can be damaged which can easily lead to the fracture of the implant. Thus, we have to consider the importance of the surface treatment of these implants. In our experiments the appropriate design was cut from a 1.041 mm inner diameter and 0.100 mm wall thickness nitinol tube by using Nd:YAG laser device. Then, the stent was subjected to chemical etching. By doing so, the burr created during the laser cutting process can be removed and the surface quality refined. In our research, we changed the time of chemical etching and monitored the effects of this parameter. The differently etched stents were subjected to microscopic analysis, mass measurement and in vivo environment tests. The etching times that gave suitable surface and mechanical features were identified.

  11. Impact of Anthropogenic Emissions on Isoprene Photochemical Oxidation Pathways in Central Amazonia

    NASA Astrophysics Data System (ADS)

    Thayer, M. P.; Dorris, M. R.; Keutsch, F. N.; Goldstein, A. H.; Guenther, A. B.; Isaacman-VanWertz, G. A.; Jimenez, J. L.; Kim, S.; Liu, Y.; Martin, S. T.; Palm, B. B.; Park, J. H.; Seco, R.; Sjostedt, S. J.; Springston, S. R.; Wernis, R. A.; Yee, L.

    2016-12-01

    The atmosphere over the Amazon rainforest is characterized by high concentrations of biogenic volatile organic compounds (BVOCs) - most notably isoprene, which is the most abundant non-methane VOC both locally and globally. These BVOCs are photochemically oxidized, forming oVOCs, especially via reaction with the hydroxyl radical (OH). This photochemical processing can result in formation of secondary pollutants such as ozone (O3) and secondary organic aerosol (SOA). During the Green Ocean Amazon campaign (GoAmazon2014/5), we obtained formaldehyde and glyoxal measurements together with OH, peroxy radicals (RO2+HO2), nitrogen oxides (NOx), CO, CO2, O3, (o)VOCs, and aerosol particle size distribution. Here we present data collected during 2014 at the T3 field site, 60 km to the west of Manaus, Brazil (3°12'47.82"S, 60°35'55.32"W). The T3 GoAmazon site varies between sampling strictly pristine (biogenic) emissions and influence from anthropogenic emissions from Manaus, depending on meteorological conditions. The day-to-day oscillation provides an ideal setting for evaluating the impact of pollution from biomass burning and urban emissions on VOC oxidation and resultant secondary pollutant production. Anthropogenic plumes contain not only additional VOC precursors, but also enhanced NOx, which drastically alters the relative importance of various isoprene oxidation pathways. We utilize a 0-D photochemical box model to examine how these factors impact reactivity and pollutant formation. Due to ongoing expansion of human influence and emissions in previously-pristine areas, understanding the sensitivity of biogenic oxidation to anthropogenic influence has significant impacts for tropospheric air quality, both in the rapidly-developing Amazon Basin and other BVOC-dominated regions.

  12. Radicals Are Required for Thiol Etching of Gold Particles.

    PubMed

    Dreier, Timothy A; Ackerson, Christopher J

    2015-08-03

    Etching of gold with an excess of thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is unclear. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initiator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. On the in vivo photochemical rate parameters for PDT reactive oxygen species modeling

    NASA Astrophysics Data System (ADS)

    Kim, Michele M.; Ghogare, Ashwini A.; Greer, Alexander; Zhu, Timothy C.

    2017-03-01

    Photosensitizer photochemical parameters are crucial data in accurate dosimetry for photodynamic therapy (PDT) based on photochemical modeling. Progress has been made in the last few decades in determining the photochemical properties of commonly used photosensitizers (PS), but mostly in solution or in vitro. Recent developments allow for the estimation of some of these photochemical parameters in vivo. This review will cover the currently available in vivo photochemical properties of photosensitizers as well as the techniques for measuring those parameters. Furthermore, photochemical parameters that are independent of environmental factors or are universal for different photosensitizers will be examined. Most photosensitizers discussed in this review are of the type II (singlet oxygen) photooxidation category, although type I photosensitizers that involve other reactive oxygen species (ROS) will be discussed as well. The compilation of these parameters will be essential for ROS modeling of PDT.

  14. On the in-vivo photochemical rate parameters for PDT reactive oxygen species modeling

    PubMed Central

    Kim, Michele M.; Ghogare, Ashwini A.; Greer, Alexander; Zhu, Timothy C.

    2017-01-01

    Photosensitizer photochemical parameters are crucial data in accurate dosimetry for photodynamic therapy (PDT) based on photochemical modeling. Progress has been made in the last few decades in determining the photochemical properties of commonly used photosensitizers (PS), but mostly in solution or in-vitro. Recent developments allow for the estimation of some of these photochemical parameters in-vivo. This review will cover the currently available in-vivo photochemical properties of photosensitizers as well as the techniques for measuring those parameters. Furthermore, photochemical parameters that are independent of environmental factors or are universal for different photosensitizers will be examined. Most photosensitizers discussed in this review are of the type II (singlet oxygen) photooxidation category, although type I photosensitizers that involve other reactive oxygen species (ROS) will be discussed as well. The compilation of these parameters will be essential for ROS modeling of PDT. PMID:28166056

  15. Analysis of the Electronic Structure of the Special Pair of a Bacterial Photosynthetic Reaction Center by 13 C Photochemically Induced Dynamic Nuclear Polarization Magic-Angle Spinning NMR Using a Double-Quantum Axis.

    PubMed

    Najdanova, Marija; Gräsing, Daniel; Alia, A; Matysik, Jörg

    2018-01-01

    The origin of the functional symmetry break in bacterial photosynthesis challenges since several decades. Although structurally very similar, the two branches of cofactors in the reaction center (RC) protein complex act very differently. Upon photochemical excitation, an electron is transported along one branch, while the other remains inactive. Photochemically induced dynamic nuclear polarization (photo-CIDNP) magic-angle spinning (MAS) 13 C NMR revealed that the two bacteriochlorophyll cofactors forming the "Special Pair" donor dimer are already well distinguished in the electronic ground state. These previous studies are relying solely on 13 C- 13 C correlation experiments as radio-frequency-driven recoupling (RFDR) and dipolar-assisted rotational resonance (DARR). Obviously, the chemical-shift assignment is difficult in a dimer of tetrapyrrole macrocycles, having eight pyrrole rings of similar chemical shifts. To overcome this problem, an INADEQUATE type of experiment using a POST C7 symmetry-based approach is applied to selectively isotope-labeled bacterial RC of Rhodobacter (R.) sphaeroides wild type (WT). We, therefore, were able to distinguish unresolved sites of the macromolecular dimer. The obtained chemical-shift pattern is in-line with a concentric assembly of negative charge within the common center of the Special Pair supermolecule in the electronic ground state. © 2017 The American Society of Photobiology.

  16. 3D memory: etch is the new litho

    NASA Astrophysics Data System (ADS)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  17. Characteristics of n-GaN after ICP etching

    NASA Astrophysics Data System (ADS)

    Han, Yanjun; Xue, Song; Guo, Wenping; Hao, Zhi-Biao; Sun, Changzheng; Luo, Yi

    2002-09-01

    In this work, a systematic study on the plasma-induced damage on n-type GaN by inductively coupled plasma (ICP) etching is presented. After n-contact metal formation and annealing, electrical property is evaluated by the I-V characteristics. Room temperature photoluminescence (PL) measurement of etched GaN surfaces is performed to investigate the etching damage on the optical properties of n-type GaN. Investigation of the effect of additive gas RF chuck power on these characteristics has also been carried out. The better etching conditions have been obtained based on these results.

  18. Photochemical charge separation in zeolites: Electron transfer dynamics, nanocrystals and zeolitic membranes. Final technical report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dutta, Prabir K.

    2001-09-30

    Aluminosilicate zeolites provide an excellent host for photochemical charge separation. Because of the constraints provided by the zeolite, the back electron transfer from the reduced acceptor to the oxidized sensitizer is slowed down. This provides the opportunity to separate the charge and use it in a subsequent reaction for water oxidation and reduction. Zeolite-based ruthenium oxide catalysts have been found to be efficient for the water splitting process. This project has demonstrated the usefulness of zeolite hosts for photolytic splitting of water.

  19. Semiconductor structure and recess formation etch technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching processmore » stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.« less

  20. Epoxy bond and stop etch fabrication method

    DOEpatents

    Simmons, Jerry A.; Weckwerth, Mark V.; Baca, Wes E.

    2000-01-01

    A class of epoxy bond and stop etch (EBASE) microelectronic fabrication techniques is disclosed. The essence of such techniques is to grow circuit components on top of a stop etch layer grown on a first substrate. The first substrate and a host substrate are then bonded together so that the circuit components are attached to the host substrate by the bonding agent. The first substrate is then removed, e.g., by a chemical or physical etching process to which the stop etch layer is resistant. EBASE fabrication methods allow access to regions of a device structure which are usually blocked by the presence of a substrate, and are of particular utility in the fabrication of ultrafast electronic and optoelectronic devices and circuits.

  1. Photochemical Copper Coating on 3D Printed Thermoplastics

    NASA Astrophysics Data System (ADS)

    Yung, Winco K. C.; Sun, Bo; Huang, Junfeng; Jin, Yingdi; Meng, Zhengong; Choy, Hang Shan; Cai, Zhixiang; Li, Guijun; Ho, Cheuk Lam; Yang, Jinlong; Wong, Wai Yeung

    2016-08-01

    3D printing using thermoplastics has become very popular in recent years, however, it is challenging to provide a metal coating on 3D objects without using specialized and expensive tools. Herein, a novel acrylic paint containing malachite for coating on 3D printed objects is introduced, which can be transformed to copper via one-step laser treatment. The malachite containing pigment can be used as a commercial acrylic paint, which can be brushed onto 3D printed objects. The material properties and photochemical transformation processes have been comprehensively studied. The underlying physics of the photochemical synthesis of copper was characterized using density functional theory calculations. After laser treatment, the surface coating of the 3D printed objects was transformed to copper, which was experimentally characterized by XRD. 3D printed prototypes, including model of the Statue of Liberty covered with a copper surface coating and a robotic hand with copper interconnections, are demonstrated using this painting method. This composite material can provide a novel solution for coating metals on 3D printed objects. The photochemical reduction analysis indicates that the copper rust in malachite form can be remotely and photo-chemically reduced to pure copper with sufficient photon energy.

  2. Photochemical Copper Coating on 3D Printed Thermoplastics

    PubMed Central

    Yung, Winco K. C.; Sun, Bo; Huang, Junfeng; Jin, Yingdi; Meng, Zhengong; Choy, Hang Shan; Cai, Zhixiang; Li, Guijun; Ho, Cheuk Lam; Yang, Jinlong; Wong, Wai Yeung

    2016-01-01

    3D printing using thermoplastics has become very popular in recent years, however, it is challenging to provide a metal coating on 3D objects without using specialized and expensive tools. Herein, a novel acrylic paint containing malachite for coating on 3D printed objects is introduced, which can be transformed to copper via one-step laser treatment. The malachite containing pigment can be used as a commercial acrylic paint, which can be brushed onto 3D printed objects. The material properties and photochemical transformation processes have been comprehensively studied. The underlying physics of the photochemical synthesis of copper was characterized using density functional theory calculations. After laser treatment, the surface coating of the 3D printed objects was transformed to copper, which was experimentally characterized by XRD. 3D printed prototypes, including model of the Statue of Liberty covered with a copper surface coating and a robotic hand with copper interconnections, are demonstrated using this painting method. This composite material can provide a novel solution for coating metals on 3D printed objects. The photochemical reduction analysis indicates that the copper rust in malachite form can be remotely and photo-chemically reduced to pure copper with sufficient photon energy. PMID:27501761

  3. Photochemical Copper Coating on 3D Printed Thermoplastics.

    PubMed

    Yung, Winco K C; Sun, Bo; Huang, Junfeng; Jin, Yingdi; Meng, Zhengong; Choy, Hang Shan; Cai, Zhixiang; Li, Guijun; Ho, Cheuk Lam; Yang, Jinlong; Wong, Wai Yeung

    2016-08-09

    3D printing using thermoplastics has become very popular in recent years, however, it is challenging to provide a metal coating on 3D objects without using specialized and expensive tools. Herein, a novel acrylic paint containing malachite for coating on 3D printed objects is introduced, which can be transformed to copper via one-step laser treatment. The malachite containing pigment can be used as a commercial acrylic paint, which can be brushed onto 3D printed objects. The material properties and photochemical transformation processes have been comprehensively studied. The underlying physics of the photochemical synthesis of copper was characterized using density functional theory calculations. After laser treatment, the surface coating of the 3D printed objects was transformed to copper, which was experimentally characterized by XRD. 3D printed prototypes, including model of the Statue of Liberty covered with a copper surface coating and a robotic hand with copper interconnections, are demonstrated using this painting method. This composite material can provide a novel solution for coating metals on 3D printed objects. The photochemical reduction analysis indicates that the copper rust in malachite form can be remotely and photo-chemically reduced to pure copper with sufficient photon energy.

  4. Dopant Selective Reactive Ion Etching of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert (Inventor)

    2016-01-01

    A method for selectively etching a substrate is provided. In one embodiment, an epilayer is grown on top of the substrate. A resistive element may be defined and etched into the epilayer. On the other side of the substrate, the substrate is selectively etched up to the resistive element, leaving a suspended resistive element.

  5. Anisotropic selective etching between SiGe and Si

    NASA Astrophysics Data System (ADS)

    Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim

    2018-06-01

    In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.

  6. Plasma/Neutral-Beam Etching Apparatus

    NASA Technical Reports Server (NTRS)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  7. Composition and Photochemical Reactivity of Turbine Engine Exhaust

    DTIC Science & Technology

    1984-09-01

    ESL-TR-84-28 Composition and Photochemical Reactivity of Turbine Engine Exhaust In IL) C.W. SPICER. M.W. HOLDREN, T.F. LYON. and R.M. RIGGIN...NUMBER 2. GOVT ACCIESION NO RECIPIENT’S CATALOG NUMmE" 4. TITLE (aid Sub•ttlC) S. TYPE OF REPORT & PERIOD COvERE0 Composition and Photochemical...involved detailed exhaust organic composition studies with two -. full-scale turbine engines utilizing three fuels. Tiask 4 investigated the

  8. Depth of Etch Comparison Between Self-limiting and Traditional Etchant Systems

    DTIC Science & Technology

    2016-06-18

    two different etchants (Ultradent’s Opal Etch 35%, a self-limiting phosphoric acid, or 34% Tooth Conditioning Gel by Dentsply) at varied time... Opal versus Dentsply and there was also a significant difference between etch time. There is no significant difference between the interaction of...etch material and etch time. Conclusion: The depth of etch of Opal etchant was consistently less than Dentsply etchant but continued to etch and

  9. APEX (Aqueous Photochemistry of Environmentally occurring Xenobiotics): a free software tool to predict the kinetics of photochemical processes in surface waters.

    PubMed

    Bodrato, Marco; Vione, Davide

    2014-04-01

    The APEX software predicts the photochemical transformation kinetics of xenobiotics in surface waters as a function of: photoreactivity parameters (direct photolysis quantum yield and second-order reaction rate constants with transient species, namely ˙OH, CO₃(-)˙, (1)O₂ and the triplet states of chromophoric dissolved organic matter, (3)CDOM*), water chemistry (nitrate, nitrite, bicarbonate, carbonate, bromide and dissolved organic carbon, DOC), and water depth (more specifically, the optical path length of sunlight in water). It applies to well-mixed surface water layers, including the epilimnion of stratified lakes, and the output data are average values over the considered water column. Based on intermediate formation yields from the parent compound via the different photochemical pathways, the software can also predict intermediate formation kinetics and overall yield. APEX is based on a photochemical model that has been validated against available field data of pollutant phototransformation, with good agreement between model predictions and field results. The APEX software makes allowance for different levels of knowledge of a photochemical system. For instance, the absorption spectrum of surface water can be used if known, or otherwise it can be modelled from the values of DOC. Also the direct photolysis quantum yield can be entered as a detailed wavelength trend, as a single value (constant or average), or it can be defined as a variable if unknown. APEX is based on the free software Octave. Additional applications are provided within APEX to assess the σ-level uncertainty of the results and the seasonal trend of photochemical processes.

  10. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    PubMed

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  11. Thermal and photochemical reactions of NO2 on chromium(III) oxide surfaces at atmospheric pressure.

    PubMed

    Nishino, Noriko; Finlayson-Pitts, Barbara J

    2012-12-05

    While many studies of heterogeneous chemistry on Cr(2)O(3) surfaces have focused on its catalytic activity, less is known about chemistry on this surface under atmospheric conditions. We report here studies of the thermal and photochemical reactions of NO(2) on Cr(2)O(3) at one atm in air. In order to follow surface species, the interaction of 16-120 ppm NO(2) with a 15 nm Cr(2)O(3) thin film deposited on a germanium crystal was monitored in a flow system using attenuated total reflectance (ATR) coupled to a Fourier transform infrared (FTIR) spectrometer. Gas phase products were monitored in the effluent of an ~285 ppm NO(2)-air mixture that had passed over Cr(2)O(3) powder in a flow system. A chemiluminescence NO(y) analyzer, a photometric O(3) analyzer and a long-path FTIR spectrometer were used to probe the gaseous products. In the absence of added water vapor, NO(2) formed nitrate (NO(3)(-)) ions coordinated to Cr(3+). These surface coordinated NO(3)(-) were reversibly solvated by water under humid conditions. In both dry and humid cases, nitrate ions decreased during irradiation of the surface at 302 nm, and NO and NO(2) were generated in the gas phase. Under dry conditions, NO was the major gaseous product while NO(2) was the dominant species in the presence of water vapor. Heating of the surface after exposure to NO(2) led to the generation of both NO(2) and NO under dry conditions, but only NO(2) in the presence of water vapor. Elemental chromium incorporated into metal alloys such as stainless steel is readily oxidized in contact with ambient air, forming a chromium-rich metal oxide surface layer. The results of these studies suggest that active photo- and thermal chemistry will occur when boundary layer materials containing chromium(III) or chromium oxide such as stainless steel, roofs, automobile bumpers etc. are exposed to NO(2) under tropospheric conditions.

  12. Comparative study of resist stabilization techniques for metal etch processing

    NASA Astrophysics Data System (ADS)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  13. Effects of etching time on enamel bond strengths.

    PubMed

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  14. Construction of a photochemical reactor combining a CCD spectrophotometer and a LED radiation source.

    PubMed

    Gombár, Melinda; Józsa, Éva; Braun, Mihály; Ősz, Katalin

    2012-10-01

    An inexpensive photoreactor using LED light sources and a fibre-optic CCD spectrophotometer as a detector was built by designing a special cell holder for standard 1.000 cm cuvettes. The use of this device was demonstrated by studying the aqueous photochemical reaction of 2,5-dichloro-1,4-benzoquinone. The developed method combines the highly quantitative data collection of CCD spectrophotometers with the possibility of illuminating the sample independently of the detecting light beam, which is a substantial improvement of the method using diode array spectrophotometers as photoreactors.

  15. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  16. Influence of water storage on fatigue strength of self-etch adhesives.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  17. Degradation and mineralization of 2,4,6-trinitroresorcine in various photochemical systems.

    PubMed

    Khue, Do Ngoc; Chat, Nguyen Van; Minh, Do Binh; Lam, Tran Dai; Lan, Pham Hong; Loi, Vu Duc

    2013-05-01

    Comparison was observed for degradation and mineralization of the explosive 2,4,6-trinitroresorcine (TNR) in different photochemical systems TNR/UV, TNR/UV/TiO2, TNR/UV/H2O2, TNR/UV/O3, TNR/UV/TiO2/H2O2 and TNR/UV/TiO2/O3 using High Performance Liquid Chromatography coupled with Mass Spectrometry (HPLC/MS) and Total Organic Carbon (TOC) analysis. Addition of oxidizing agents such as H2O2 or O3 accelerated the rate of TNR conversion and mineralization. Highest reaction rate was obtained in TNR/UV/TiO2/H2O2 system. The intermediate products were characterized and identified by LS-MS technique. The similarity in intermediate products of TNR suggested the analogous reaction pathways of the TNR degradation by these different systems. Copyright © 2013 Elsevier B.V. All rights reserved.

  18. Physics and chemistry of complex oxide etching and redeposition control

    NASA Astrophysics Data System (ADS)

    Margot, Joëlle

    2012-10-01

    Since its introduction in the 1970s, plasma etching has become the universal method for fine-line pattern transfer onto thin films and is anticipated to remain so in foreseeable future. Despite many success stories, plasma etching processes fail to meet the needs for several of the newest materials involved in advanced devices for photonic, electronic and RF applications like ferroelectrics, electro-optic materials, high-k dielectrics, giant magnetoresistance materials and unconventional conductors. In this context, the work achieved over the last decade on the etching of multicomponent oxides thin films such as barium strontium titanate (BST), strontium titanate (STO) and niobate of calcium and barium (CBN) will be reviewed. These materials present a low reactivity with usual etching gases such as fluorinated and chlorinated gases, their etching is mainly governed by ion sputtering and reactive gases sometimes interact with surface materials to form compounds that inhibit etching. The etching of platinum will also be presented as an example of unconventional conductor materials for which severe redeposition limits the achievable etching quality. Finally, it will be shown how simulation can help to understand the etching mechanisms and to define avenues for higher quality patterning.

  19. Wafer hotspot prevention using etch aware OPC correction

    NASA Astrophysics Data System (ADS)

    Hamouda, Ayman; Power, Dave; Salama, Mohamed; Chen, Ao

    2016-03-01

    As technology development advances into deep-sub-wavelength nodes, multiple patterning is becoming more essential to achieve the technology shrink requirements. Recently, Optical Proximity Correction (OPC) technology has proposed simultaneous correction of multiple mask-patterns to enable multiple patterning awareness during OPC correction. This is essential to prevent inter-layer hot-spots during the final pattern transfer. In state-of-art literature, multi-layer awareness is achieved using simultaneous resist-contour simulations to predict and correct for hot-spots during mask generation. However, this approach assumes a uniform etch shrink response for all patterns independent of their proximity, which isn't sufficient for the full prevention of inter-exposure hot-spot, for example different color space violations post etch or via coverage/enclosure post etch. In this paper, we explain the need to include the etch component during multiple patterning OPC. We also introduce a novel approach for Etch-aware simultaneous Multiple-patterning OPC, where we calibrate and verify a lumped model that includes the combined resist and etch responses. Adding this extra simulation condition during OPC is suitable for full chip processing from a computation intensity point of view. Also, using this model during OPC to predict and correct inter-exposures hot-spots is similar to previously proposed multiple-patterning OPC, yet our proposed approach more accurately corrects post-etch defects too.

  20. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness,more » etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side

  1. Method for preparing small volume reaction containers

    DOEpatents

    Retterer, Scott T.; Doktycz, Mitchel J.

    2017-04-25

    Engineered reaction containers that can be physically and chemically defined to control the flux of molecules of different sizes and charge are disclosed. Methods for constructing small volume reaction containers through a combination of etching and deposition are also disclosed. The methods allow for the fabrication of multiple devices that possess features on multiple length scales, specifically small volume containers with controlled porosity on the nanoscale.

  2. Method for anisotropic etching in the manufacture of semiconductor devices

    NASA Technical Reports Server (NTRS)

    Koontz, Steven L. (Inventor); Cross, Jon B. (Inventor)

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by hyperthermal atomic oxygen beams (translational energies of 0.2 to 20 eV, preferably 1 to 10 eV). Etching with hyperthermal oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask protected areas.

  3. Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells

    PubMed Central

    Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke

    2012-01-01

    Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035

  4. Branching and competition of ultrafast photochemical reactions of cyclooctatriene and bicyclooctadiene

    NASA Astrophysics Data System (ADS)

    Kosma, Kyriaki; Trushin, Sergei A.; Schmid, Wolfram E.; Fuß, Werner

    2015-12-01

    The main primary photoproducts of cycloocta-1,3,5-triene (COT) are a strained mono-E isomer, Z,Z-octatetraene (OT, from electrocyclic ring opening) and benzene + ethylene. We investigated the excited-state dynamics of COT by time-resolved mass spectroscopy, probing by near-IR photoionization. Unexpectedly, we found only one reaction channel. We assign it to the pericyclic reactions. Evidence for an early branching between this and the Z-E channel is taken from previous resonance Raman data. This channel confirms previously formulated rules on the excited states involved, the reaction path and driving forces and contributes to their rationalization. Bicyclo[4.2.0]octa-2,4-diene undergoes only two pericyclic reactions: ring opening to OT and cleavage to benzene + ethylene. We investigated it briefly in its equilibrium mixture with COT. The data are consistent with a common path on the excited surfaces. Suggestions are made for structures of conical intersections, and driving forces are considered. All processes were found to be barrierless.

  5. Mass spectrometry analysis of etch products from CR-39 plastic irradiated by heavy ions

    NASA Astrophysics Data System (ADS)

    Kodaira, S.; Nanjo, D.; Kawashima, H.; Yasuda, N.; Konishi, T.; Kurano, M.; Kitamura, H.; Uchihori, Y.; Naka, S.; Ota, S.; Ideguchi, Y.; Hasebe, N.; Mori, Y.; Yamauchi, T.

    2012-09-01

    As a feasibility study, gas chromatography-mass spectrometry (GC-MS) and matrix-assisted laser desorption ionization-mass spectrometry (MALDI-MS) have been applied to analyze etch products of CR-39 plastic (one of the most frequently used solid states nuclear track detector) for the understanding of track formation and etching mechanisms by heavy ion irradiation. The etch products of irradiated CR-39 dissolved in sodium hydroxide solution (NaOH) contain radiation-induced fragments. For the GC-MS analysis, we found peaks of diethylene glycol (DEG) and a small but a definitive peak of ethylene glycol (EG) in the etch products from CR-39 irradiated by 60 MeV N ion beams. The etch products of unirradiated CR-39 showed a clear peak of DEG, but no other significant peaks were found. DEG is known to be released from the CR-39 molecule as a fragment by alkaline hydrolysis reaction of the polymer. We postulate that EG was formed as a result of the breaking of the ether bond (C-O-C) of the DEG part of the CR-39 polymer by the irradiation. The mass distribution of polyallylalcohol was obtained from the etch products from irradiated and unirradiated CR-39 samples by MALDI-MS analysis. Polyallylalcohol, with the repeating mass interval of m/z = 58 Da (dalton) between m/z = 800 and 3500, was expected to be produced from CR-39 by alkaline hydrolysis. We used IAA as a matrix to assist the ionization of organic analyte in MALDI-MS analysis and found that peaks from IAA covered mass spectrum in the lower m/z region making difficult to identify CR-39 fragment peaks which were also be seen in the same region. The mass spectrometry analysis using GC-MS and MALDI-MS will be powerful tools to investigate the radiation-induced polymeric fragments and helping to understand the track formation mechanism in CR-39 by heavy ions.

  6. A Combined Photochemical and Multicomponent Reaction Approach to Precision Oligomers.

    PubMed

    Konrad, Waldemar; Bloesser, Fabian R; Wetzel, Katharina S; Boukis, Andreas C; Meier, Michael A R; Barner-Kowollik, Christopher

    2018-03-07

    We introduce the convergent synthesis of linear monodisperse sequence-defined oligomers through a unique approach, combining the Passerini three-component reaction (P-3CR) and a Diels-Alder (DA) reaction based on photocaged dienes. A set of oligomers is prepared resting on a Passerini linker unit carrying an isocyano group for chain extension by P-3CR and a maleimide moiety for photoenol conjugation enabling a modular approach for chain growth. Monodisperse oligomers are accessible in a stepwise fashion by switching between both reaction types. Employing sebacic acid as a core unit allows the synthesis of a library of symmetric sequence-defined oligomers. The oligomers consist of alternating P-3CR and photoblocks with molecular weights up to 3532.16 g mol -1 , demonstrating the successful switching from P-3CR to photoenol conjugation. In-depth characterization was carried out including size-exclusion chromatography (SEC), high-resolution electrospray ionization mass spectrometry (ESI-MS) and NMR spectroscopy, evidencing the monodisperse nature of the precision oligomers. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Method for anisotropic etching in the manufacture of semiconductor devices

    DOEpatents

    Koontz, Steven L.; Cross, Jon B.

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by atomic oxygen beams (translational energies of 0.2-20 eV, preferably 1-10 eV). Etching with hyperthermal (kinetic energy>1 eV) oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask-protected areas.

  8. CDU improvement technology of etching pattern using photo lithography

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Jyousaka, Megumi; Ogata, Kunie; Morimoto, Tamotsu; Konishi, Yoshitaka

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving Resist Pattern CDU is to control post-exposure bake (PEB) temperature. When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. Resist Pattern CDU improvement contributes to Etching Pattern CDU improvement to a certain degree. To further improve Etching Pattern CDU, etcher-specific CD variation needs to be controlled. In this evaluation, 1. We verified whether etcher-specific CD variation can be controlled and consequently Etching Pattern CDU can be further improved by controlling resist patterns through PEB control. 2. Verifying whether Etching Pattern CDU improvement through has any effect on the reduction in wiring resistance variation. The evaluation procedure is as follows.1. Wafers with base film of Doped Poly-Si (D-Poly) were prepared. 2. Resist patterns were created on them. 3. To determine etcher-specific characteristics, the first etching was performed, and after cleaning off the resist and BARC, CD of etched D-Poly was measured. 4. Using the obtained within-wafer CD distribution of the etching patterns, within-wafer temperature distribution in the PEB process was modified. 5. Resist patterns were created again, followed by the second etching and cleaning, which was followed by CD measurement. We used Optical CD Measurement (OCD) for measurement of resist patterns and etching patterns as OCD is minimally affected by Line Edge Roughness (LER). As a result, 1. We confirmed the effect of Resist Pattern CD control through PEB control on the reduction in etcher-specific CD variation and the improvement in Etching Pattern CDU. 2. The improvement in Etching

  9. Atomic precision etch using a low-electron temperature plasma

    NASA Astrophysics Data System (ADS)

    Dorf, L.; Wang, J.-C.; Rauf, S.; Zhang, Y.; Agarwal, A.; Kenney, J.; Ramaswamy, K.; Collins, K.

    2016-03-01

    Sub-nm precision is increasingly being required of many critical plasma etching processes in the semiconductor industry. Accurate control over ion energy and ion/radical composition is needed during plasma processing to meet these stringent requirements. Described in this work is a new plasma etch system which has been designed with the requirements of atomic precision plasma processing in mind. In this system, an electron sheet beam parallel to the substrate surface produces a plasma with an order of magnitude lower electron temperature Te (~ 0.3 eV) and ion energy Ei (< 3 eV without applied bias) compared to conventional radio-frequency (RF) plasma technologies. Electron beam plasmas are characterized by higher ion-to-radical fraction compared to RF plasmas, so a separate radical source is used to provide accurate control over relative ion and radical concentrations. Another important element in this plasma system is low frequency RF bias capability which allows control of ion energy in the 2-50 eV range. Presented in this work are the results of etching of a variety of materials and structures performed in this system. In addition to high selectivity and low controllable etch rate, an important requirement of atomic precision etch processes is no (or minimal) damage to the remaining material surface. It has traditionally not been possible to avoid damage in RF plasma processing systems, even during atomic layer etch. The experiments for Si etch in Cl2 based plasmas in the aforementioned etch system show that damage can be minimized if the ion energy is kept below 10 eV. Layer-by-layer etch of Si is also demonstrated in this etch system using electrical and gas pulsing.

  10. Vapor etching of nuclear tracks in dielectric materials

    DOEpatents

    Musket, Ronald G.; Porter, John D.; Yoshiyama, James M.; Contolini, Robert J.

    2000-01-01

    A process involving vapor etching of nuclear tracks in dielectric materials for creating high aspect ratio (i.e., length much greater than diameter), isolated cylindrical holes in dielectric materials that have been exposed to high-energy atomic particles. The process includes cleaning the surface of the tracked material and exposing the cleaned surface to a vapor of a suitable etchant. Independent control of the temperatures of the vapor and the tracked materials provide the means to vary separately the etch rates for the latent track region and the non-tracked material. As a rule, the tracked regions etch at a greater rate than the non-tracked regions. In addition, the vapor-etched holes can be enlarged and smoothed by subsequent dipping in a liquid etchant. The 20-1000 nm diameter holes resulting from the vapor etching process can be useful as molds for electroplating nanometer-sized filaments, etching gate cavities for deposition of nano-cones, developing high-aspect ratio holes in trackable resists, and as filters for a variety of molecular-sized particles in virtually any liquid or gas by selecting the dielectric material that is compatible with the liquid or gas of interest.

  11. Photochemical dimerization and functionalization of alkanes, ethers, primary and secondary alcohols, phosphine oxides and silanes

    DOEpatents

    Crabtree, Robert H.; Brown, Stephen H.

    1989-01-01

    The space-time yield and/or the selectivity of the photochemical dimerization of alkanes, ethers, primary and secondary alcohols, phosphine oxides and primary, secondary and tertiary silanes with Hg and U.V. light is enhanced by refluxing the substrate in the irradiated reaction zone at a temperature at which the dimer product condenses and remains condensed promptly upon its formation. Cross-dimerization of the alkanes, ethers and silanes with primary alcohols is disclosed, as is the functionalization to aldehydes of the alkanes with carbon monoxide.

  12. Photochemical dimerization and functionalization of alkanes, ethers, primary and secondary alcohols, phosphine oxides and silanes

    DOEpatents

    Crabtree, R.H.; Brown, S.H.

    1989-10-17

    The space-time yield and/or the selectivity of the photochemical dimerization of alkanes, ethers, primary and secondary alcohols, phosphine oxides and primary, secondary and tertiary silanes with Hg and U.V. light is enhanced by refluxing the substrate in the irradiated reaction zone at a temperature at which the dimer product condenses and remains condensed promptly upon its formation. Cross-dimerization of the alkanes, ethers and silanes with primary alcohols is disclosed, as is the functionalization to aldehydes of the alkanes with carbon monoxide.

  13. Recent Advances in the Synthesis of Cyclobutanes by Olefin [2 + 2] Photocycloaddition Reactions

    PubMed Central

    2016-01-01

    The [2 + 2] photocycloaddition is undisputedly the most important and most frequently used photochemical reaction. In this review, it is attempted to cover all recent aspects of [2 + 2] photocycloaddition chemistry with an emphasis on synthetically relevant, regio-, and stereoselective reactions. The review aims to comprehensively discuss relevant work, which was done in the field in the last 20 years (i.e., from 1995 to 2015). Organization of the data follows a subdivision according to mechanism and substrate classes. Cu(I) and PET (photoinduced electron transfer) catalysis are treated separately in sections 2 and 4, whereas the vast majority of photocycloaddition reactions which occur by direct excitation or sensitization are divided within section 3 into individual subsections according to the photochemically excited olefin. PMID:27018601

  14. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  15. Reaction Gradients Viewed Inside Single Photoactive Particles

    NASA Astrophysics Data System (ADS)

    Alpert, P.; Corral Arroyo, P.; Dou, J.; Kreiger, U.; Luo, B.; Peter, T.; Ammann, M.

    2017-12-01

    In terms of chemical selectivity and spatial resolution, a technique known as scanning transmission X-ray microscopy coupled to near-edge X-ray absorption fine structure spectroscopy (STXM/NEXAFS) is unmatched and will remain so for years into the future. We present a recent development coupling STXM/NEXAFS to a custom-built photochemical environmental reactor in which aerosol particles reside allowing for in situ chemical imaging. A laboratory investigation of metal-organic complex photochemistry was conducted. Transition metals are of great importance to atmospheric chemistry and aerosol photochemical aging due to their ability to catalyze oxidation reactions. Aerosol particles composed of mixtures of citric acid and iron citrate were probed for their organic carbon composition and iron oxidation state under atmospherically relevant conditions. At 40% relative humidity, oxygen diffusion and reaction was severely limited. Fe was reoxidized in the first 200 nm of the particle surface leaving reduced iron in the core. Similar gradients were observed at 60% RH, however waiting approximately 2 hours in the dark resulted in a recovery of the initial Fe(III) concentration. We draw two main conclusions from our findings. Frist, the oxidation gradients must have been the result of anoxic conditions at the interior of aerosol particles. This was predicted using a newly developed model for molecular diffusion through multiple layers with a reaction framework describing the photochemical processing of the metal organic matrix. Second, the lifetime of organic radicals in an anoxic diffusion limited organic matrix must be considerably long ( hours) to completely reoxidize iron as they wait for molecular oxygen. Long radical lifetimes in viscous organic aerosol in turn, could create high radical concentrations or favor radical-radical reactions in particles typically not considered when oxygen is plentiful. Our results impact predictions of aerosol physiochemical properties, e

  16. Photonic jet μ-etching: from static to dynamic process

    NASA Astrophysics Data System (ADS)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  17. Experimental study of heterogeneous organic chemistry induced by far ultraviolet light: Implications for growth of organic aerosols by CH3 addition in the atmospheres of Titan and early Earth

    NASA Astrophysics Data System (ADS)

    Hong, Peng; Sekine, Yasuhito; Sasamori, Tsutoni; Sugita, Seiji

    2018-06-01

    Formation of organic aerosols driven by photochemical reactions has been observed and suggested in CH4-containing atmospheres, including Titan and early Earth. However, the detailed production and growth mechanisms of organic aerosols driven by solar far ultraviolet (FUV) light remain poorly constrained. We conducted laboratory experiments simulating photochemical reactions in a CH4sbnd CO2 atmosphere driven by the FUV radiations dominated by the Lyman-α line. In the experiments, we analyzed time variations in thickness and infrared spectra of solid organic film formed on an optical window in a reaction cell. Gas species formed by FUV irradiation were also analyzed and compared with photochemical model calculations. Our experimental results show that the growth rate of the organic film decreases as the CH4/CO2 ratio of reactant gas mixture decreases, and that the decrease becomes very steep for CH4/CO2 < 1. Comparison with photochemical model calculations suggests that polymerizations of gas-phase hydrocarbons, such as polyynes and aromatics, cannot account for the growth rate of the organic film but that the addition reaction of CH3 radicals onto the organic film with the reaction probability around 10-2 can explain the growth rate. At CH4/CO2 < 1, etching by O atom formed by CO2 photolysis would reduce or inhibit the growth of the organic film. Our results suggest that organic aerosols would grow through CH3 addition onto the surface during the precipitation of aerosol particles in the middle atmosphere of Titan and early Earth. On Titan, effective CH3 addition would reduce C2H6 production in the atmosphere. On early Earth, growth of aerosol particles would be less efficient than those on Titan, possibly resulting in small-sized monomers and influencing UV shielding.

  18. Qualitative modeling of silica plasma etching using neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Kwon, Kwang Ho

    2003-01-01

    An etching of silica thin film is qualitatively modeled by using a neural network. The process was characterized by a 23 full factorial experiment plus one center point, in which the experimental factors and ranges include 100-800 W radio-frequency source power, 100-400 W bias power and gas flow rate ratio CHF3/CF4. The gas flow rate ratio varied from 0.2 to 5.0. The backpropagation neural network (BPNN) was trained on nine experiments and tested on six experiments, not pertaining to the original training data. The prediction ability of the BPNN was optimized as a function of the training parameters. Prediction errors are 180 Å/min and 1.33, for the etch rate and anisotropy models, respectively. Physical etch mechanisms were estimated from the three-dimensional plots generated from the optimized models. Predicted response surfaces were consistent with experimentally measured etch data. The dc bias was correlated to the etch responses to evaluate its contribution. Both the source power (plasma density) and bias power (ion directionality) strongly affected the etch rate. The source power was the most influential factor for the etch rate. A conflicting effect between the source and bias powers was noticed with respect to the anisotropy. The dc bias played an important role in understanding or separating physical etch mechanisms.

  19. Exploration of suitable dry etch technologies for directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  20. Electronegativity-dependent tin etching from thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that,more » due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.« less

  1. Photochemical cycle of bacteriorhodopsin studied by resonance Raman spectroscopy.

    PubMed

    Stockburger, M; Klusmann, W; Gattermann, H; Massig, G; Peters, R

    1979-10-30

    Individual species of the photochemical cycle of bacteriorhodopsin, a retinal-protein complex of Halobacteria, were studied in aqueous suspensions of the "purple membrane" at room temperature by resonance Raman (RR) spectroscopy with flow systems. Two pronounced deuterium shifts were found in the RR spectra of the all-trans complex BR-570 in H2O-D2O suspensions. The first is ascribed to C=NH+ (C=ND+) stretching vibrations of the protonated Schiff base which links retinal to opsin. The second is assigned tentatively to an "X-H" ("X-D") bending mode, where "X" is an atom which carries an exchangeable proton. A RR spectrum of the 13-cis-retinal complex "BR-548" could be deduced from spectra of the dark-adapted purple membrane. The RR spectrum of the M-412 intermediate was monitored in a double-beam pump-probe experiment. The main vibrational features of the intermediate M' in the reaction M-412 in equilibrium hv M' leads to delta BR-570 could be deduced from a photostationary mixture of M-412 and M'. Difference procedures were applied to obtain RR spectra of the L-550 intermediate and of two new long-lived species, R1'-590 and R2-550. From kinetic data it is suggested that T1'-590 links the proton-translocating cycle to the "13-cis" cycle of BR-548. The protonation and isomeric states of the different species are discussed in light of the new spectroscopic and kinetic data. It is found that conformational changes during the photochemical cycle play an important role.

  2. Etching of enamel for direct bonding with a thulium fiber laser

    NASA Astrophysics Data System (ADS)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  3. Lateral electrochemical etching of III-nitride materials for microfabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Jung

    Conductivity-selective lateral etching of III-nitride materials is described. Methods and structures for making vertical cavity surface emitting lasers with distributed Bragg reflectors via electrochemical etching are described. Layer-selective, lateral electrochemical etching of multi-layer stacks is employed to form semiconductor/air DBR structures adjacent active multiple quantum well regions of the lasers. The electrochemical etching techniques are suitable for high-volume production of lasers and other III-nitride devices, such as lasers, HEMT transistors, power transistors, MEMs structures, and LEDs.

  4. Effect of different monomer-based composites and acid etching pre-treatment of enamel on the microleakage using self-etch adhesives systems.

    PubMed

    Catelan, Anderson; Giorgi, Maria Cecília Caldas; Soares, Giulliana Panfiglio; Lima, Debora Alves Nunes Leite; Marchi, Giselle Maria; Aguiar, Flávio Henrique Baggio

    2014-11-01

    To evaluate quantitatively the marginal microleakage of restorations carried out with self-etching adhesives with or without prior phosphoric enamel acid etching of silorane or methacrylate resin-based composite restorations subjected to thermal cycling. Forty cavities were prepared at the proximal surface of bovine incisors and randomly divided according to the etching of the enamel and restorative system used. The groups were restored with methacrylate [Adper SE Plus adhesive (3M ESPE) + Filtek Z250 (3M ESPE)] or silorane [Filtek LS adhesive (3M ESPE) + Filtek LS composite (3M ESPE)] restorative systems, light-cured using a LED unit (Bluephase 16i, Vivadent). After restorative procedure and thermocycling (1000 cycles), the specimens were immersed in methylene blue for 2 h. The specimens were triturated and the powder was used for analysis in an absorbance spectrophotometer. Data were statistically analyzed by 2-way ANOVA (alpha = 0.05). No statistical difference between the restorative materials tested with or without previous acid etching of enamel in Class II marginal microleakage was observed (p > 0.05). The use of acid etching prior to self-etching adhesives did not interfere on the microleakage of methacrylate- or silorane-based restorations.

  5. Eddy mixing coefficient upper limit derived from the photochemical balance of O2

    NASA Technical Reports Server (NTRS)

    Rosenqvist, J.; Chassefiere, E.

    1993-01-01

    This work is based on the study of the photochemical balance of molecular oxygen in the martian atmosphere by using a one-dimensional model of photochemical reactions involving species derived from CO2 and H2O. The model is basically similar to one used previously for the study of the regulation of CO on a global scale, but the chemical rates are taken from another source. In the present scheme, the regulation of molecular oxygen is studied over timescales of the order of its photochemical lifetime (approximately equals 30 yr), which is much shorter than typical escape timescales. Thus, the escape fluxes are fixed to the values given by 3 and 4. We examine the calculated equilibrium abundances of O2 for given thermal, eddy diffusion coefficients and H2O profiles. The thermal profile is taken from in the lower atmosphere. At higher levels, in order to include the diurnal and seasonal thermal profile variability, we have also used the IRTM data. In order to study the influence of both temperature and pressure profiles on the O2 mixing ratio, we have made several tests corresponding to different martian seasons. The results show that the influence of pressure and temperature is quantitatively weak compared to the one of K and of the water vapor density (H2O). Thus, in the following we have fixed the pressure at the surface to a value of 7 mbar and we have used unique standard thermal profile corresponding to a profile roughly averaged over the year, the season, and the day: T equal 205 K at 0 km altitude, 175 K at 25 km, and 145 K at 50 km.

  6. Eliminating dependence of hole depth on aspect ratio by forming ammonium bromide during plasma etching of deep holes in silicon nitride and silicon dioxide

    NASA Astrophysics Data System (ADS)

    Iwase, Taku; Yokogawa, Kenetsu; Mori, Masahito

    2018-06-01

    The reaction mechanism during etching to fabricate deep holes in SiN/SiO2 stacks by using a HBr/N2/fluorocarbon-based gas plasma was investigated. To etch SiN and SiO2 films simultaneously, HBr/fluorocarbon gas mixture ratio was controlled to achieve etching selectivity closest to one. Deep holes were formed in the SiN/SiO2 stacks by one-step etching at several temperatures. The surface composition of the cross section of the holes was analyzed by time-of-flight secondary-ion mass spectrometry. It was found that bromine ions (considered to be derived from NH4Br) were detected throughout the holes in the case of low-temperature etching. It was also found that the dependence of hole depth on aspect ratio decreases as temperature decreases, and it becomes significantly weaker at a substrate temperature of 20 °C. It is therefore concluded that the formation of NH4Br supplies the SiN/SiO2 etchant to the bottom of the holes. Such a finding will make it possible to alleviate the decrease in etching rate due to a high aspect ratio.

  7. Dry etch challenges for CD shrinkage in memory process

    NASA Astrophysics Data System (ADS)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  8. Low damage dry etch for III-nitride light emitters

    NASA Astrophysics Data System (ADS)

    Nedy, Joseph G.; Young, Nathan G.; Kelchner, Kathryn M.; Hu, Yanling; Farrell, Robert M.; Nakamura, Shuji; DenBaars, Steven P.; Weisbuch, Claude; Speck, James S.

    2015-08-01

    We have developed a dry etch process for the fabrication of lithographically defined features close to light emitting layers in the III-nitride material system. The dry etch was tested for its effect on the internal quantum efficiency of c-plane InGaN quantum wells using the photoluminescence of a test structure with two active regions. No change was observed in the internal quantum efficiency of the test active region when the etched surface was greater than 71 nm away. To demonstrate the application of the developed dry etch process, surface-etched air gaps were fabricated 275 nm away from the active region of an m-plane InGaN/GaN laser diode and served as the waveguide upper cladding. Electrically injected lasing was observed without the need for regrowth or recovery anneals. This dry etch opens up a new design tool that can be utilized in the next generation of GaN light emitters.

  9. The research on conformal acid etching process of glass ceramic

    NASA Astrophysics Data System (ADS)

    Wang, Kepeng; Guo, Peiji

    2014-08-01

    A series of experiments have been done to explore the effect of different conditions on the hydrofluoric acid etching. The hydrofluoric acid was used to etch the glass ceramic called "ZERODUR", which is invented by SCHOTT in Germany. The glass ceramic was processed into cylindrical samples. The hydrofluoric acid etching was done in a plastic beaker. The concentration of hydrofluoric acid and the etching time were changed to measure the changes of geometric tolerance and I observed the surface using a microscope in order to find an appropriate condition of hydrofluoric acid etching.

  10. Consideration of VT5 etch-based OPC modeling

    NASA Astrophysics Data System (ADS)

    Lim, ChinTeong; Temchenko, Vlad; Kaiser, Dieter; Meusel, Ingo; Schmidt, Sebastian; Schneider, Jens; Niehoff, Martin

    2008-03-01

    Including etch-based empirical data during OPC model calibration is a desired yet controversial decision for OPC modeling, especially for process with a large litho to etch biasing. While many OPC software tools are capable of providing this functionality nowadays; yet few were implemented in manufacturing due to various risks considerations such as compromises in resist and optical effects prediction, etch model accuracy or even runtime concern. Conventional method of applying rule-based alongside resist model is popular but requires a lot of lengthy code generation to provide a leaner OPC input. This work discusses risk factors and their considerations, together with introduction of techniques used within Mentor Calibre VT5 etch-based modeling at sub 90nm technology node. Various strategies are discussed with the aim of better handling of large etch bias offset without adding complexity into final OPC package. Finally, results were presented to assess the advantages and limitations of the final method chosen.

  11. Optical-fiber strain sensors with asymmetric etched structures.

    PubMed

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  12. 40 CFR 52.269 - Control strategy and regulations: Photochemical oxidants (hydrocarbons) and carbon monoxide.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ...: Photochemical oxidants (hydrocarbons) and carbon monoxide. 52.269 Section 52.269 Protection of Environment... PLANS California § 52.269 Control strategy and regulations: Photochemical oxidants (hydrocarbons) and... provide for attainment and maintenance of the national standards for photochemical oxidants (hydrocarbons...

  13. 40 CFR 52.269 - Control strategy and regulations: Photochemical oxidants (hydrocarbons) and carbon monoxide.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ...: Photochemical oxidants (hydrocarbons) and carbon monoxide. 52.269 Section 52.269 Protection of Environment... PLANS California § 52.269 Control strategy and regulations: Photochemical oxidants (hydrocarbons) and... provide for attainment and maintenance of the national standards for photochemical oxidants (hydrocarbons...

  14. A review of iron and cobalt porphyrins, phthalocyanines, and related complexes for electrochemical and photochemical reduction of carbon dioxide

    DOE PAGES

    Manbeck, Gerald F.; Fujita, Etsuko

    2015-03-30

    This review summarizes research on the electrochemical and photochemical reduction of CO₂ using a variety of iron and cobalt porphyrins, phthalocyanines, and related complexes. Metalloporphyrins and metallophthalocyanines are visible light absorbers with extremely large extinction coefficients. However, yields of photochemically-generated active catalysts for CO₂ reduction are typically low owing to the requirement of a second photoinduced electron. This requirement is not relevant to the case of electrochemical CO₂ reduction. Recent progress on efficient and stable electrochemical systems includes the use of FeTPP catalysts that have prepositioned phenyl OH groups in their second coordination spheres. This has led to remarkable progressmore » in carrying out coupled proton-electron transfer reactions for CO₂ reduction. Such ground-breaking research has to be continued in order to produce renewable fuels in an economically feasible manner.« less

  15. An Apparatus for Photochemical Studies.

    ERIC Educational Resources Information Center

    Winter, M. J.; Winter, P. V.

    1984-01-01

    Describes an apparatus developed for photochemical studies, particularly those involving the fluorescence of halogenated acetones. The apparatus is constructed from equipment normally found in a moderately sized physical chemical laboratory. Also provides background information on some aspects of the photophysics of halogenated propanones. (JN)

  16. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    NASA Astrophysics Data System (ADS)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  17. Factors controlling the photochemical degradation of methylmercury in coastal and oceanic waters

    PubMed Central

    DiMento, Brian P.; Mason, Robert P.

    2018-01-01

    Many studies have recognized abiotic photochemical degradation as an important sink of methylmercury (CH3Hg) in sunlit surface waters, but the rate-controlling factors remain poorly understood. The overall objective of this study was to improve our understanding of the relative importance of photochemical reactions in the degradation of CH3Hg in surface waters across a variety of marine ecosystems by extending the range of water types studied. Experiments were conducted using surface water collected from coastal sites in Delaware, New Jersey, Connecticut, and Maine, as well as offshore sites on the New England continental shelf break, the equatorial Pacific, and the Arctic Ocean. Filtered water amended with additional CH3Hg at environmentally relevant concentrations was allowed to equilibrate with natural ligands before being exposed to natural sunlight. Water quality parameters – salinity, dissolved organic carbon, and nitrate – were measured, and specific UV absorbance was calculated as a proxy for dissolved aromatic carbon content. Degradation rate constants (0.87–1.67 day−1) varied by a factor of two across all water types tested despite varying characteristics, and did not correlate with initial CH3Hg concentrations or other environmental parameters. The rate constants in terms of cumulative photon flux values were comparable to, but at the high end of, the range of values reported in other studies. Further experiments investigating the controlling parameters of the reaction observed little effect of nitrate and chloride, and potential for bromide involvement. The HydroLight radiative transfer model was used to compute solar irradiance with depth in three representative water bodies – coastal wetland, estuary, and open ocean – allowing for the determination of water column integrated rates. Methylmercury loss per year due to photodegradation was also modeled across a range of latitudes from the Arctic to the Equator in the three model water types

  18. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  19. From Mars Meteorites to Laboratory Investigations: Understanding Heterogeneous Photochemical Transformations Using Oxygen Triple Isotope Anomalies of Carbonates

    NASA Astrophysics Data System (ADS)

    Shaheen, R.; Smirnova, V.; Jackson, T. L.; Mang, L.; Thiemens, M. H.

    2016-12-01

    The planet Mars is unique in our solar system with a positive O-isotope anomaly observed in its bulk silicate and carbonates minerals ranging from 0.3 to 0.6 ‰. The carbonate isotopic signature can be used to reveal its origin, past history and atmosphere-hydrosphere-geosphere-interactions. Ozone is a powerful natural tracer of photochemical processes in Earth's atmosphere. It possess the highest enrichment in heavy isotopes δ17O ≈ δ18O (70-150‰) and oxygen isotopic anomaly (Δ17O = 30-40‰). The oxygen isotopic anomaly from ozone is transferred to other oxygen carrying molecules in the atmosphere through different mechanisms. Laboratory experiments were conducted with the JSC-Mars Simulant and iron oxide to investigate how this anomaly can be transferred to water and minerals under conditions similar to present day Mars. Three sets of laboratory experiments (O3-H2O-UV-minerals; O2-H2O-UV-minerals; O3-H2O-minerals) were performed. The oxygen triple isotopic analysis of product mineral carbonates formed from adsorbed CO2 reaction showed an oxygen isotopic anomaly (Δ17O = 0.4-3‰). The oxygen triple isotopic composition of water at photochemical equilibrium shifted towards ozone with Δ17O = 9‰ indicating reaction of ozone with water vapor via electronically excited oxygen atoms and transfer of the anomaly via hydroxyl radicals. HOx (HO, HO2) are extremely reactive and have very short life time (< μs), however, our data indicate that its signature is preserved through surficial interactions with adsorbed CO2 on mineral surfaces. Hydroxyl radicals may have played a significant role in heterogeneous photochemical transformations on mineral dust in the atmosphere of Mars and transfer of ozone anomaly to water and other oxygen bearing minerals through surficial reactions. Series of experiments were performed to constrain the amount of H2O required to preserve the oxygen isotope anomaly observed in carbonate minerals in the Martian meteorites. These

  20. Study on the formation of dodecagonal pyramid on nitrogen polar GaN surface etched by hot H3PO4

    NASA Astrophysics Data System (ADS)

    Qi, S. L.; Chen, Z. Z.; Fang, H.; Sun, Y. J.; Sang, L. W.; Yang, X. L.; Zhao, L. B.; Tian, P. F.; Deng, J. J.; Tao, Y. B.; Yu, T. J.; Qin, Z. X.; Zhang, G. Y.

    2009-08-01

    Hot phosphor acid (H3PO4) etching is presented to form a roughened surface with dodecagonal pyramids on laser lift-off N face GaN grown by metalorganic chemical vapor deposition. A detailed analysis of time evolution of surface morphology is described as a function of etching temperature. The activation energy of the H3PO4 etching process is 1.25 eV, indicating the process is reaction-limited scheme. And it is found that the oblique angle between the facets and the base plane increases as the temperature increases. Thermodynamics and kinetics related factors of the formation mechanism of the dodecagonal pyramid are also discussed. The light output power of a vertical injection light-emitting-diode (LED) with proper roughened surface shows about 2.5 fold increase compared with that of LED without roughened surface.

  1. Enantioselective Photochemical Organocascade Catalysis

    PubMed Central

    Woźniak, Łukasz; Magagnano, Giandomenico

    2017-01-01

    Abstract Reported herein is a photochemical cascade process that combines the excited‐state and ground‐state reactivity of chiral organocatalytic intermediates. This strategy directly converts racemic cyclopropanols and α,β‐unsaturated aldehydes into stereochemically dense cyclopentanols with exquisite stereoselectivity. Mechanistic investigations have enabled elucidating the origin of the stereoconvergence, which is governed by a kinetic resolution process. PMID:29205718

  2. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    PubMed

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p < 0.05). Self-etch adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  3. Effects of attrition, prior acid-etching, and cyclic loading on the bond strength of a self-etching adhesive system to dentin.

    PubMed

    Shinkai, Koichi; Ebihara, Takashi; Shirono, Manabu; Seki, Hideaki; Wakaki, Suguru; Suzuki, Masaya; Suzuki, Shiro; Katoh, Yoshiroh

    2009-03-01

    The purpose of this study was to evaluate the effects of dentin attrition, phosphoric acid etching, and cyclic loading on the microtensile bond strength (microTBS) of a self-etching adhesive system to dentin. Flat dentin surfaces of human molars were assigned to eight experimental groups based on those with or without attrition, prior acid-etching, and cyclic loading. Resin composite paste was placed and polymerized after the bonding procedure according to manufacturer's instructions. The specimens were subjected to microTBS testing at a crosshead speed of 0.5 mm/min. Results showed that the minimum mean value of microTBS was 14.9 MPa in the group without attrition and acid-etching but with loading, while the maximum mean value of microTBS was 40.0 MPa in the group without attrition and loading but with acid etching. Therefore, the value of microTBS to dentin without attrition was significantly decreased by cyclic loading but that to dentin with attrition was not affected.

  4. Etching of germanium-tin using ammonia peroxide mixture

    NASA Astrophysics Data System (ADS)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Zhang, Zheng; Pan, Jisheng; Gong, Xiao; Tok, Eng-Soon; Liang, Gengchiau; Yeo, Yee-Chia

    2015-12-01

    The wet etching of germanium-tin (Ge1-xSnx) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge1-xSnx is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge1-xSnx surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge0.918Sn0.082 samples. Both root-mean-square roughness and undulation periods of the Ge1-xSnx surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge1-xSnx using APM and may be used for the fabrication of Ge1-xSnx-based electronic and photonic devices.

  5. Selective dry etching of silicon containing anti-reflective coating

    NASA Astrophysics Data System (ADS)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  6. Laser etching of austenitic stainless steels for micro-structural evaluation

    NASA Astrophysics Data System (ADS)

    Baghra, Chetan; Kumar, Aniruddha; Sathe, D. B.; Bhatt, R. B.; Behere, P. G.; Afzal, Mohd

    2015-06-01

    Etching is a key step in metallography to reveal microstructure of polished specimen under an optical microscope. A conventional technique for producing micro-structural contrast is chemical etching. As an alternate, laser etching is investigated since it does not involve use of corrosive reagents and it can be carried out without any physical contact with sample. Laser induced etching technique will be beneficial especially in nuclear industry where materials, being radioactive in nature, are handled inside a glove box. In this paper, experimental results of pulsed Nd-YAG laser based etching of few austenitic stainless steels such as SS 304, SS 316 LN and SS alloy D9 which are chosen as structural material for fabrication of various components of upcoming Prototype Fast Breeder Reactor (PFBR) at Kalpakkam India were reported. Laser etching was done by irradiating samples using nanosecond pulsed Nd-YAG laser beam which was transported into glass paneled glove box using optics. Experiments were carried out to understand effect of laser beam parameters such as wavelength, fluence, pulse repetition rate and number of exposures required for etching of austenitic stainless steel samples. Laser etching of PFBR fuel tube and plug welded joint was also carried to evaluate base metal grain size, depth of fusion at welded joint and heat affected zone in the base metal. Experimental results demonstrated that pulsed Nd-YAG laser etching is a fast and effortless technique which can be effectively employed for non-contact remote etching of austenitic stainless steels for micro-structural evaluation.

  7. Photo-assisted etching of silicon in chlorine- and bromine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Weiye; Sridhar, Shyam; Liu, Lei

    2014-05-28

    Cl{sub 2}, Br{sub 2}, HBr, Br{sub 2}/Cl{sub 2}, and HBr/Cl{sub 2} feed gases diluted in Ar (50%–50% by volume) were used to study etching of p-type Si(100) in a rf inductively coupled, Faraday-shielded plasma, with a focus on the photo-assisted etching component. Etching rates were measured as a function of ion energy. Etching at ion energies below the threshold for ion-assisted etching was observed in all cases, with Br{sub 2}/Ar and HBr/Cl{sub 2}/Ar plasmas having the lowest and highest sub-threshold etching rates, respectively. Sub-threshold etching rates scaled with the product of surface halogen coverage (measured by X-ray photoelectron spectroscopy) andmore » Ar emission intensity (7504 Å). Etching rates measured under MgF{sub 2}, quartz, and opaque windows showed that sub-threshold etching is due to photon-stimulated processes on the surface, with vacuum ultraviolet photons being much more effective than longer wavelengths. Scanning electron and atomic force microscopy revealed that photo-etched surfaces were very rough, quite likely due to the inability of the photo-assisted process to remove contaminants from the surface. Photo-assisted etching in Cl{sub 2}/Ar plasmas resulted in the formation of 4-sided pyramidal features with bases that formed an angle of 45° with respect to 〈110〉 cleavage planes, suggesting that photo-assisted etching can be sensitive to crystal orientation.« less

  8. Sources and Potential Photochemical Roles of Formaldehyde in an Urban Atmosphere in South China

    NASA Astrophysics Data System (ADS)

    Wang, Chuan; Huang, Xiao-Feng; Han, Yu; Zhu, Bo; He, Ling-Yan

    2017-11-01

    Formaldehyde (HCHO) is an important intermediate in tropospheric photochemistry. However, study of its evolution characteristics under heavy pollution conditions in China is limited, especially for high temporal resolutions, making it difficult to analyze its sources and environmental impacts. In this study, ambient levels of HCHO were monitored using a proton-transfer reaction mass spectrometer at an urban site in the Pearl River Delta of China. Continuous monitoring campaigns were conducted in the spring, summer, fall, and winter in 2016. The highest averaged HCHO concentrations were observed in autumn (5.1 ± 3.1 ppbv) and summer (5.0 ± 4.4 ppbv), followed by winter (4.2 ± 2.2 ppbv) and spring (3.4 ± 1.6 ppbv). The daily maximum of HCHO occurs in the early afternoon and shows good correlations with O3 and the secondary organic aerosol tracer during the day, revealing close relationships between ambient HCHO and secondary formations in Shenzhen, especially in summer and autumn. The daytime HCHO is estimated to be the major contributor to O3 formation and OH radical production, indicating that HCHO plays a key role in the urban atmospheric photochemical reactions. Anthropogenic secondary formation was calculated to be the dominant source of HCHO using a photochemical age-based parameterization method, with an average proportion of 39%. The contributions of biogenic sources in summer (41%) and autumn (39%) are much higher than those in spring (26%) and winter (28%), while the contributions of anthropogenic primary sources in spring (20%) and winter (18%) are twice those in summer (9%) and autumn (9%).

  9. Electric and ferroelectric properties of PZT/BLT multilayer films prepared by photochemical metal-organic deposition

    NASA Astrophysics Data System (ADS)

    Park, Hyeong-Ho; Lee, Hong-Sub; Park, Hyung-Ho; Hill, Ross H.; Hwang, Yun Taek

    2009-01-01

    The electric and ferroelectric properties of lead zirconate titanate (PZT) and lanthanum-substituted bismuth titanate (BLT) multilayer films prepared using photosensitive precursors were characterized. The electric and ferroelectric properties were investigated by studying the effect of the stacking order of four ferroelectric layers of PZT or BLT in 4-PZT, PZT/2-BLT/PZT, BLT/2-PZT/BLT, and 4-BLT multilayer films. The remnant polarization values of the 4-BLT and BLT/2-PZT/BLT multilayer films were 12 and 17 μC/cm 2, respectively. Improved ferroelectric properties of the PZT/BLT multilayer films were obtained by using a PZT intermediate layer. The films which contained a BLT layer on the Pt substrate had improved leakage currents of approximately two orders of magnitude and enhanced fatigue resistances compared to the films with a PZT layer on the Pt substrate. These improvements are due to the reduced number of defects and space charges near the Pt electrodes. The PZT/BLT multilayer films prepared by photochemical metal-organic deposition (PMOD) possessed enhanced electric and ferroelectric properties, and allow direct patterning to fabricate micro-patterned systems without dry etching.

  10. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  11. A Study on Ohmic Contact to Dry-Etched p-GaN

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Ao, Jin-Ping; Okada, Masaya; Ohno, Yasuo

    Low-power dry-etching process has been adopted to study the influence of dry-etching on Ohmic contact to p-GaN. When the surface layer of as-grown p-GaN was removed by low-power SiCl4/Cl2-etching, no Ohmic contact can be formed on the low-power dry-etched p-GaN. The same dry-etching process was also applied on n-GaN to understand the influence of the low-power dry-etching process. By capacitance-voltage (C-V) measurement, the Schottky barrier heights (SBHs) of p-GaN and n-GaN were measured. By comparing the change of measured SBHs on p-GaN and n-GaN, it was suggested that etching damage is not the only reason responsible for the degraded Ohmic contacts to dry-etched p-GaN and for Ohmic contact formatin, the original surface layer of as-grown p-GaN have some special properties, which were removed by dry-etching process. To partially recover the original surface of as-grown p-GaN, high temperature annealing (1000°C 30s) was tried on the SiCl4/Cl2-etched p-GaN and Ohmic contact was obtained.

  12. The endpoint detection technique for deep submicrometer plasma etching

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Du, Zhi-yun; Zeng, Yong; Lan, Zhong-went

    2009-07-01

    The availability of reliable optical sensor technology provides opportunities to better characterize and control plasma etching processes in real time, they could play a important role in endpoint detection, fault diagnostics and processes feedback control and so on. The optical emission spectroscopy (OES) method becomes deficient in the case of deep submicrometer gate etching. In the newly developed high density inductively coupled plasma (HD-ICP) etching system, Interferometry endpoint (IEP) is introduced to get the EPD. The IEP fringe count algorithm is investigated to predict the end point, and then its signal is used to control etching rate and to call end point with OES signal in over etching (OE) processes step. The experiment results show that IEP together with OES provide extra process control margin for advanced device with thinner gate oxide.

  13. No-waiting dentine self-etch concept-Merit or hype.

    PubMed

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  14. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    NASA Astrophysics Data System (ADS)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  15. What's new in dentine bonding? Self-etch adhesives.

    PubMed

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  16. Etching radical controlled gas chopped deep reactive ion etching

    DOEpatents

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  17. Process for etching mixed metal oxides

    DOEpatents

    Ashby, Carol I. H.; Ginley, David S.

    1994-01-01

    An etching process using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstom range may be achieved by this method.

  18. A study of GaN-based LED structure etching using inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Wang, Pei; Cao, Bin; Gan, Zhiyin; Liu, Sheng

    2011-02-01

    GaN as a wide band gap semiconductor has been employed to fabricate optoelectronic devices such as light-emitting diodes (LEDs) and laser diodes (LDs). Recently several different dry etching techniques for GaN-based materials have been developed. ICP etching is attractive because of its superior plasma uniformity and strong controllability. Most previous reports emphasized on the ICP etching characteristics of single GaN film. In this study dry etching of GaN-based LED structure was performed by inductively coupled plasmas (ICP) etching with Cl2 as the base gas and BCl3 as the additive gas. The effects of the key process parameters such as etching gases flow rate, ICP power, RF power and chamber pressure on the etching properties of GaN-based LED structure including etching rate, selectivity, etched surface morphology and sidewall was investigated. Etch depths were measured using a depth profilometer and used to calculate the etch rates. The etch profiles were observed with a scanning electron microscope (SEM).

  19. Technique for etching monolayer and multilayer materials

    DOEpatents

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  20. Ion beam sputter etching and deposition of fluoropolymers

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Sovey, J. S.; Miller, T. B.; Crandall, K. S.

    1978-01-01

    Fluoropolymer etching and deposition techniques including thermal evaporation, RF sputtering, plasma polymerization, and ion beam sputtering are reviewed. Etching and deposition mechanism and material characteristics are discussed. Ion beam sputter etch rates for polytetrafluoroethylene (PTFE) were determined as a function of ion energy, current density and ion beam power density. Peel strengths were measured for epoxy bonds to various ion beam sputtered fluoropolymers. Coefficients of static and dynamic friction were measured for fluoropolymers deposited from ion bombarded PTFE.

  1. Gated photochemical hole burning in photoadducts of polyacenes

    NASA Technical Reports Server (NTRS)

    Iannone, Mark; Scott, Gary W.; Brinza, David; Coulter, Daniel R.

    1986-01-01

    A photoadduct of anthracene and tetracene (A-T) in a polymer matrix at 1.5 K generates an absorption spectrum which exhibits two-color, photon-gated photochemical hole burning (PHB) when irradiated with narrowband exciting light into the 0-0 band of the S1-S0 absorption. The efficiency of this PHB process is found to be enhanced by simultaneous irradiation near the maximum of the Tn-T1 absorption of A-T; hole widths of less than 0.07/cm have been observed for this photochemical cleavage of A-T.

  2. Surface roughness in XeF{sub 2} etching of a-Si/c-Si(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stevens, A.A.E.; Beijerinck, H.C.W.

    2005-01-01

    Single wavelength ellipsometry and atomic force microscopy (AFM) have been applied in a well-calibrated beam-etching experiment to characterize the dynamics of surface roughening induced by chemical etching of a {approx}12 nm amorphous silicon (a-Si) top layer and the underlying crystalline silicon (c-Si) bulk. In both the initial and final phase of etching, where either only a-Si or only c-Si is exposed to the XeF{sub 2} flux, we observe a similar evolution of the surface roughness as a function of the XeF{sub 2} dose proportional to D(XeF{sub 2}){sup {beta}} with {beta}{approx_equal}0.2. In the transition region from the pure amorphous to themore » pure crystalline silicon layer, we observe a strong anomalous increase of the surface roughness proportional to D(XeF{sub 2}){sup {beta}} with {beta}{approx_equal}1.5. Not only the growth rate of the roughness increases sharply in this phase, also the surface morphology temporarily changes to a structure that suggests a cusplike shape. Both features suggest that the remaining a-Si patches on the surface act effectively as a capping layer which causes the growth of deep trenches in the c-Si. The ellipsometry data on the roughness are corroborated by the AFM results, by equating the thickness of the rough layer to 6 {sigma}, with {sigma} the root-mean-square variation of the AFM's distribution function of height differences. In the AFM data, the anomalous behavior is reflected in a too small value of {sigma} which again suggests narrow and deep surface features that cannot be tracked by the AFM tip. The final phase morphology is characterized by an effective increase in surface area by a factor of two, as derived from a simple bilayer model of the reaction layer, using the experimental etch rate as input. We obtain a local reaction layer thickness of 1.5 monolayer consistent with the 1.7 ML value of Lo et al. [Lo et al., Phys. Rev. B 47, 648 (1993)] that is also independent of surface roughness.« less

  3. A versatile method for the determination of photochemical quantum yields via online UV-Vis spectroscopy.

    PubMed

    Stadler, Eduard; Eibel, Anna; Fast, David; Freißmuth, Hilde; Holly, Christian; Wiech, Mathias; Moszner, Norbert; Gescheidt, Georg

    2018-05-16

    We have developed a simple method for determining the quantum yields of photo-induced reactions. Our setup features a fibre coupled UV-Vis spectrometer, LED irradiation sources, and a calibrated spectrophotometer for precise measurements of the LED photon flux. The initial slope in time-resolved absorbance profiles provides the quantum yield. We show the feasibility of our methodology for the kinetic analysis of photochemical reactions and quantum yield determination. The typical chemical actinometers, ferrioxalate and ortho-nitrobenzaldehyde, as well as riboflavin, a spiro-compound, phosphorus- and germanium-based photoinitiators for radical polymerizations and the frequently utilized photo-switch azobenzene serve as paradigms. The excellent agreement of our results with published data demonstrates the high potential of the proposed method as a convenient alternative to the time-consuming chemical actinometry.

  4. Investigation of the Photochemical Method for Uranium Isotope Separation

    DOE R&D Accomplishments Database

    Urey, H. C.

    1943-07-10

    To find a process for successful photochemical separation of isotopes several conditions have to be fulfilled. First, the different isotopes have to show some differences in the spectrum. Secondly, and equally important, this difference must be capable of being exploited in a photochemical process. Parts A and B outline the physical and chemical conditions, and the extent to which one might expect to find them fulfilled. Part C deals with the applicability of the process.

  5. Dyract compomer: comparison of total etch vs. no etch technique.

    PubMed

    Kugel, G; Perry, R D; Hoang, E; Hoang, T; Ferrari, M

    1998-01-01

    Different dental materials and methods can influence the integrity of the marginal seal of restorations. To evaluate the microleakage of Dyract AP Light Cured Compomer, a polyacid modified resin (Caulk), using etched and unetched techniques, standardized trapezoidal Class V restorations were placed on facial or lingual surfaces of 20 human molars with the gingival margin in the cementum. Each restoration was scored at the cervical by two independent, double blinded operators, with reference to the DEJ, for dye penetration on a ranking system of: 0 = no evidence of dye penetration; 1 = dye penetration up to one-half the distance to the axial wall; 2 = dye penetration beyond one-half the distance to the axial wall but short of the axial wall; 3 = dye penetration to the axial wall or beyond. Statistical analysis (Fisher Exact Test) indicated that the etched compomer demonstrated significantly less microleakage when compared to the unetched compomer (p < 0.05).

  6. Etching of germanium-tin using ammonia peroxide mixture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount ofmore » Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.« less

  7. Defect sensitive etching of hexagonal boron nitride single crystals

    NASA Astrophysics Data System (ADS)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  8. Enhanced photochemical conversion of NO2 to HONO on humic acids in the presence of benzophenone.

    PubMed

    Han, Chong; Yang, Wangjin; Yang, He; Xue, Xiangxin

    2017-12-01

    The photochemical conversion of NO 2 to HONO on humic acids (HA) in the presence of benzophenone (BP) was investigated using a flow tube reactor coupled to a NO x analyzer at ambient pressure. BP significantly enhanced the reduction of NO 2 to HONO on HA under simulated sunlight, as shown by the increase of NO 2 uptake coefficient (γ) and HONO yield with the mass ratio of BP to HA. The γ and HONO yield on the mixtures of HA and BP obviously depended on the environmental conditions. Both γ and HONO yield increased with the increase of irradiation intensity and temperature, whereas they decreased with pH. The γ exhibited a negative dependence on the NO 2 concentration, which had slight influences on the HONO yield. There were maximum values for the γ and HONO yield at relative humidity (RH) of 22%. Finally, atmospheric implications about the photochemical reaction of NO 2 and HA in the presence of photosensitive species were discussed. Copyright © 2017 Elsevier Ltd. All rights reserved.

  9. A review on plasma-etch-process induced damage of HgCdTe

    NASA Astrophysics Data System (ADS)

    Liu, Lingfeng; Chen, Yiyu; Ye, Zhenhua; Ding, Ruijun

    2018-05-01

    Dry etching techniques with minimal etch induced damage are required to develop highly anisotropic etch for pixel delineation of HgCdTe infrared focal plane arrays (IRFPAs). High density plasma process has become the main etching technique for HgCdTe in the past twenty years, In this paper, high density plasma electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etching of HgCdTe are summarized. Common plasma-etch-process induced type conversion and related mechanisms are reviewed particularly.

  10. Process for etching mixed metal oxides

    DOEpatents

    Ashby, C.I.H.; Ginley, D.S.

    1994-10-18

    An etching process is described using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstrom range may be achieved by this method. 1 fig.

  11. Etching and oxidation of InAs in planar inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  12. Process margin enhancement for 0.25-μm metal etch process

    NASA Astrophysics Data System (ADS)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  13. Online monitoring of a photocatalytic reaction by real-time high resolution FlowNMR spectroscopy.

    PubMed

    Hall, Andrew M R; Broomfield-Tagg, Rachael; Camilleri, Matthew; Carbery, David R; Codina, Anna; Whittaker, David T E; Coombes, Steven; Lowe, John P; Hintermair, Ulrich

    2017-12-19

    We demonstrate how FlowNMR spectroscopy can readily be applied to investigate photochemical reactions that require sustained input of light and air to yield mechanistic insight under realistic conditions. The Eosin Y mediated photo-oxidation of N-allylbenzylamine is shown to produce imines as primary reaction products from which undesired aldehydes form after longer reaction times. Facile variation of reaction conditions during the reaction in flow allows for probe experiments that give information about the mode of action of the photocatalyst.

  14. Plasma etching of polymers like SU8 and BCB

    NASA Astrophysics Data System (ADS)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  15. Microfluidic etching and oxime-based tailoring of biodegradable polyketoesters.

    PubMed

    Barrett, Devin G; Lamb, Brian M; Yousaf, Muhammad N

    2008-09-02

    A straightforward, flexible, and inexpensive method to etch biodegradable poly(1,2,6-hexanetriol alpha-ketoglutarate) films is reported. Microfluidic delivery of the etchant, a solution of NaOH, can create micron-scale channels through local hydrolysis of the polyester film. In addition, the presence of a ketone in the repeat unit allows for prior or post chemoselective modifications, enabling the design of functionalized microchannels. Delivery of oxyamine tethered ligands react with ketone groups on the polyketoester to generate covalent oxime linkages. By thermally sealing an etched film to a second flat surface, poly(1,2,6-hexanetriol alpha-ketoglutarate) can be used to create biodegradable microfluidic devices. In order to determine the versatility of the microfluidic etch technique, poly(epsilon-caprolactone) was etched with acetone. This strategy provides a facile method for the direct patterning of biodegradable materials, both through etching and chemoselective ligand immobilization.

  16. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  17. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    NASA Astrophysics Data System (ADS)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  18. Enantioselective Photochemical Organocascade Catalysis.

    PubMed

    Woźniak, Łukasz; Magagnano, Giandomenico; Melchiorre, Paolo

    2018-01-22

    Reported herein is a photochemical cascade process that combines the excited-state and ground-state reactivity of chiral organocatalytic intermediates. This strategy directly converts racemic cyclopropanols and α,β-unsaturated aldehydes into stereochemically dense cyclopentanols with exquisite stereoselectivity. Mechanistic investigations have enabled elucidating the origin of the stereoconvergence, which is governed by a kinetic resolution process. © 2018 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.

  19. Scalloping minimization in deep Si etching on Unaxis DSE tools

    NASA Astrophysics Data System (ADS)

    Lai, Shouliang; Johnson, Dave J.; Westerman, Russ J.; Nolan, John J.; Purser, David; Devre, Mike

    2003-01-01

    Sidewall smoothness is often a critical requirement for many MEMS devices, such as microfludic devices, chemical, biological and optical transducers, while fast silicon etch rate is another. For such applications, the time division multiplex (TDM) etch processes, so-called "Bosch" processes are widely employed. However, in the conventional TDM processes, rough sidewalls result due to scallop formation. To date, the amplitude of the scalloping has been directly linked to the silicon etch rate. At Unaxis USA Inc., we have developed a proprietary fast gas switching technique that is effective for scalloping minimization in deep silicon etching processes. In this technique, process cycle times can be reduced from several seconds to as little as a fraction of second. Scallop amplitudes can be reduced with shorter process cycles. More importantly, as the scallop amplitude is progressively reduced, the silicon etch rate can be maintained relatively constant at high values. An optimized experiment has shown that at etch rate in excess of 7 μm/min, scallops with length of 116 nm and depth of 35 nm were obtained. The fast gas switching approach offers an ideal manufacturing solution for MEMS applications where extremely smooth sidewall and fast etch rate are crucial.

  20. Tuning the thickness of exfoliated quasi-two-dimensional β-Ga2O3 flakes by plasma etching

    NASA Astrophysics Data System (ADS)

    Kwon, Yongbeom; Lee, Geonyeop; Oh, Sooyeoun; Kim, Jihyun; Pearton, Stephen J.; Ren, Fan

    2017-03-01

    We demonstrated the thinning of exfoliated quasi-two-dimensional β-Ga2O3 flakes by using a reactive ion etching technique. Mechanical exfoliation of the bulk β-Ga2O3 by using an adhesive tape was followed by plasma etching to tune its thickness. Since β-Ga2O3 is not a van der Waals material, it is challenging to obtain ultra-thin flakes below a thickness of 100 nm. In this study, an etch rate of approximately 16 nm/min was achieved at a power of 200 W with a flow of 50 sccm of SF6, and under these conditions, thinning of β-Ga2O3 flakes from 300 nm down to ˜60 nm was achieved with smooth morphology. We believe that the reaction between SF6 and Ga2O3 results in oxygen and volatile oxygen fluoride compounds, and non-volatile compounds such as GaFX that can be removed by ion bombardment. The opto-electrical properties were also characterized by fabricating solar-blind photodetectors using the plasma-thinned β-Ga2O3 flakes; these detectors showed fast response and decay with excellent responsivity and selectivity. Our results pave the way for tuning the thickness of two-dimensional materials by using this scalable, industry-compatible dry etching technique.

  1. HANDBOOK ON ADVANCED PHOTOCHEMICAL OXIDATION PROCESSES

    EPA Science Inventory

    This handbook summarizes commercial-scale system performance and cost data for advanced photochemical oxidation (APO) treatment of contaminated water, air, and solids. Similar information from pilot- and bench-scale evaluations of APO processes is also included to supplement the...

  2. Sources and sinks for ammonia and nitrite on the early Earth and the reaction of nitrite with ammonia

    NASA Technical Reports Server (NTRS)

    Summers, D. P.

    1999-01-01

    An analysis of sources and sinks for ammonia and nitrite on the early Earth was conducted. Rates of formation and destruction, and steady state concentrations of both species were determined by steady state kinetics. The importance of the reaction of nitrite with ammonia on the feasibility of ammonia formation from nitrite was evaluated. The analysis considered conditions such as temperature, ferrous iron concentration, and pH. For sinks we considered the reduction of nitrite to ammonia, reaction between nitrite and ammonia, photochemical destruction of both species, and destruction at hydrothermal vents. Under most environmental conditions, the primary sink for nitrite is reduction to ammonia. The reaction between ammonia and nitrite is not an important sink for either nitrite or ammonia. Destruction at hydrothermal vents is important at acidic pH's and at low ferrous iron concentrations. Photochemical destruction, even in a worst case scenario, is unimportant under many conditions except possibly under acidic, low iron concentration, or low temperature conditions. The primary sink for ammonia is photochemical destruction in the atmosphere. Under acidic conditions, more of the ammonia is tied up as ammonium (reducing its vapor pressure and keeping it in solution) and hydrothermal destruction becomes more important.

  3. Changes of Photochemical Properties of Dissolved Organic Matter During a Hydrological Year

    NASA Astrophysics Data System (ADS)

    Porcal, P.; Dillon, P. J.

    2009-05-01

    The fate of dissolved organic matter (DOM) in lakes and streams is significantly affected by photochemical transformation of DOM. A series of laboratory photochemical experiments has been conducted to describe long term changes in photochemical properties of DOM. The stream samples used in this study originated from three different watersheds in Dorset area (Ontario, Canada), the first watershed has predominantly coniferous cove, the second one is dominated by maple and birch, and a large wetland dominates to the third one. The first order kinetic constant rate was used as a suitable characteristic of photochemical properties of DOM. The higher rates were observed in samples from watershed dominated by coniferous forest while the lower rates were determined in deciduous forest. Kinetic rates from all three watersheds showed sinusoidal pattern during the hydrological year. The rates increased steadily during autumn and winter and decreased during spring and summer. The highest values were observed during the spring melt events when the fresh DOM was flushed out from terrestrial sources. The minimum rate constants were in summer when the discharge was lower. The photochemical properties of DOM changes during the hydrological year and correspond to the seasonal cycles of terrestrial organic matter.

  4. Improving Joint Function Using Photochemical Hydrogels for Articular Surface Repair

    DTIC Science & Technology

    2013-10-01

    riboflavin and blue light in hypoxic conditions. Control gels were not photochemically crosslinked . New cartilage matrix was formed in vivo in mice after 4...Sections were probed with AlexaFluor 568- conjugated secondary antibodies and counterstained with DAPI for cell nuclei. All samples were processed at...calcium deposits demonstrated with von Kossa stains; 2) A degradable form of photochemically crosslinked PEG norbomene gel was formulated and growth

  5. Etch Profile Simulation Using Level Set Methods

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Etching and deposition of materials are critical steps in semiconductor processing for device manufacturing. Both etching and deposition may have isotropic and anisotropic components, due to directional sputtering and redeposition of materials, for example. Previous attempts at modeling profile evolution have used so-called "string theory" to simulate the moving solid-gas interface between the semiconductor and the plasma. One complication of this method is that extensive de-looping schemes are required at the profile corners. We will present a 2D profile evolution simulation using level set theory to model the surface. (1) By embedding the location of the interface in a field variable, the need for de-looping schemes is eliminated and profile corners are more accurately modeled. This level set profile evolution model will calculate both isotropic and anisotropic etch and deposition rates of a substrate in low pressure (10s mTorr) plasmas, considering the incident ion energy angular distribution functions and neutral fluxes. We will present etching profiles of Si substrates in Ar/Cl2 discharges for various incident ion energies and trench geometries.

  6. Photochemical Grafting of Organic Alkenes to Single-Crystal TiO2 Surfaces: A Mechanistic Study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Franking, Ryan A.; Kim, Heesuk; Chambers, Scott A.

    2012-08-21

    The UV-induced photochemical grafting of terminal alkenes has emerged as a versatile way to form molecular layers on semiconductor surfaces. Recent studies have shown that grafting reactions can be initiated by photoelectron emission into the reactant liquid as well as by excitation across the semiconductor bandgap, but the relative importance of these two processes is expected to depend on the nature of the semiconductor and the reactant alkene and the excitation wavelength. Here we report a study of the wavelength-dependent photochemical grafting of alkenes onto single-crystal TiO2 samples. Trifluoroacetamide-protected 10-aminododec-1-ene (TFAAD), 10-N-BOC-aminodec-1-ene (t-BOC) and 1-dodecene were used as model alkenes.more » On rutile(110), photons with energy above the bandgap but below the expected work function are not effective at inducing grafting, while photons with energy sufficient to induce electronic transitions from the TiO2 Fermi level to electronic acceptor states of the reactant molecules induce grafting. A comparison of rutile (110), rutile(001), anatase (001), and anatase(101) samples shows slightly enhanced grafting for rutile but no difference between crystal faces for a given crystal phase. Hydroxylation of the surface increases the reaction rate by lowering the work function and thereby facilitating photoelectron ejection into the adjacent alkene. These results demonstrate that photoelectron emission is the dominant mechanism responsible for grafting when using short-wavelength (~254 nm) light and suggest that photoemission events beginning on mid-gap states may play a crucial role.« less

  7. Pattern transfer with stabilized nanoparticle etch masks

    NASA Astrophysics Data System (ADS)

    Hogg, Charles R.; Picard, Yoosuf N.; Narasimhan, Amrit; Bain, James A.; Majetich, Sara A.

    2013-03-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiOx substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results.

  8. Molecular transformations of phenolic SOA during photochemical aging in the aqueous phase: Competition among oligomerization, functionalization, and fragmentation

    DOE PAGES

    Yu, Lu; Smith, Jeremy; Laskin, Alexander; ...

    2016-04-13

    Organic aerosol is formed and transformed in atmospheric aqueous phases (e.g., cloud and fog droplets and deliquesced airborne particles containing small amounts of water) through a multitude of chemical reactions. Understanding these reactions is important for a predictive understanding of atmospheric aging of aerosols and their impacts on climate, air quality, and human health. In this study, we investigate the chemical evolution of aqueous secondary organic aerosol (aqSOA) formed during reactions of phenolic compounds with two oxidants – the triplet excited state of an aromatic carbonyl ( 3C *) and hydroxyl radical ( • OH). Changes in themore » molecular composition of aqSOA as a function of aging time are characterized using an offline nanospray desorption electrospray ionization mass spectrometer (nano-DESI MS) whereas the real-time evolution of SOA mass, elemental ratios, and average carbon oxidation state (OS C) are monitored using an online aerosol mass spectrometer (AMS). Our results indicate that oligomerization is an important aqueous reaction pathway for phenols, especially during the initial stage of photooxidation equivalent to ~2 h irradiation under midday winter solstice sunlight in Northern California. At later reaction times functionalization (i.e., adding polar oxygenated functional groups to the molecule) and fragmentation (i.e., breaking of covalent bonds) become more important processes, forming a large variety of functionalized aromatic and open-ring products with higher OS C values. Fragmentation reactions eventually dominate the photochemical evolution of phenolic aqSOA, forming a large number of highly oxygenated ring-opening molecules with carbon numbers ( n C) below 6. The average n C of phenolic aqSOA decreases while average OS C increases over the course of photochemical aging. In addition, the saturation vapor pressures ( C *) of dozens of the most abundant phenolic aqSOA molecules are estimated. A wide range of C * values is

  9. Molecular transformations of phenolic SOA during photochemical aging in the aqueous phase: Competition among oligomerization, functionalization, and fragmentation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, Lu; Smith, Jeremy; Laskin, Alexander

    Organic aerosol is formed and transformed in atmospheric aqueous phases (e.g., cloud and fog droplets and deliquesced airborne particles containing small amounts of water) through a multitude of chemical reactions. Understanding these reactions is important for a predictive understanding of atmospheric aging of aerosols and their impacts on climate, air quality, and human health. In this study, we investigate the chemical evolution of aqueous secondary organic aerosol (aqSOA) formed during reactions of phenolic compounds with two oxidants – the triplet excited state of an aromatic carbonyl ( 3C *) and hydroxyl radical ( • OH). Changes in themore » molecular composition of aqSOA as a function of aging time are characterized using an offline nanospray desorption electrospray ionization mass spectrometer (nano-DESI MS) whereas the real-time evolution of SOA mass, elemental ratios, and average carbon oxidation state (OS C) are monitored using an online aerosol mass spectrometer (AMS). Our results indicate that oligomerization is an important aqueous reaction pathway for phenols, especially during the initial stage of photooxidation equivalent to ~2 h irradiation under midday winter solstice sunlight in Northern California. At later reaction times functionalization (i.e., adding polar oxygenated functional groups to the molecule) and fragmentation (i.e., breaking of covalent bonds) become more important processes, forming a large variety of functionalized aromatic and open-ring products with higher OS C values. Fragmentation reactions eventually dominate the photochemical evolution of phenolic aqSOA, forming a large number of highly oxygenated ring-opening molecules with carbon numbers ( n C) below 6. The average n C of phenolic aqSOA decreases while average OS C increases over the course of photochemical aging. In addition, the saturation vapor pressures ( C *) of dozens of the most abundant phenolic aqSOA molecules are estimated. A wide range of C * values is

  10. Molecular transformations of phenolic SOA during photochemical aging in the aqueous phase: competition among oligomerization, functionalization, and fragmentation

    NASA Astrophysics Data System (ADS)

    Yu, L.; Smith, J.; Laskin, A.; George, K. M.; Anastasio, C.; Laskin, J.; Dillner, A. M.; Zhang, Q.

    2015-10-01

    Organic aerosol is formed and transformed in atmospheric aqueous phases (e.g., cloud and fog droplets and deliquesced airborne particles containing small amounts of water) through a multitude of chemical reactions. Understanding these reactions is important for a predictive understanding of atmospheric aging of aerosols and their impacts on climate, air quality, and human health. In this study, we investigate the chemical evolution of aqueous secondary organic aerosol (aqSOA) formed during reactions of phenolic compounds with two oxidants - the triplet excited state of an aromatic carbonyl (3C*) and hydroxyl radical (•OH). Changes in the molecular composition of aqSOA as a function of aging time are characterized using an offline nanospray desorption electrospray ionization mass spectrometer (nano-DESI MS) whereas the real-time evolution of SOA mass, elemental ratios, and average carbon oxidation state (OSC) are monitored using an online aerosol mass spectrometer (AMS). Our results indicate that oligomerization is an important aqueous reaction pathway for phenols, especially during the initial stage of photooxidation equivalent to ∼ 2 h irradiation under midday, winter solstice sunlight in northern California. At later reaction times functionalization (i.e., adding polar oxygenated functional groups to the molecule) and fragmentation (i.e., breaking of covalent bonds) become more important processes, forming a large variety of functionalized aromatic and open-ring products with higher OSC values. Fragmentation reactions eventually dominate the photochemical evolution of phenolic aqSOA, forming a large number of highly oxygenated open-ring molecules with carbon numbers (nC) below 6. The average nC of phenolic aqSOA decreases while average OSC increases over the course of photochemical aging. In addition, the saturation vapor pressures C*) of dozens of the most abundant phenolic aqSOA molecules are estimated. A wide range of C* values is observed

  11. Molecular transformations of phenolic SOA during photochemical aging in the aqueous phase: competition among oligomerization, functionalization, and fragmentation

    NASA Astrophysics Data System (ADS)

    Yu, Lu; Smith, Jeremy; Laskin, Alexander; George, Katheryn M.; Anastasio, Cort; Laskin, Julia; Dillner, Ann M.; Zhang, Qi

    2016-04-01

    Organic aerosol is formed and transformed in atmospheric aqueous phases (e.g., cloud and fog droplets and deliquesced airborne particles containing small amounts of water) through a multitude of chemical reactions. Understanding these reactions is important for a predictive understanding of atmospheric aging of aerosols and their impacts on climate, air quality, and human health. In this study, we investigate the chemical evolution of aqueous secondary organic aerosol (aqSOA) formed during reactions of phenolic compounds with two oxidants - the triplet excited state of an aromatic carbonyl (3C∗) and hydroxyl radical (OH). Changes in the molecular composition of aqSOA as a function of aging time are characterized using an offline nanospray desorption electrospray ionization mass spectrometer (nano-DESI MS) whereas the real-time evolution of SOA mass, elemental ratios, and average carbon oxidation state (OSC) are monitored using an online aerosol mass spectrometer (AMS). Our results indicate that oligomerization is an important aqueous reaction pathway for phenols, especially during the initial stage of photooxidation equivalent to ˜ 2 h irradiation under midday winter solstice sunlight in Northern California. At later reaction times functionalization (i.e., adding polar oxygenated functional groups to the molecule) and fragmentation (i.e., breaking of covalent bonds) become more important processes, forming a large variety of functionalized aromatic and open-ring products with higher OSC values. Fragmentation reactions eventually dominate the photochemical evolution of phenolic aqSOA, forming a large number of highly oxygenated ring-opening molecules with carbon numbers (nC) below 6. The average nC of phenolic aqSOA decreases while average OSC increases over the course of photochemical aging. In addition, the saturation vapor pressures (C∗) of dozens of the most abundant phenolic aqSOA molecules are estimated. A wide range of C∗ values is observed

  12. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    NASA Astrophysics Data System (ADS)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  13. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    NASA Technical Reports Server (NTRS)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  14. Photochemical Approaches to Complex Chemotypes: Applications in Natural Product Synthesis

    PubMed Central

    2016-01-01

    The use of photochemical transformations is a powerful strategy that allows for the formation of a high degree of molecular complexity from relatively simple building blocks in a single step. A central feature of all light-promoted transformations is the involvement of electronically excited states, generated upon absorption of photons. This produces transient reactive intermediates and significantly alters the reactivity of a chemical compound. The input of energy provided by light thus offers a means to produce strained and unique target compounds that cannot be assembled using thermal protocols. This review aims at highlighting photochemical transformations as a tool for rapidly accessing structurally and stereochemically diverse scaffolds. Synthetic designs based on photochemical transformations have the potential to afford complex polycyclic carbon skeletons with impressive efficiency, which are of high value in total synthesis. PMID:27120289

  15. Photochemical Approaches to Complex Chemotypes: Applications in Natural Product Synthesis.

    PubMed

    Kärkäs, Markus D; Porco, John A; Stephenson, Corey R J

    2016-09-14

    The use of photochemical transformations is a powerful strategy that allows for the formation of a high degree of molecular complexity from relatively simple building blocks in a single step. A central feature of all light-promoted transformations is the involvement of electronically excited states, generated upon absorption of photons. This produces transient reactive intermediates and significantly alters the reactivity of a chemical compound. The input of energy provided by light thus offers a means to produce strained and unique target compounds that cannot be assembled using thermal protocols. This review aims at highlighting photochemical transformations as a tool for rapidly accessing structurally and stereochemically diverse scaffolds. Synthetic designs based on photochemical transformations have the potential to afford complex polycyclic carbon skeletons with impressive efficiency, which are of high value in total synthesis.

  16. Modeling of block copolymer dry etching for directed self-assembly lithography

    NASA Astrophysics Data System (ADS)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  17. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    NASA Astrophysics Data System (ADS)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  18. Ion beam sputtering of fluoropolymers. [etching polymer films and target surfaces

    NASA Technical Reports Server (NTRS)

    Sovey, J. S.

    1978-01-01

    Ion beam sputter processing rates as well as pertinent characteristics of etched targets and films are described. An argon ion beam source was used to sputter etch and deposit the fluoropolymers PTFE, FEP, and CTFE. Ion beam energy, current density, and target temperature were varied to examine effects on etch and deposition rates. The ion etched fluoropolymers yield cone or spire-like surface structures which vary depending upon the type of polymer, ion beam power density, etch time, and target temperature. Sputter target and film characteristics documented by spectral transmittance measurements, X-ray diffraction, ESCA, and SEM photomicrographs are included.

  19. Highly oriented photosynthetic reaction centers generate a proton gradient in synthetic protocells

    PubMed Central

    Altamura, Emiliano; Milano, Francesco; Tangorra, Roberto R.; Trotta, Massimo; Omar, Omar Hassan; Stano, Pasquale

    2017-01-01

    Photosynthesis is responsible for the photochemical conversion of light into the chemical energy that fuels the planet Earth. The photochemical core of this process in all photosynthetic organisms is a transmembrane protein called the reaction center. In purple photosynthetic bacteria a simple version of this photoenzyme catalyzes the reduction of a quinone molecule, accompanied by the uptake of two protons from the cytoplasm. This results in the establishment of a proton concentration gradient across the lipid membrane, which can be ultimately harnessed to synthesize ATP. Herein we show that synthetic protocells, based on giant lipid vesicles embedding an oriented population of reaction centers, are capable of generating a photoinduced proton gradient across the membrane. Under continuous illumination, the protocells generate a gradient of 0.061 pH units per min, equivalent to a proton motive force of 3.6 mV⋅min−1. Remarkably, the facile reconstitution of the photosynthetic reaction center in the artificial lipid membrane, obtained by the droplet transfer method, paves the way for the construction of novel and more functional protocells for synthetic biology. PMID:28320948

  20. Photochemical behavior of dissolved and colloidal organic matter in estuarine and oceanic waters.

    PubMed

    Zhu, Wen-Zhuo; Yang, Gui-Peng; Zhang, Hong-Hai

    2017-12-31

    Chromophoric dissolved organic matter (CDOM), carbohydrates, and amino acids were analyzed to investigate the photochemistry of total dissolved (<0.22μm) organic matter (DOM), high-molecular-weight (HMW, 1kDa-0.22μm) DOM and low-molecular-weight (LMW, <1kDa) DOM at stations in the Yangtze River and its coastal area, and in the Western Pacific Ocean. Results revealed that the humic-like and tryptophan-like CDOM fluorescent components in riverine, coastal, and oceanic surface waters were photodegraded during irradiation. However, the photochemical behavior of tyrosine-like component was obscured by the excessive fluorescence intensities of humic- and tryptophan-like fluorescent components. Light sensitivity varied depending on the source material; terrestrially derived DOM was more susceptible to irradiation than autochthonous DOM. In contrast to the expected photodegradation of CDOM, photo-induced synthetic reaction transformed the LMW matters to polysaccharides (PCHO) and degradation reaction decomposed the HMW DOM to Monosaccharides. Colloidal DOM preferentially underwent photodegradation, whereas permeate DOM mainly photosynthesized PCHO. The total hydrolysable amino acid (THAA) pool changed because of the additional input by the photodegradation of DOM or THAA itself. The compositions of THAA changed during the irradiation experiments, indicating that the different photochemical behavior of individual amino acids were related to their different original photoreactivities; the relatively stable amino acids (e.g., Ser and Gly) significantly accumulated during irradiation, whereas photo-active aromatic amino acids (e.g. Tyr and His) were prone to photodegradation. The data presented here demonstrated that irradiation significantly influence the conversion between dissolved and colloid organic matter. These results can promote the understanding of irradiation effect on the carbon and nitrogen cycle in riverine, estuarine and oceanic ecosystems. Copyright © 2017