Sample records for plasma cvd technique

  1. Low-temperature graphene synthesis using microwave plasma CVD

    NASA Astrophysics Data System (ADS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  2. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    DOE PAGES

    Campo, Teresa; Cotto, María; Márquez, Francisco; ...

    2016-03-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plasma blue flash is required for obtaining graphene flakes. The synthesized graphene was characterized by different techniques, showing the presence of non-oxidized graphenemore » with high purity.« less

  3. Photo-oxidation of Polymers Synthesized by Plasma and Initiated CVD

    DOE PAGES

    Baxamusa, Salmaan H.; Suresh, Aravind; Ehrmann, Paul; ...

    2015-11-09

    Plasma polymers are often limited by their susceptibility to spontaneous and photo-oxidation. We show that the unusual photoluminescence (PL) behavior of a plasma polymer of trans-2-butene is correlated with its photoluminescence strength. These photo-processes occur under blue light illumination (λ=405 nm), distinguishing them from traditional ultraviolet degradation of polymers. These photo-active defects are likely formed during the plasma deposition process and we show that a polymer synthesized using initiated (i)CVD, non-plasma method, has 1000× lower PL signal and enhanced photo-stability. In conclusion, non-plasma methods such as iCVD may therefore be a route to overcoming material aging issues that limit themore » adoption of plasma polymers.« less

  4. Plasma CVD of hydrogenated boron-carbon thin films from triethylboron

    NASA Astrophysics Data System (ADS)

    Imam, Mewlude; Höglund, Carina; Schmidt, Susann; Hall-Wilton, Richard; Birch, Jens; Pedersen, Henrik

    2018-01-01

    Low-temperature chemical vapor deposition (CVD) of B—C thin films is of importance for neutron voltaics and semiconductor technology. The highly reactive trialkylboranes, with alkyl groups of 1-4 carbon atoms, are a class of precursors that have been less explored for low-temperature CVD of B—C films. Herein, we demonstrate plasma CVD of B—C thin films using triethylboron (TEB) as a single source precursor in an Ar plasma. We show that the film density and B/C ratio increases with increasing plasma power, reaching a density of 2.20 g/cm3 and B/C = 1.7. This is attributed to a more intense energetic bombardment during deposition and more complete dissociation of the TEB molecule in the plasma at higher plasma power. The hydrogen content in the films ranges between 14 and 20 at. %. Optical emission spectroscopy of the plasma shows that BH, CH, C2, and H are the optically active plasma species from TEB. We suggest a plasma chemical model based on β-hydrogen elimination of C2H4 to form BH3, in which BH3 and C2H4 are then dehydrogenated to form BH and C2H2. Furthermore, C2H2 decomposes in the plasma to produce C2 and CH, which together with BH and possibly BH3-x(C2H5)x are the film forming species.

  5. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    NASA Astrophysics Data System (ADS)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  6. LARGE—A Plasma Torch for Surface Chemistry Applications and CVD Processes—A Status Report

    NASA Astrophysics Data System (ADS)

    Zimmermann, Stephan; Theophile, Eckart; Landes, Klaus; Schein, Jochen

    2008-12-01

    The LARGE ( LONG ARG GENERATOR) is a new generation DC-plasma torch featuring an extended arc which is operated with a perpendicular gas flow to create a wide (up to 45 cm) plasma jet well suited for large area plasma processing. Using plasma diagnostic systems like high speed imaging, enthalpy probe, emission spectroscopy, and tomography, the LARGE produced plasma jet characteristics have been measured and sources of instability have been identified. With a simple model/simulation of the system LARGE III-150 and numerous experimental results, a new nozzle configuration and geometry (LARGE IV-150) has been designed, which produces a more homogenous plasma jet. These improvements enable the standard applications of the LARGE plasma torch (CVD coating process and surface activation process) to operate with higher efficiency.

  7. Influence of low energy argon plasma treatment on the moisture barrier performance of hot wire-CVD grown SiNx multilayers

    NASA Astrophysics Data System (ADS)

    Majee, Subimal; Fátima Cerqueira, Maria; Tondelier, Denis; Geffroy, Bernard; Bonnassieux, Yvan; Alpuim, Pedro; Bourée, Jean Eric

    2014-01-01

    The reliability and stability are key issues for the commercial utilization of organic photovoltaic devices based on flexible polymer substrates. To increase the shelf-lifetime of these devices, transparent moisture barriers of silicon nitride (SiNx) films are deposited at low temperature by hot wire CVD (HW-CVD) process. Instead of the conventional route based on organic/inorganic hybrid structures, this work defines a new route consisting in depositing multilayer stacks of SiNx thin films, each single layer being treated by argon plasma. The plasma treatment allows creating smoother surface and surface atom rearrangement. We define a critical thickness of the single layer film and focus our attention on the effect of increasing the number of SiNx single-layers on the barrier properties. A water vapor transmission rate (WVTR) of 2 × 10-4 g/(m2·day) is reported for SiNx multilayer stack and a physical interpretation of the plasma treatment effect is given.

  8. CVD Polymers for Devices and Device Fabrication.

    PubMed

    Wang, Minghui; Wang, Xiaoxue; Moni, Priya; Liu, Andong; Kim, Do Han; Jo, Won Jun; Sojoudi, Hossein; Gleason, Karen K

    2017-03-01

    Chemical vapor deposition (CVD) polymerization directly synthesizes organic thin films on a substrate from vapor phase reactants. Dielectric, semiconducting, electrically conducting, and ionically conducting CVD polymers have all been readily integrated into devices. The absence of solvent in the CVD process enables the growth of high-purity layers and avoids the potential of dewetting phenomena, which lead to pinhole defects. By limiting contaminants and defects, ultrathin (<10 nm) CVD polymeric device layers have been fabricated in multiple laboratories. The CVD method is particularly suitable for synthesizing insoluble conductive polymers, layers with high densities of organic functional groups, and robust crosslinked networks. Additionally, CVD polymers are prized for the ability to conformally cover rough surfaces, like those of paper and textile substrates, as well as the complex geometries of micro- and nanostructured devices. By employing low processing temperatures, CVD polymerization avoids damaging substrates and underlying device layers. This report discusses the mechanisms of the major CVD polymerization techniques and the recent progress of their applications in devices and device fabrication, with emphasis on initiated CVD (iCVD) and oxidative CVD (oCVD) polymerization. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Correlation of CVD Diamond Electron Emission with Film Properties

    NASA Astrophysics Data System (ADS)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  10. C_7F_16/He rf plasma CVD of a-C:F films

    NASA Astrophysics Data System (ADS)

    Hokoi, Kohji; Akazawa, Masamichi; Sugawara, Hirotake; Sakai, Yosuke

    2000-10-01

    Fluorinated carbon is one of the most promissing materials with low dielectric constant ɛr and high dielectric strength V_b. We have deposited a-C:F films by rf (13.56 MHz) plasma enhanced CVD method using the following liquid materials; C_7F_16, (C_3F_7)_3N/(C_4F_9)_3N and C_8F_18/C_8F_16O.(C. P. Lungu et al.), Jpn. J. Appl. Phys. 38, L1544--6 (1999) The films showed ɛr values in a range of 1.9--3.0 and V_b>2 MV/cm. In this work, we added He (3 Pa) to C_7F_16 (60 Pa) plasmas, expecting that He atoms in the metastable excited state (He*, 19.8 eV) would promote C_7F_16 decomposition in gas phase or activation of the film surface during deposition. The films with the thickness up to 2300 nm were deposited on unheated Si substrate with an rf power of 100 W. The deposition rate derived from the film thickness measurement by SEM and ellipsometry was about 230 nm/min. This value is roughly two times as large as that of the films deposited by C_7F_16 (60 Pa) plasmas without He. We discuss the mechanism that leads to such a significant increase in the deposition rate.

  11. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Caplan, M.; Olstad, R.; Jory, H.

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this projectmore » uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)« less

  12. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    NASA Astrophysics Data System (ADS)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  13. Delaminated Transfer of CVD Graphene

    NASA Astrophysics Data System (ADS)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  14. Surface passivation of InGaP/GaAs HBT using silicon-nitride film deposited by ECR CVD plasma

    NASA Astrophysics Data System (ADS)

    Manera, L. T.; Zoccal, L. B.; Diniz, J. A.; Tatsch, P. J.; Doi, I.

    2008-07-01

    In this paper we have developed a passivation technique with silicon-nitride (SiN X) film that requires no surface pre-treatment, and is fully compatible to monolithic microwave integrated circuits (MMICs). The nitride depositions were carried out by ECR-CVD (electron cyclotron resonance-chemical vapor deposition) directly over InGaP/GaAs heterojunction structures, which are used for heterojunction bipolar transistors (HBTs). Optical emission spectrometry (OES) was used for plasma characterization, and low formation of H and NH molecules in the gas phase was detected at pressure of 2.5 mTorr. These molecules can degrade III-V semiconductor surfaces due to the preferential loss of As or P and hydrogen incorporation at the substrate. The substrates were cleaned with organic solvents using a Sox-let distillate. The ECR depositions were carried out at a fixed substrate temperature of 20 °C, SiH 4/N 2 flow ratio of 1, Ar flow of 5 sccm pressure of 2.5 mTorr and microwave (2.45 GHz) power of 250 W and RF (13.56 MHz) power of 4 W. We have applied this film for InGaP/GaAs HBT fabrication process with excellent results, where two major contribuiton is related to this passivation technique, the enhancement in the transistor dc gain β and the improvement in the signal-to-noise ratio when compared unpassivated and passivated devices.

  15. High-rate deposition of LiNb 1- xTa xO 3 films by thermal plasma spray CVD

    NASA Astrophysics Data System (ADS)

    Majima, T.; Yamamoto, H.; Kulinich, S. A.; Terashima, K.

    2000-12-01

    LiNb 1- xTa xO 3 films were prepared by a thermal plasma spray CVD method using liquid source materials. Preferentially (0 0 1)-oriented LiNb 1- xTa xO 3 films with satisfactory in-plane and out-of-plane alignment were fabricated on sapphire (0 0 1) substrates. The full-width at half-maximum (FWHM) of the (0 0 6) rocking curve could achieve 0.12°, which was comparable with those of LiNbO 3 and LiTaO 3 films prepared by other conventional vapor-phase deposition methods. The deposition rate was up to 0.07 μm/min, which was 5-40 times faster than those for most other conventional vapor-phase deposition methods. From inductively coupled plasma atomic emission spectroscopy analysis, x values of these films were estimated to be 0.36-0.49.

  16. Scalable graphene production: perspectives and challenges of plasma applications

    NASA Astrophysics Data System (ADS)

    Levchenko, Igor; Ostrikov, Kostya (Ken); Zheng, Jie; Li, Xingguo; Keidar, Michael; B. K. Teo, Kenneth

    2016-05-01

    Graphene, a newly discovered and extensively investigated material, has many unique and extraordinary properties which promise major technological advances in fields ranging from electronics to mechanical engineering and food production. Unfortunately, complex techniques and high production costs hinder commonplace applications. Scaling of existing graphene production techniques to the industrial level without compromising its properties is a current challenge. This article focuses on the perspectives and challenges of scalability, equipment, and technological perspectives of the plasma-based techniques which offer many unique possibilities for the synthesis of graphene and graphene-containing products. The plasma-based processes are amenable for scaling and could also be useful to enhance the controllability of the conventional chemical vapour deposition method and some other techniques, and to ensure a good quality of the produced graphene. We examine the unique features of the plasma-enhanced graphene production approaches, including the techniques based on inductively-coupled and arc discharges, in the context of their potential scaling to mass production following the generic scaling approaches applicable to the existing processes and systems. This work analyses a large amount of the recent literature on graphene production by various techniques and summarizes the results in a tabular form to provide a simple and convenient comparison of several available techniques. Our analysis reveals a significant potential of scalability for plasma-based technologies, based on the scaling-related process characteristics. Among other processes, a greater yield of 1 g × h-1 m-2 was reached for the arc discharge technology, whereas the other plasma-based techniques show process yields comparable to the neutral-gas based methods. Selected plasma-based techniques show lower energy consumption than in thermal CVD processes, and the ability to produce graphene flakes of various

  17. Scalable graphene production: perspectives and challenges of plasma applications.

    PubMed

    Levchenko, Igor; Ostrikov, Kostya Ken; Zheng, Jie; Li, Xingguo; Keidar, Michael; B K Teo, Kenneth

    2016-05-19

    Graphene, a newly discovered and extensively investigated material, has many unique and extraordinary properties which promise major technological advances in fields ranging from electronics to mechanical engineering and food production. Unfortunately, complex techniques and high production costs hinder commonplace applications. Scaling of existing graphene production techniques to the industrial level without compromising its properties is a current challenge. This article focuses on the perspectives and challenges of scalability, equipment, and technological perspectives of the plasma-based techniques which offer many unique possibilities for the synthesis of graphene and graphene-containing products. The plasma-based processes are amenable for scaling and could also be useful to enhance the controllability of the conventional chemical vapour deposition method and some other techniques, and to ensure a good quality of the produced graphene. We examine the unique features of the plasma-enhanced graphene production approaches, including the techniques based on inductively-coupled and arc discharges, in the context of their potential scaling to mass production following the generic scaling approaches applicable to the existing processes and systems. This work analyses a large amount of the recent literature on graphene production by various techniques and summarizes the results in a tabular form to provide a simple and convenient comparison of several available techniques. Our analysis reveals a significant potential of scalability for plasma-based technologies, based on the scaling-related process characteristics. Among other processes, a greater yield of 1 g × h(-1) m(-2) was reached for the arc discharge technology, whereas the other plasma-based techniques show process yields comparable to the neutral-gas based methods. Selected plasma-based techniques show lower energy consumption than in thermal CVD processes, and the ability to produce graphene flakes of

  18. Radio frequency and microwave plasma for optical thin-film deposition

    NASA Astrophysics Data System (ADS)

    Otto, Juergen; Paquet, Volker; Kersten, Ralf T.; Etzkorn, Heinz-Werner; Brusasco, Raymond M.; Britten, Jerald A.; Campbell, Jack H.; Thorsness, J. B.

    1990-12-01

    For the next generation of fusion lasers reflecting mirrors with laser damage thresholds of at least 40 J/cm2 for 1 0 ns laser pulses at 1 .064 pm are needed. Up to now, no deposition technique has been developed to produce such mirrors. Best R&D-values realized today are around 30 J/cm2 for e-beam evaporated mirrors. R&D on conventional e-beam coating processes over the last 1 0 years has come up with marginal improvements in laser damage thresholds only. However, new technologies, like PICVD (Plasma-Impulse CVD) developed for the fabrication of ultra-low loss fiber preforms, seem to offer the potential to solve this problem. First results have been reported already [1-3]. It is well known that fused silica produced by CVD processes can have laser damage thresholds as high as 80 J/cm2. However, the thickness of a single deposited film is in the pm-range for most of the CVD-processes used for preform manufacturing; since interference optics need films in the ; /4n range (where n is the refractive index of the dielectric material) the use of preform-fabrication processes for the purpose of interference mirror fabrication is limited to a few plasma based CVD technologies, namely PCVD (Plasma-CVD, Philips [4]; PICVD, SCHOTT [5]). Especially PICVD is a very powerful technology to fabricate thin film multilayers for interference mirrors, because this technique is able to produce films down to monolayer thickness with nearly perfect stoichiometry and morphology. In first and preliminary experiments the usual deposition in a circular tube at high temperatures has been used for simplicity. However, to produce large area high quality laser mirrors this principle know-how has to be transfered from circular to planar geometry. Experiments showed, that there may be some limitations with respect to the homogeneity of a planar deposition using microwave excitation for the plasma. Therefore experiments have been performed in parallel with both RF and microwave excitation for

  19. Nitrogen and silicon defect incorporation during homoepitaxial CVD diamond growth on (111) surfaces

    DOE PAGES

    Moore, Samuel L.; Vohra, Yogesh K.

    2015-01-01

    Chemical Vapor Deposited (CVD) diamond growth on (111)-diamond surfaces has received increased attention lately because of the use of N-V related centers in quantum computing as well as application of these defect centers in sensing nano-Tesla strength magnetic fields. We have carried out a detailed study of homoepitaxial diamond deposition on (111)-single crystal diamond (SCD) surfaces using a 1.2 kW microwave plasma CVD (MPCVD) system employing methane/hydrogen/nitrogen/oxygen gas phase chemistry. We have utilized Type Ib (111)-oriented single crystal diamonds as seed crystals in our study. The homoepitaxially grown diamond films were analyzed by Raman spectroscopy, Photoluminescence Spectroscopy (PL), X-ray Photoelectronmore » Spectroscopy (XPS), Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). The nitrogen concentration in the plasma was carefully varied between 0 and 1500 ppm while a ppm level of silicon impurity is present in the plasma from the quartz bell jar. The concentration of N-V defect centers with PL zero phonon lines (ZPL) at 575nm and 637nm and the Si-defect center with a ZPL at 737nm were experimentally detected from a variation in CVD growth conditions and were quantitatively studied. As a result, altering nitrogen and oxygen concentration in the plasma was observed to directly affect N-V and Si-defect incorporation into the (111)-oriented diamond lattice and these findings are presented.« less

  20. Ion beam figuring of CVD silicon carbide mirrors

    NASA Astrophysics Data System (ADS)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  1. Nutrient Intake, Physical Activity, and CVD Risk Factors in Children

    PubMed Central

    Day, R. Sue; Fulton, Janet E.; Dai, Shifan; Mihalopoulos, Nicole L.; Barradas, Danielle T.

    2009-01-01

    Background Associations among dietary intake, physical activity, and cardiovascular disease (CVD) risk factors are inconsistent among male and female youth, possibly from lack of adjustment for pubertal status. The purpose of this report is to describe the associations of CVD risk factors among youth, adjusted for sexual maturation. Methods Data analyzed in 2007 from a sumsample of 556 children aged 8, 11, and 14 years in Project HeartBeat!, 1991–1993, provide cross-sectional patterns of CVD risk factors by age and gender, adjusting for sexual maturation, within dietary fat and physical activity categories. Results Girls consuming moderate- to high-fat diets were significantly less physically active than those consuming low-fat diets. Boys and girls consuming high-fat diets had higher saturated fat and cholesterol intakes than children in low-fat categories. Boys had no significant differences in physical activity, blood pressure, waist circumference, or plasma cholesterol levels across fat categories. Girls’ plasma cholesterol levels showed no significant differences across fat categories. Dietary intake did not differ across moderate-to-vigorous physical activity (MVPA) categories within gender. There were no differences in BMI by fat or MVPA categories for either gender. Girls’ waist circumference differed significantly by fat category, and systolic blood pressure differed significantly across fat and MVPA categories. Boys’ fifth-phase diastolic blood pressure was significantly different across MVPA categories. Conclusions Girls consuming atherogenic diets were significantly less physically active than those with low fat intakes, whereas boys consuming high-fat diets did not show differences in physical activity measures. With the prevalence of overweight rising among youth, the impact of atherogenic diets and sedentary lifestyles on CVD risk factors is of concern to public health professionals. PMID:19524152

  2. System for the growth of bulk SiC crystals by modified CVD techniques

    NASA Technical Reports Server (NTRS)

    Steckl, Andrew J.

    1994-01-01

    The goal of this program was the development of a SiC CVD growth of films thick enough to be useful as pseudo-substrates. The cold-walled CVD system was designed, assembled, and tested. Extrapolating from preliminary evaluation of SiC films grown in the system at relatively low temperatures indicates that the growth rate at the final temperatures will be high enough to make our approach practical. Modifications of the system to allow high temperature growth and cleaner growth conditions are in progress. This program was jointly funded by Wright Laboratory, Materials Directorate and NASA LeRC and monitored by NASA.

  3. Plasma CVD of Carbon Nanotubes

    NASA Technical Reports Server (NTRS)

    Delzeit, Lance; Cruden, B.; Hash, D.; Meyyappan, M.; DeVincenzi, Donald L. (Technical Monitor)

    2001-01-01

    Carbon nanotubes(CNT) exhibit remarkable mechanical and unique electronic properties and thus have created excitement in the research community about their potential in electronics, computing, sensor and structural applications. Realization of these applications critically depends on the ability to control the properties(such as diameter, chirality) as well purity. We have investigated CNT growth using an inductively coupled plasma(ICP) process using hydrocarbon feedstock. The catalyst required for nanotube growth consists of thin sputtered layers of aluminum and iron(10 nm each) and aligned carbon nanotubes have been obtained. Optical emission diagnostics as well as a plasma modeling effort have been undertaken to understand growth mechanisms. This presentation will discuss growth characteristics under various pressure, power and feedgas compositions and our understanding from modeling and diagnostics.

  4. Study of the triton-burnup process in different JET scenarios using neutron monitor based on CVD diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nemtsev, G., E-mail: g.nemtsev@iterrf.ru; Amosov, V.; Meshchaninov, S.

    We present the results of analysis of triton burn-up process using the data from diamond detector. Neutron monitor based on CVD diamond was installed in JET torus hall close to the plasma center. We measure the part of 14 MeV neutrons in scenarios where plasma current varies in a range of 1-3 MA. In this experiment diamond neutron monitor was also able to detect strong gamma bursts produced by runaway electrons arising during the disruptions. We can conclude that CVD diamond detector will contribute to the study of fast particles confinement and help predict the disruption events in future tokamaks.

  5. Evaluation of infrared thermography as a diagnostic tool in CVD applications

    NASA Astrophysics Data System (ADS)

    Johnson, E. J.; Hyer, P. V.; Culotta, P. W.; Clark, I. O.

    1998-05-01

    This research is focused on the feasibility of using infrared temperature measurements on the exterior of a chemical vapor deposition (CVD) reactor to ascertain both real-time information on the operating characteristics of a CVD system and provide data which could be post-processed to provide quantitative information for research and development on CVD processes. Infrared thermography techniques were used to measure temperatures on a horizontal CVD reactor of rectangular cross section which were correlated with the internal gas flow field, as measured with the laser velocimetry (LV) techniques. For the reactor tested, thermal profiles were well correlated with the gas flow field inside the reactor. Correlations are presented for nitrogen and hydrogen carrier gas flows. The infrared data were available to the operators in real time with sufficient sensitivity to the internal flow field so that small variations such as misalignment of the reactor inlet could be observed. The same data were post-processed to yield temperature measurements at known locations on the reactor surface. For the experiments described herein, temperatures associated with approximately 3.3 mm 2 areas on the reactor surface were obtained with a precision of ±2°C. These temperature measurements were well suited for monitoring a CVD production reactor, development of improved thermal boundary conditions for use in CFD models of reactors, and for verification of expected thermal conditions.

  6. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    NASA Astrophysics Data System (ADS)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  7. Family history of cardiovascular disease (CVD), perceived CVD risk, and health-related behavior: A review of the literature

    PubMed Central

    Imes, Christopher C.; Lewis, Frances Marcus

    2012-01-01

    Background Over 82 million Americans have one or more forms of cardiovascular disease (CVD), accounting for 32.8% of all deaths in the United States. Although the evidence for the familial aggregation of CVD is strong, the relationship between family history (FH) of CVD, perceived risk for CVD and their relationship to health-related behavior is poorly understood. Objective The objective of this article is to review and summarize the published research on the relationship between a FH of CVD, an individual’s perceived risk, and health-related behavior in order to make recommendations for clinical practice and future research. Methods A literature search was conducted using PubMed, CINAHL Plus, and PsycINFO to identify articles that examined the relationship between a FH of CVD, perceived CVD risk, and health-promoting behaviors. A total of 263 unique articles were reviewed. Two hundred thirty-eight were excluded, resulting in a total of 25 articles included in the paper. Results There was a positive relationship between a reported FH of CVD and perceived risk. However, the relationship between a FH of CVD and health-related behavior change and perceived risk and behavior change was inconsistent. Conclusions A person’s awareness of their FH of CVD or their own risk for CVD is not a sufficient predictor of changes in their health-related behavior. Future studies are needed to better explain the processes by which perceived CVD risk or FH of CVD can be used to affect health-related behavior changes. It appears that both FH and perceived personal risk for CVD are necessary but not sufficient conditions to change health-related behavior in high-risk populations. Future studies should also test interventions that help individuals with a FH of CVD attribute increased personal risk to themselves for developing CVD, while providing lifestyle management options to minimize their risk. PMID:23321782

  8. CVD and Oxidative Stress

    PubMed Central

    Cervantes Gracia, Karla; Llanas-Cornejo, Daniel; Husi, Holger

    2017-01-01

    Nowadays, it is known that oxidative stress plays at least two roles within the cell, the generation of cellular damage and the involvement in several signaling pathways in its balanced normal state. So far, a substantial amount of time and effort has been expended in the search for a clear link between cardiovascular disease (CVD) and the effects of oxidative stress. Here, we present an overview of the different sources and types of reactive oxygen species in CVD, highlight the relationship between CVD and oxidative stress and discuss the most prominent molecules that play an important role in CVD pathophysiology. Details are given regarding common pharmacological treatments used for cardiovascular distress and how some of them are acting upon ROS-related pathways and molecules. Novel therapies, recently proposed ROS biomarkers, as well as future challenges in the field are addressed. It is apparent that the search for a better understanding of how ROS are contributing to the pathophysiology of CVD is far from over, and new approaches and more suitable biomarkers are needed for the latter to be accomplished. PMID:28230726

  9. A Comparative Study of Three Different Chemical Vapor Deposition (CVD) Techniques of Carbon Nanotube Growth on Diamond Films

    DTIC Science & Technology

    2013-01-01

    FCT-CVD) with xylene and ferrocene liquid mixture without any prior catalyst deposition. T-CVD is a low cost system that can easily be set up to grow...iron catalysts, respectively. For the FCT-CVD approach, ferrocene is used as an iron source to promote CNT growth. Based on these repeatable results...kept at 250 ° C while the high temperature furnace is ramped up to the growth temperature of 750 ° C. Ferrocene was dissolved into xylene solvent in

  10. Plasma Sheet Velocity Measurement Techniques for the Pulsed Plasma Thruster SIMP-LEX

    NASA Technical Reports Server (NTRS)

    Nawaz, Anuscheh; Lau, Matthew

    2011-01-01

    The velocity of the first plasma sheet was determined between the electrodes of a pulsed plasma thruster using three measurement techniques: time of flight probe, high speed camera and magnetic field probe. Further, for time of flight probe and magnetic field probe, it was possible to determine the velocity distribution along the electrodes, as the plasma sheet is accelerated. The results from all three techniques are shown, and are compared for one thruster geometry.

  11. Scattering of low-energetic atoms and molecules from a boron-doped CVD diamond surface

    NASA Astrophysics Data System (ADS)

    Allenbach, M.; Neuland, M. B.; Riedo, A.; Wurz, P.

    2018-01-01

    For the detection of low energetic neutral atoms for the remote sensing of space plasmas, charge state conversion surfaces are used to ionize the neutrals for their subsequent measurement. We investigated a boron-doped Chemical Vapor Deposition (CVD) diamond sample for its suitability to serve as a conversion surface on future space missions, such as NASA's Interstellar Mapping and Acceleration Probe. For H and O atoms incident on conversion surface with energies ranging from 195 to 1000 eV and impact angles from 6° to 15° we measured the angular scattering distributions and the ionization yields. Atomic force microscope and laser ablation ionization mass spectrometry analyses were applied to further characterize the sample. Based on a figure-of-merit, which included the ionization yield and angular scatter distribution, the B-doped CVD surface was compared to other, previously characterized conversion surfaces, including e.g. an undoped CVD diamond with a metallized backside. For particle energies below 390 eV the performance of the B-doped CVD conversion surfaces is comparable to surfaces studied before. For higher energies the figure-of-merit indicates a superior performance. From our studies we conclude that the B-doped CVD diamond sample is well suited for its application on future space missions.

  12. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    NASA Astrophysics Data System (ADS)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  13. Plasma and blood viscosity in the prediction of cardiovascular disease and mortality in the Scottish Heart Health Extended Cohort Study.

    PubMed

    Peters, Sanne Ae; Woodward, Mark; Rumley, Ann; Tunstall-Pedoe, Hugh D; Lowe, Gordon DO

    2017-01-01

    Background There is increasing evidence that blood viscosity and its major determinants (haematocrit and plasma viscosity) are associated with increased risks of cardiovascular disease (CVD) and premature mortality; however, their predictive value for CVD and mortality is not clear. Methods We prospectively assessed the added predictive value of plasma viscosity and whole blood viscosity and haematocrit in 3386 men and women aged 30-74 years participating in the Scottish Heart Health Extended Cohort study. Results Over a median follow-up of 17 years, 819 CVD events and 778 deaths were recorded. Hazard ratios (95% confidence intervals) for a 1 SD increase in plasma viscosity, adjusted for major CVD risk factors, were 1.12 (1.04-1.20) for CVD and 1.20 (1.12-1.29) for mortality. These remained significant after further adjustment for plasma fibrinogen: 1.09 (1.01-1.18) and 1.13 (1.04-1.22). The corresponding results for blood viscosity were 0.99 (0.90, 1.09) for CVD, and 1.11 (1.01, 1.22) for total mortality after adjustment for major CVD risk factors; and 0.97 (0.88, 1.08) and 1.06 (0.96, 1.18) after further adjustment for fibrinogen. Haematocrit showed similar associations to blood viscosity. When added to classical CVD risk factors, plasma viscosity improved the discrimination of CVD and mortality by 2.4% (0.7-4.4%) and 4.1% (2.0-6.5%). Conclusions Although plasma and blood viscosity may have a role in the pathogenesis of CVD and mortality, much of their association with CVD and mortality is due to the mutual effects of major CVD risk factors. However, plasma viscosity adds to the discrimination of CVD and mortality and might be considered for inclusion in multivariable risk scores.

  14. CVD-Enabled Graphene Manufacture and Technology

    PubMed Central

    2015-01-01

    Integrated manufacturing is arguably the most challenging task in the development of technology based on graphene and other 2D materials, particularly with regard to the industrial demand for “electronic-grade” large-area films. In order to control the structure and properties of these materials at the monolayer level, their nucleation, growth and interfacing needs to be understood to a level of unprecedented detail compared to existing thin film or bulk materials. Chemical vapor deposition (CVD) has emerged as the most versatile and promising technique to develop graphene and 2D material films into industrial device materials and this Perspective outlines recent progress, trends, and emerging CVD processing pathways. A key focus is the emerging understanding of the underlying growth mechanisms, in particular on the role of the required catalytic growth substrate, which brings together the latest progress in the fields of heterogeneous catalysis and classic crystal/thin-film growth. PMID:26240694

  15. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    PubMed

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  16. Investigation of multilayer domains in large-scale CVD monolayer graphene by optical imaging

    NASA Astrophysics Data System (ADS)

    Yu, Yuanfang; Li, Zhenzhen; Wang, Wenhui; Guo, Xitao; Jiang, Jie; Nan, Haiyan; Ni, Zhenhua

    2017-03-01

    CVD graphene is a promising candidate for optoelectronic applications due to its high quality and high yield. However, multi-layer domains could inevitably form at the nucleation centers during the growth. Here, we propose an optical imaging technique to precisely identify the multilayer domains and also the ratio of their coverage in large-scale CVD monolayer graphene. We have also shown that the stacking disorder in twisted bilayer graphene as well as the impurities on the graphene surface could be distinguished by optical imaging. Finally, we investigated the effects of bilayer domains on the optical and electrical properties of CVD graphene, and found that the carrier mobility of CVD graphene is seriously limited by scattering from bilayer domains. Our results could be useful for guiding future optoelectronic applications of large-scale CVD graphene. Project supported by the National Natural Science Foundation of China (Nos. 61422503, 61376104), the Open Research Funds of Key Laboratory of MEMS of Ministry of Education (SEU, China), and the Fundamental Research Funds for the Central Universities.

  17. Development of CVD-W coatings on CuCrZr and graphite substrates with a PVD intermediate layer

    NASA Astrophysics Data System (ADS)

    Song, Jiupeng; Lian, Youyun; Lv, Yanwei; Liu, Junyong; Yu, Yang; Liu, Xiang; Yan, Binyou; Chen, Zhigang; Zhuang, Zhigang; Zhao, Ximeng; Qi, Yang

    2014-12-01

    In order to apply tungsten (W) coatings by chemical vapor deposition (CVD) for repairing or updating the plasma facing components (PFCs) of the first wall and divertor in existing or future tokomaks, where CuCrZr or graphite is the substrate material, an intermediate layer by physical vapor deposition (PVD) has been used to accommodate the interface stress due to the mismatch of thermal expansion or act as a diffusion barrier between the CVD-W coating and the substrate. The prepared CuCrZr/PVD-Cu/CVD-W sample with active cooling has passed thermal fatigue tests by electron beam with an absorbed power of 2.2 MW/m2, 50 s on/50 s off, for 100 cycles. Another graphite/PVD-Si/CVD-W sample without active cooling underwent thermal fatigue testing with an absorbed power density of 4.62 MW/m2, 5 s on/25 s off, for 200 cycles, and no catastrophic failure was found.

  18. Catalytic CVD synthesis of boron nitride and carbon nanomaterials - synergies between experiment and theory.

    PubMed

    McLean, Ben; Eveleens, Clothilde A; Mitchell, Izaac; Webber, Grant B; Page, Alister J

    2017-10-11

    Low-dimensional carbon and boron nitride nanomaterials - hexagonal boron nitride, graphene, boron nitride nanotubes and carbon nanotubes - remain at the forefront of advanced materials research. Catalytic chemical vapour deposition has become an invaluable technique for reliably and cost-effectively synthesising these materials. In this review, we will emphasise how a synergy between experimental and theoretical methods has enhanced the understanding and optimisation of this synthetic technique. This review examines recent advances in the application of CVD to synthesising boron nitride and carbon nanomaterials and highlights where, in many cases, molecular simulations and quantum chemistry have provided key insights complementary to experimental investigation. This synergy is particularly prominent in the field of carbon nanotube and graphene CVD synthesis, and we propose here it will be the key to future advances in optimisation of CVD synthesis of boron nitride nanomaterials, boron nitride - carbon composite materials, and other nanomaterials generally.

  19. Ultratough CVD single crystal diamond and three dimensional growth thereof

    DOEpatents

    Hemley, Russell J [Washington, DC; Mao, Ho-kwang [Washington, DC; Yan, Chih-shiue [Washington, DC

    2009-09-29

    The invention relates to a single-crystal diamond grown by microwave plasma chemical vapor deposition that has a toughness of at least about 30 MPa m.sup.1/2. The invention also relates to a method of producing a single-crystal diamond with a toughness of at least about 30 MPa m.sup.1/2. The invention further relates to a process for producing a single crystal CVD diamond in three dimensions on a single crystal diamond substrate.

  20. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors.

    PubMed

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-11

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates-20 °C min -1 , 40 °C min -1 and 100 °C min -1 -to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min -1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs -1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g -1 -213.8 F g -1 at a voltage scan rate of 0.05 V s -1 . A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s -1 , for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min -1 .

  1. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    NASA Astrophysics Data System (ADS)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  2. Synthesis, characterization and oxidation of metallic cobalt (Co) thin film into semiconducting cobalt oxide (Co3O4)thin film using microwave plasma CVD

    NASA Astrophysics Data System (ADS)

    Rahman Ansari, Akhalakur; Hussain, Shahir; Imran, Mohd; Abdel-wahab, M. Sh; Alshahrie, Ahmed

    2018-06-01

    The pure cobalt thin film was deposited on the glass substrate by using DC magnetron sputtering and then exposed to microwave assist oxygen plasma generated in microwave plasma CVD. The oxidation process of Co thin film into Co3O4 thin films with different microwave power and temperature were studied. The influences of microwave power, temperature and irradiation time were investigated on the morphology and particle size of oxide thin films. The crystal structure, chemical conformation, morphologies and optical properties of oxidized Co thin films (Co3O4) were studied by using x-ray diffraction (XRD), Field emission scanning electron microscopy (FESEM), Raman Spectroscopy and UV–vis Spectroscopy. The data of these films showed complete oxidation pure metallic cobalt (Co) into cobalt oxide (Co3O4). The optical properties were studied for calculating the direct band gaps which ranges from 1.35 to 1.8 eV.

  3. All-Cause and CVD Mortality in Native Hawaiians

    PubMed Central

    Aluli, N. Emmett; Reyes, Phillip W.; Brady, S. Kalani; Tsark, JoAnn U.; Jones, Kristina L.; Mau, Marjorie; Howard, Wm. J.; Howard, Barbara V.

    2010-01-01

    Aims Cardiovascular disease (CVD) is the leading cause of death among Native Hawaiians. In this article, all-cause and cardiovascular mortality rates among Native Hawaiians are examined, along with associated CVD risk factors. Methods A total of 855 Native Hawaiians (343 men and 512 women, ages 19–88) were examined as participants of the Cardiovascular Risk Clinics program (1992–1998) and underwent surveillance through September 2007. Cause of each death was determined by review of medical records, death certificates, newspapers, and through queries to community members. Results CVD accounted for 55% of deaths. Coronary heart disease (CHD) accounted for the majority of CVD deaths. CVD increased with age and was higher in those with diabetes, hypertension, or high low-density lipoprotein cholesterol (LDL-C). CVD rates were higher in men than in women and 4-fold higher in those with diabetes. In addition to age, diabetes, hypertension, and elevated LDL-C were major risk factors. Conclusions Diabetes is a major determinant of CVD in this population and most of the CVD is occurring in those with diabetes. Strategies to prevent diabetes and manage blood pressure and lipids should reduce CVD rates in Native Hawaiians. PMID:20392507

  4. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    NASA Astrophysics Data System (ADS)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (<400sp°C). The double dilution was achieved by using a Ar (He) carrier for silane and its subsequent dilution by Hsb2. Structural and electrical properties of the films have been investigated over a wide growth space (temperature, power, pressure and dilution). Amorphous Si films deposited by silane diluted in He showed a compact nature and a hydrogen content of ˜8 at.% with a photo/dark conductivity ratio of 10sp4. Thin film transistors (W/L = 500/25) fabricated on these films, showed an on/off ratio of ˜10sp6 and a low threshold voltage of 2.92 volts. Microcrystalline Si films with a high crystalline content (˜80%) were also prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron

  5. Diets high in resistant starch increase plasma levels of trimethylamine-N-oxide, a gut microbiome metabolite associated with CVD risk.

    PubMed

    Bergeron, Nathalie; Williams, Paul T; Lamendella, Regina; Faghihnia, Nastaran; Grube, Alyssa; Li, Xinmin; Wang, Zeneng; Knight, Rob; Jansson, Janet K; Hazen, Stanley L; Krauss, Ronald M

    2016-12-01

    Production of trimethylamine-N-oxide (TMAO), a biomarker of CVD risk, is dependent on intestinal microbiota, but little is known of dietary conditions promoting changes in gut microbial communities. Resistant starches (RS) alter the human microbiota. We sought to determine whether diets varying in RS and carbohydrate (CHO) content affect plasma TMAO levels. We also assessed postprandial glucose and insulin responses and plasma lipid changes to diets high and low in RS. In a cross-over trial, fifty-two men and women consumed a 2-week baseline diet (41 percentage of energy (%E) CHO, 40 % fat, 19 % protein), followed by 2-week high- and low-RS diets separated by 2-week washouts. RS diets were assigned at random within the context of higher (51-53 %E) v. lower CHO (39-40 %E) intake. Measurements were obtained in the fasting state and, for glucose and insulin, during a meal test matching the composition of the assigned diet. With lower CHO intake, plasma TMAO, carnitine, betaine and γ-butyrobetaine concentrations were higher after the high- v. low-RS diet (P<0·01 each). These metabolites were not differentially affected by high v. low RS when CHO intake was high. Although the high-RS meal reduced postprandial insulin and glucose responses when CHO intake was low (P<0·01 each), RS did not affect fasting lipids, lipoproteins, glucose or insulin irrespective of dietary CHO content. In conclusion, a lower-CHO diet high in RS was associated with higher plasma TMAO levels. These findings, together with the absence of change in fasting lipids, suggest that short-term high-RS diets do not improve markers of cardiometabolic health.

  6. [Plasma exchange in nephrology: Indications and technique].

    PubMed

    Ridel, Christophe; Kissling, Sébastien; Mesnard, Laurent; Hertig, Alexandre; Rondeau, Éric

    2017-02-01

    Plasma exchange is a non-selective apheresis technique that can be performed by filtration or centrifugation allowing rapid purification of high molecular weight pathogens. An immunosuppressive treatment is generally associated to reduce the rebound effect of the purified substance. Substitution solutes such as human albumin and macromolecules are needed to compensate for plasma extraction. Compensation by viro-attenuated plasma is reserved solely for the treatment of thrombotic microangiopathies or when there is a risk of bleeding, because this product is very allergenic and expensive. The treatment goal for a plasma exchange session should be between one and one and one-half times the patient's plasma volume estimated at 40 mL/kg body weight. The anticoagulation is best ensured by the citrate. Complications of plasma exchange are quite rare according to the French hemapheresis registry. The level of evidence of efficacy of plasma exchange in nephrology varies from one pathology to another. Main indications of plasma exchange in nephrology are Goodpasture syndrome, antineutrophil cytoplasmic antibody vasculitis when plasma creatinine is greater than 500 μmol/L, and thrombotic microangiopathies. During renal transplantation, plasma exchange may be proposed in the context of human leukocyte antigen (HLA) desensitization protocols or ABO-incompatible graft. After renal transplantation, plasma exchange is indicated as part of the treatment of acute humoral rejection or recurrent focal segmental glomerulosclerosis on the graft. Plasma exchanges are also proposed in the management of cryoglobulinemia or polyarteritis nodosa. Hemodialysis with membranes of very high permeability tends to replace plasma exchange for myeloma nephropathy. The benefit from plasma exchange has not been formally demonstrated for the treatment of severe lupus or antiphospholipid antibody syndrome. There is no indication of plasma exchange in the treatment of scleroderma or nephrogenic

  7. Plasma and serum L-selectin and clinical and subclinical the Multi-Ethnic Study of Atherosclerosis (MESA)cardiovascular disease

    PubMed Central

    BERARDI, CECILIA; DECKER, PAUL A.; KIRSCH, PHILLIP S.; DE ANDRADE, MARIZA; TSAI, MICHAEL Y.; PANKOW, JAMES S.; SALE, MICHELE M.; SICOTTE, HUGUES; TANG, WEIHONG; HANSON, NAOMI; POLAK, JOSEPH F.; BIELINSKI, SUZETTE J.

    2014-01-01

    L-selectin has been suggested to play a role in atherosclerosis. Previous studies on cardiovascular disease (CVD) and serum or plasma L-selectin are inconsistent. The association of serum L-selectin (sL-selectin) with carotid intima-media thickness, coronary artery calcium, ankle-brachial index (subclinical CVD) and incident CVD was assessed within 2403 participants in the Multi-Ethnic Study of Atherosclerosis (MESA). Regression analysis and the Tobit model were used to study subclinical disease; Cox Proportional Hazards regression for incident CVD. Mean age was 63 ± 10, 47% were males; mean sL-selectin was significantly different across ethnicities. Within each race/ethnicity, sL-selectin was associated with age and sex; among Caucasians and African Americans, it was associated with smoking status and current alcohol use. sL-selectin levels did not predict subclinical or clinical CVD after correction for multiple comparisons. Conditional logistic regression models were used to study plasma L-selectin and CVD within 154 incident CVD cases, occurred in a median follow up of 8.5 years, and 306 age-, sex-, and ethnicity-matched controls. L-selectin levels in plasma were significantly lower than in serum and the overall concordance was low. Plasma levels were not associated with CVD. In conclusion, this large multi-ethnic population, soluble L-selectin levels did not predict clinical or subclinical CVD. PMID:24631064

  8. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    NASA Astrophysics Data System (ADS)

    Lu, Yimin; Makihara, Katsunori; Takeuchi, Daichi; Ikeda, Mitsuhisa; Ohta, Akio; Miyazaki, Seiichi

    2017-06-01

    Hydrogenated microcrystalline (µc) Si/Ge heterostructures were prepared on quartz substrates by plasma-enhanced chemical vapor deposition (CVD) from VHF inductively coupled plasma of SiH4 just after GeH4 employing Ni nanodots (NDs) as seeds for crystalline nucleation. The crystallinity of the films and the progress of grain growth were characterized by Raman scattering spectroscopy and atomic force microscopy (AFM), respectively. When the Ge films were grown on Ni-NDs at 250 °C, the growth of µc-Ge films with crystallinity as high as 80% was realized without an amorphous phase near the Ge film/quartz substrate interface. After the subsequent Si film deposition at 250 °C, fine grains were formed in the early stages of film growth on µc-Ge films with compositional mixing (µc-Si0.85Ge0.15:H) caused by the release of large lattice mismatch between c-Si and c-Ge. With further increase in Si:H film thickness, the formation of large grain structures accompanied by fine grains was promoted. These results suggest that crystalline Si/Ge heterojunctions can be used for efficient carrier collection in solar cell application.

  9. Injection doping of ultrathin microcrystalline silicon films prepared by CC-CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koynov, S.; Grebner, S.; Schwarz, R.

    1997-07-01

    Recently, the authors have proposed a cyclic method, referred to as Closed Chamber CVD (CC-CVD), for the preparation of {micro}c-Si films of high crystalline fraction at increased deposition rates. In this work, they first report new process conditions of CC-CVD, which result in growth of highly crystalline films with a sharp interface on a foreign substrate. Then these conditions are further used together with a pulsed injection of B{sub 2}H{sub 6} in an appropriate moment of each cycle, so that the disturbance of the crystallization process is prevented. A series of ultrathin {micro}c-Si films, doped by this technique, is characterizedmore » by conductivity measurements, SEM, Raman Scattering, optical transmission and UV reflection. A strong reduction of the transient interface layer is achieved and conductivity as high as 2 S/cm with an activation energy of 27 meV is reached.« less

  10. The use of CVD diamond burs for ultraconservative cavity preparations: a report of two cases.

    PubMed

    Carvalho, Carlos Augusto R; Fagundes, Ticiane C; Barata, Terezinha J E; Trava-Airoldi, Vladimir Jesus; Navarro, Maria Fidela L

    2007-01-01

    During the past decades, scientific developments in cutting instruments have changed the conventional techniques used to remove caries lesions. Ultrasound emerged as an alternative for caries removal since the 1950s. However, the conventional technology for diamond powder aggregation with nickel metallic binders could not withstand ultrasonic power. Around 5 years ago, an alternative approach using chemical vapor deposition (CVD) resulted in synthetic diamond technology. CVD diamond burs are obtained with high adherence of the diamond as a unique stone on the metallic surface with excellent abrading performance. This technology allows for diamond deposition with coalescent granulation in different formats of substrates. When connected to an ultrasonic handpiece, CVD diamond burs become an option for cavity preparation, maximizing preservation of tooth structure. Potential advantages such as reduced noise, minimal damage to the gingival tissue, extended bur durability, improved proximal cavity access, reduced risk of hitting the adjacent tooth resulting from the high inclination angles, and minimal patient's risk of metal contamination. These innovative instruments also potentially eliminate some problems regarding decreased cutting efficiency of conventional diamond burs. This clinical report presents the benefits of using CVD diamond burs coupled with an ultrasonic handpiece in the treatment of incipient caries. CVD diamond burs coupled with an ultrasonic device offer a promising alternative for removal of carious lesions when ultraconservative cavity preparations are required. Additionally, this system provides a less-painful technique for caries removal, with minimal noise.

  11. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration

    NASA Astrophysics Data System (ADS)

    Cariou, Romain; Chen, Wanghua; Maurice, Jean-Luc; Yu, Jingwen; Patriarche, Gilles; Mauguin, Olivia; Largeau, Ludovic; Decobert, Jean; Roca I Cabarrocas, Pere

    2016-05-01

    The integration of III-V semiconductors with silicon is a key issue for photonics, microelectronics and photovoltaics. With the standard approach, namely the epitaxial growth of III-V on silicon, thick and complex buffer layers are required to limit the crystalline defects caused by the interface polarity issues, the thermal expansion, and lattice mismatches. To overcome these problems, we have developed a reverse and innovative approach to combine III-V and silicon: the straightforward epitaxial growth of silicon on GaAs at low temperature by plasma enhanced CVD (PECVD). Indeed we show that both GaAs surface cleaning by SiF4 plasma and subsequent epitaxial growth from SiH4/H2 precursors can be achieved at 175 °C. The GaAs native oxide etching is monitored with in-situ spectroscopic ellipsometry and Raman spectroscopy is used to assess the epitaxial silicon quality. We found that SiH4 dilution in hydrogen during deposition controls the layer structure: the epitaxial growth happens for deposition conditions at the transition between the microcrystalline and amorphous growth regimes. SIMS and STEM-HAADF bring evidences for the interface chemical sharpness. Together, TEM and XRD analysis demonstrate that PECVD enables the growth of high quality relaxed single crystal silicon on GaAs.

  12. Development of CVD mullite coatings for Si-based ceramics

    NASA Astrophysics Data System (ADS)

    Auger, Michael Lawrence

    1999-09-01

    To raise fuel efficiencies, the next generation of engines and fuel systems must be lighter and operate at higher temperatures. Ceramic-based materials, which are considerably lighter than metals and can withstand working temperatures of up to 1400sp°C, have been targeted to replace traditional metal-based components. The materials used in combustion environments must also be capable of withstanding erosion and corrosion caused by combustion gases, particulates, and deposit-forming corrodants. With these demanding criteria, silicon-based ceramics are the leading candidate materials for high temperature engine and heat exchanger structural components. However, these materials are limited in gaseous environments and in the presence of molten salts since they form liquid silicates on exposed surfaces at temperatures as low as 800sp°C. Protective coatings that can withstand higher operating temperatures and corrosive atmospheres must be developed for silicon-based ceramics. Mullite (3Alsb2Osb3{*}2SiOsb2) was targeted as a potential coating material due to its unique ability to resist corrosion, retain its strength, resist creep, and avoid thermal shock failure at elevated temperatures. Several attempts to deposit mullite coatings by various processing methods have met with limited success and usually resulted in coatings that have had pores, cracks, poor adherence, and required thermal post-treatments. To overcome these deficiencies, the direct formation of chemically vapor deposited (CVD) mullite coatings has been developed. CVD is a high temperature atomistic deposition technique that results in dense, adherent crystalline coatings. The object of this dissertation was to further the understanding of the CVD mullite deposition process and resultant coating. The kinetics of CVD mullite deposition were investigated as a function of the following process parameters: temperature, pressure, and the deposition reactor system. An empirical kinetic model was developed

  13. Diets high in resistant starch increase plasma levels of trimethylamine-N-oxide, a gut microbiome metabolite associated with CVD risk

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bergeron, Nathalie; Williams, Paul T.; Lamendella, Regina

    Production of trimethylamine-N-oxide (TMAO), a biomarker of CVD risk, is dependent on intestinal microbiota, but little is known of dietary conditions promoting changes in gut microbial communities. Resistant starches (RS) alter the human microbiota. We sought to determine whether diets varying in RS and carbohydrate (CHO) content affect plasma TMAO levels. We also assessed postprandial glucose and insulin responses and plasma lipid changes to diets high and low in RS. In a cross-over trial, fifty-two men and women consumed a 2-week baseline diet (41 percentage of energy (%E) CHO, 40 % fat, 19 % protein), followed by 2-week high- andmore » low-RS diets separated by 2-week washouts. RS diets were assigned at random within the context of higher (51–53 %E)v. lower CHO (39–40 %E) intake. Measurements were obtained in the fasting state and, for glucose and insulin, during a meal test matching the composition of the assigned diet. With lower CHO intake, plasma TMAO, carnitine, betaine andγ-butyrobetaine concentrations were higher after the high-v. low-RS diet (P<0·01 each). These metabolites were not differentially affected by highv. low RS when CHO intake was high. Although the high-RS meal reduced postprandial insulin and glucose responses when CHO intake was low (P<0·01 each), RS did not affect fasting lipids, lipoproteins, glucose or insulin irrespective of dietary CHO content. In conclusion, a lower-CHO diet high in RS was associated with higher plasma TMAO levels. These findings, together with the absence of change in fasting lipids, suggest that short-term high-RS diets do not improve markers of cardiometabolic health.« less

  14. Ultrasonic technique for measuring porosity of plasma-sprayed alumina coatings

    NASA Astrophysics Data System (ADS)

    Parthasarathi, S.; Tittmann, B. R.; Onesto, E. J.

    1997-12-01

    Porosity is an important factor in plasma-sprayed coatings, especially ceramic coatings. Excessive poros-ity can adversely affect the performance of the coated component in various ways. An ultrasonic nonde-structive measurement technique has been developed to measure porosity in plasma-sprayed alumina coatings. The technique is generic and can be extended to other ceramic coating systems. To test the tech-nique, freestanding alumina coatings with varying levels of porosity were fabricated via plasma spray. Samples with varying porosity, obtained through innovative fabrication techniques, were used to gener-ate a calibration curve. The ultrasonic velocity in the low-frequency range was found to be dependent on the density of freestanding coatings (measured via Archimedian techniques). This dependence is the basis of the development of a technique to measure the density of coatings.

  15. The surface modification of clay particles by RF plasma technique

    NASA Astrophysics Data System (ADS)

    Lee, Sang-Keol

    In this study, the surface coatings of ball clay, organoclay and exfoliated clay prepared by sol-gel process were done by RF plasma polymerization to improve the surface activity of the clay filler. Characterization of the above plasma-treated clays has been carried out by various techniques. The effects of plasma-treated clays as substitute of carbon black in styrene-butadiene rubber (SBR) and ethylene-propylene-diene monomer (EPDM) on the curing and mechanical properties were investigated. After plasma treatment, the tensile properties of organo and exfoliated clay were not unsatisfactory to that of carbon black filler system. Moreover, only 10 phr filler loading of plasma-treated organoclay in EPDM vulcanizates showed better results than 40 phr filler loading of carbon black in EPDM vulcanizates. The main objective of this study was to verify the applicability of the plasma technique for modifying clay surfaces for their use in the tire manufacturing industry. Another purpose was to reveal the advantage of the plasma technique used to obtain modified-clay and improved properties that those materials can display.

  16. Fermented dairy food and CVD risk.

    PubMed

    Tapsell, Linda C

    2015-04-01

    Fermented dairy foods such as yoghurt and cheese are commonly found in the Mediterranean diet. Recent landmark research has confirmed the effect of the Mediterranean diet on reducing the CVD risk, but the relative contributions of fermented dairy foods have not been fully articulated. The present study provides a review of the relationship between fermented dairy foods consumption and CVD risk in the context of the whole diet. Studies show that people who eat healthier diets may be more likely to consume yoghurt, so there is a challenge in attributing separate effects to yoghurt. Analyses from large population studies list yoghurt as the food most negatively associated with the risk of weight gain (a problem that may lead to CVD). There is some suggestion that fermented dairy foods consumption (yoghurt or cheese) may be associated with reduced inflammatory biomarkers associated with the development of CVD. Dietary trials suggest that cheese may not have the same effect on raising LDL-cholesterol levels as butter with the same saturated fat content. The same might be stated for yoghurt. The use of different probiotic cultures and other aspects of study design remain a problem for research. Nevertheless, population studies from a range of countries have shown that a reduced risk of CVD occurs with the consumption of fermented dairy foods. A combination of evidence is necessary, and more research is always valuable, but indications remain that fermented dairy foods such as cheese and yoghurt are integral to diets that are protective against CVD.

  17. Erosion measurement techniques for plasma-driven railgun barrels

    NASA Astrophysics Data System (ADS)

    Jamison, K. A.; Niiler, Andrus

    1987-04-01

    Plasma-driven railguns are now in operation at several locations throughout the world. All share common problems in barrel erosion arising from the fact that the bore surface must contain a high temperature plasma armature which transmits the acceleration force to a projectile. The plasma temperature at the core of the armature is estimated to be 30 000 K or higher. Such conditions are erosive to most materials even when the exposure time is 100 μs or less. We have adapted two accelerator based techniques to aid in the study of this erosion. The first technique involves the collection and analysis of material ablated and left behind by the plasma. This analysis is based on the unfolding of the Rutherford backscattered (RBS) spectra of 1 MeV deuterons incident on residue collected from a railgun bore. The second technique is an erosion measurement involving thin layer activation (TLA) of surfaces. In this process, the copper rail surface is activated by 2.4 MeV protons creating a relatively thin (3 m) layer sparsely seeded with a long lived zinc isotope. Monitoring the decay of the activated sample before and after a firing can detect surface wear of about 0. 1 m. Results from the RBS and TLA experiments on the BRL plasma driven railgun are described.

  18. Fracture Characteristics of Monolayer CVD-Graphene

    PubMed Central

    Hwangbo, Yun; Lee, Choong-Kwang; Kim, Sang-Min; Kim, Jae-Hyun; Kim, Kwang-Seop; Jang, Bongkyun; Lee, Hak-Joo; Lee, Seoung-Ki; Kim, Seong-Su; Ahn, Jong-Hyun; Lee, Seung-Mo

    2014-01-01

    We have observed and analyzed the fracture characteristics of the monolayer CVD-graphene using pressure bulge testing setup. The monolayer CVD-graphene has appeared to undergo environmentally assisted subcritical crack growth in room condition, i.e. stress corrosion cracking arising from the adsorption of water vapor on the graphene and the subsequent chemical reactions. The crack propagation in graphene has appeared to be able to be reasonably tamed by adjusting applied humidity and stress. The fracture toughness, describing the ability of a material containing inherent flaws to resist catastrophic failure, of the CVD-graphene has turned out to be exceptionally high, as compared to other carbon based 3D materials. These results imply that the CVD-graphene could be an ideal candidate as a structural material notwithstanding environmental susceptibility. In addition, the measurements reported here suggest that specific non-continuum fracture behaviors occurring in 2D monoatomic structures can be macroscopically well visualized and characterized. PMID:24657996

  19. A technique for plasma velocity-space cross-correlation

    NASA Astrophysics Data System (ADS)

    Mattingly, Sean; Skiff, Fred

    2018-05-01

    An advance in experimental plasma diagnostics is presented and used to make the first measurement of a plasma velocity-space cross-correlation matrix. The velocity space correlation function can detect collective fluctuations of plasmas through a localized measurement. An empirical decomposition, singular value decomposition, is applied to this Hermitian matrix in order to obtain the plasma fluctuation eigenmode structure on the ion distribution function. A basic theory is introduced and compared to the modes obtained by the experiment. A full characterization of these modes is left for future work, but an outline of this endeavor is provided. Finally, the requirements for this experimental technique in other plasma regimes are discussed.

  20. Diagnostic techniques in thermal plasma processing, part 2, volume 2

    NASA Astrophysics Data System (ADS)

    Boulos, M.; Fauchais, P.; Pfender, E.

    1986-02-01

    Techniques for diagnostics for thermal plasmas are discussed. These include both optical techniques and in-flight measurements of particulate matter. In the core of the plasma, collisional excitation of the various chemical species is so strong that the population of the corresponding quantum levels becomes high enough for net emission from the plasma. In that case, the classical methods of emission spectroscopy may be applied. But in the regions where the temperatures are below 4000K (these regions are of primary importance for plasma processing), the emission from the plasma is no longer sufficient for emission spectroscopy. In this situation, the population of excited levels must be increased by the absorption of the light from an external source. Such sources, as for example pulsed tunable dye lasers, are now commercially available. The use of such new devices leads to various techniques such as laser induced fluorescence (LIF) or Coherent Anti Stockes Raman Spectroscopy (CARS) that can be used for analyzing plasmas. Particle velocity measurements can be achieved by photography and laser Doppler anemometry. Particle flux measurements are typically achieved by collecting particles on a substrate. Particle size measurements are based on intensity of scattered light.

  1. New developments in CVD diamond for detector applications

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  2. Micro-strip sensors based on CVD diamond

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  3. Sex specific differences in the predictive value of cholesterol homeostasis markers and 10-Year CVD event rate in Framingham Offspring Study participants

    USDA-ARS?s Scientific Manuscript database

    Available data are inconsistent on factors influencing plasma cholesterol homeostasis marker concentrations and their value in predicting subsequent cardiovascular disease (CVD) events. To address this issue the relationship between markers of cholesterol absorption (campesterol, sitosterol, cholest...

  4. Review of Plasma Techniques Used to Trap Antihydrogen

    NASA Astrophysics Data System (ADS)

    Fajans, Joel

    2011-10-01

    Recently, the ALPHA collaboration at CERN trapped antihydrogen atoms. To date, over three hundred antiatoms have been confined, some for as long as 1000s. This was the first time that antiatoms had ever been trapped. The ultimate goal of the ALPHA collaboration is to test CPT invariance by comparing the spectra of hydrogen and antihydrogen, and to measure the gravitational attraction between matter and antimatter. Such studies might resolve the baryogenesis problem: why is there very little antimatter in the Universe? The ALPHA experiment brought together techniques from many different fields of physics, but the crucial breakthroughs were in plasma physics. The essential problem is this: How does one combine two Malmberg-Penning trapped plasmas, one made from antiprotons, and the other positrons, which have opposite electrostatic potentials of nearly one volt, in such a manner that the antiprotons traverse the positrons with kinetic energies of less than 40 μeV, this latter being the depth of the superimposed neutral antihydrogen trap? The plasma techniques ALPHA developed to accomplish this include: Minimizing the effects of the neutral trap multipole fields on the positron and antiproton plasma confinement. Compressing antiprotons down to less than 0.5mm. Using autoresonance to inject antiprotons into the positrons with very little excess energy. Evaporative cooling of the electrons and antiprotons to record low temperatures. Development of charge, radial profile, temperature, and antiproton loss location diagnostics. Careful and lengthy manipulations to finesse the plasmas into the best states for optimal antihydrogen production and trapping. The plasma techniques necessary to trap antihydrogen will be reviewed in this talk. This work was supported by DOE and NSF, and is reported on behalf of the ALPHA collaboration.

  5. Microwave plasma CVD of NANO structured tin/carbon composites

    DOEpatents

    Marcinek, Marek [Warszawa, PL; Kostecki, Robert [Lafayette, CA

    2012-07-17

    A method for forming a graphitic tin-carbon composite at low temperatures is described. The method involves using microwave radiation to produce a neutral gas plasma in a reactor cell. At least one organo tin precursor material in the reactor cell forms a tin-carbon film on a supporting substrate disposed in the cell under influence of the plasma. The three dimensional carbon matrix material with embedded tin nanoparticles can be used as an electrode in lithium-ion batteries.

  6. Plasma Ceramides, Mediterranean Diet, and Incident Cardiovascular Disease in the PREDIMED Trial

    PubMed Central

    Wang, Dong D.; Toledo, Estefanía; Hruby, Adela; Rosner, Bernard A.; Willett, Walter C.; Sun, Qi; Razquin, Cristina; Zheng, Yan; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Corella, Dolores; Gómez-Gracia, Enrique; Fiol, Miquel; Estruch, Ramón; Ros, Emilio; Lapetra, José; Fito, Montserrat; Aros, Fernando; Serra-Majem, Luis; Lee, Chih-Hao; Clish, Clary B.; Liang, Liming; Salas-Salvadó, Jordi; Martínez-González, Miguel A.; Hu, Frank B.

    2017-01-01

    Background Although in vitro studies and investigations in animal models and small clinical populations have suggested that ceramides may represent an intermediate link between over-nutrition and certain pathological mechanisms underlying cardiovascular disease (CVD), no prospective studies have investigated the association between plasma ceramides and risk of CVD. Methods The study population consisted of 980 participants from the PREDIMED trial, including 230 incident cases of CVD and 787 randomly selected participants at baseline (including 37 overlapping cases), followed for up to 7.4 years. Participants were randomized to a Mediterranean diet (MedDiet) supplemented with extra-virgin olive oil, a MedDiet supplemented with nuts, or a control diet. Plasma ceramide concentrations were measured on a liquid chromatography tandem mass spectrometry metabolomics platform. The primary outcome was a composite of non-fatal acute myocardial infarction, non-fatal stroke, or cardiovascular death. Hazard Ratios (HRs) were estimated with weighted Cox regression models, using Barlow weights to account for the case-cohort design. Results The multivariable HRs [95% confidence interval (CI)] comparing the extreme quartiles of plasma concentrations of C16:0, C22:0, C24:0 and C24:1 ceramides were 2.39 (1.49–3.83, P trend <0.001), 1.91 (1.21–3.01, P trend =0.003), 1.97 (1.21–3.01, P trend =0.004), and 1.73 (1.09–2.74, P trend =0.011), respectively. The ceramide score, calculated as a weighted sum of concentrations of four ceramides, was associated with a 2.18-fold higher risk of CVD across extreme quartiles (HR =2.18, 95% CI, 1.36–3.49, P trend <0.001). The association between baseline ceramide score and incident CVD varied significantly by treatment groups (P interaction =0.010). Participants with a higher ceramide score and assigned to either of the two active intervention arms of the trial showed similar CVD risk to those with a lower ceramide score, whereas participants

  7. Effects of Surface Treatments on Secondary Electron Emission from CVD Diamond Films

    NASA Technical Reports Server (NTRS)

    Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Zorman, Christian; Wang, Yaxin; Lamouri, A.

    1995-01-01

    Secondary electron emission (SEE) properties of polycrystalline diamond films grown by chemical vapor deposition (CVD) were measured. The total secondary yield (sigma) from as-grown samples was observed to be as high as 20 at room temperature and 48 while heating at 700 K in vacuum. Electron-beam-activated, alkali-terminated diamond films have shown stable values of sigma as high as 60 when coated with CsI and similarly high values when coated with other alkali halides. Diamond coated with BaF2 had a stable sigma of 6, but no enhancement of the SEE properties was observed with coatings of Ti or Au. Hydrogen was identified to give rise to this effect in as-grown films. However, electron beam exposure led to a reduction in sigma values as low as 2. Exposure to a molecular hydrogen environment restored sigma to its original value after degradation, and enabled stable secondary emission during electron beam exposure. Atomic hydrogen and hydrogen plasma treatments were performed on diamond/Mo samples in an attempt to increase the near-surface hydrogen concentration which might lead to increased stability in the secondary emission. Raman scattering analysis, scanning electron microscopy, and Auger electron spectroscopy (AES) confirmed that hydrogen plasma and atomic hydrogen treatments improved the quality of the CVD diamond significantly. Elastic recoil detection (ERD) showed that heating as-grown diamond targets to 7OO K, which was correlated with an increase in sigma, removed contaminants from the surface but did not drive hydrogen from the diamond bulk. ERD showed that the hydrogen plasma treatment produced an increase in the hydrogen concentration in the near-surface region which did not decrease while heating in vacuum at 700 K, but no improvement in the SEE properties was observed.

  8. Plasma proteomic analysis reveals altered protein abundances in cardiovascular disease.

    PubMed

    Lygirou, Vasiliki; Latosinska, Agnieszka; Makridakis, Manousos; Mullen, William; Delles, Christian; Schanstra, Joost P; Zoidakis, Jerome; Pieske, Burkert; Mischak, Harald; Vlahou, Antonia

    2018-04-17

    Cardiovascular disease (CVD) describes the pathological conditions of the heart and blood vessels. Despite the large number of studies on CVD and its etiology, its key modulators remain largely unknown. To this end, we performed a comprehensive proteomic analysis of blood plasma, with the scope to identify disease-associated changes after placing them in the context of existing knowledge, and generate a well characterized dataset for further use in CVD multi-omics integrative analysis. LC-MS/MS was employed to analyze plasma from 32 subjects (19 cases of various CVD phenotypes and 13 controls) in two steps: discovery (13 cases and 8 controls) and test (6 cases and 5 controls) set analysis. Following label-free quantification, the detected proteins were correlated to existing plasma proteomics datasets (plasma proteome database; PPD) and functionally annotated (Cytoscape, Ingenuity Pathway Analysis). Differential expression was defined based on identification confidence (≥ 2 peptides per protein), statistical significance (Mann-Whitney p value ≤ 0.05) and a minimum of twofold change. Peptides detected in at least 50% of samples per group were considered, resulting in a total of 3796 identified proteins (838 proteins based on ≥ 2 peptides). Pathway annotation confirmed the functional relevance of the findings (representation of complement cascade, fibrin clot formation, platelet degranulation, etc.). Correlation of the relative abundance of the proteins identified in the discovery set with their reported concentrations in the PPD was significant, confirming the validity of the quantification method. The discovery set analysis revealed 100 differentially expressed proteins between cases and controls, 39 of which were verified (≥ twofold change) in the test set. These included proteins already studied in the context of CVD (such as apolipoprotein B, alpha-2-macroglobulin), as well as novel findings (such as low density lipoprotein receptor related

  9. Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques

    DOE PAGES

    Perrotta, Alberto; Fuentes-Hernandez, Canek; Khan, Talha M.; ...

    2016-12-02

    Plasma-assisted atomic layer deposition (ALD) is used for the deposition of environmental barriers directly onto organic photovoltaic devices (OPVs) at near room temperature (30 °C). To study the effect of the ALD process on the organic materials forming the device, the precursor diffusion and intermixing at the interface during the growth of different plasma- assisted ALD inorganic barriers (i.e. Al2O3 and TiO2) onto the organic photoactive layer (P3HT:ICBA) was investigated. Depth profile x-ray photoelectron spectroscopy was used to analyze the composition of the organic/inorganic interface to investigate the infiltration of the plasma-assisted ALD precursors into the photoactive layer as amore » function of the precursor dimension, the process temperature, and organic layer morphology. The free volume in the photoactive layer accessible to the ALD precursor was characterized by means of ellipsometric porosimetry (EP) and spectroscopic ellipsometry as a function of temperature. The organic layer is shown to exhibit free volume broadening at high temperatures, increasing the infiltration depth of the ALD precursor into the photoactive layer. Furthermore, based on previous investigations, the intrinsic permeation properties of the inorganic layers deposited by plasma-assisted ALD were predicted from the nano-porosity content as measured by EP and found to be in the 10-6 gm-2 d-1 range. Insight from our studies was used to design and fabricate multilayer barriers synthesized at near-room temperature by plasma-assisted ALD in combination with plasma-enhanced CVD onto organic photovoltaic (OPVs) devices. Encapsulated OPVs displayed shelf-lifetimes up to 1400 h at ambient conditions.« less

  10. Numerical Simulations of a 96-rod Polysilicon CVD Reactor

    NASA Astrophysics Data System (ADS)

    Guoqiang, Tang; Cong, Chen; Yifang, Cai; Bing, Zong; Yanguo, Cai; Tihu, Wang

    2018-05-01

    With the rapid development of the photovoltaic industry, pressurized Siemens belljar-type polysilicon CVD reactors have been enlarged from 24 rods to 96 rods in less than 10 years aimed at much greater single-reactor productivity. A CFD model of an industry-scale 96-rod CVD reactor was established to study the internal temperature distribution and the flow field of the reactor. Numerical simulations were carried out and compared with actual growth results from a real CVD reactor. Factors affecting polysilicon depositions such as inlet gas injections, flow field, and temperature distribution in the CVD reactor are studied.

  11. Selected Topics in CVD Diamond Research

    NASA Astrophysics Data System (ADS)

    Koizumi, Satoshi; Nebel, Christoph E.; Nesladek, Milos

    2006-10-01

    Since the discovery of Chemical Vapor Deposition (CVD) diamond growth in 1976, the steady scientific progress often resulted in surprising new discoveries and breakthroughs. This brought us to the idea to publish the special issue Selected Topics in CVD Diamond Research in physica status solidi (a), reflecting such advancements and interesting results at the leading edge of diamond research.The present issue summarizes this progress in the CVD diamond field by selecting contributions from several areas such as superconductivity, super-excitonic radiation, quantum computing, bio-functionalization, surface electronic properties, the nature of phosphorus doping, transport properties in high energy detectors, CVD growth and properties of nanocrystalline diamond. In all these directions CVD diamond appears to be very competitive in comparison with other semiconducting materials.As Editors of this special issue, we must admit that the selection is biased by our opinion. Nonetheless, we are sure that each contribution introduces new ideas and results which will improve the understanding of the current level of physics and chemistry of this attractive wide-bandgap semiconductor and which will help to bring it closer to applications.All submissions were invited based on the contributions of the authors to their specific research field. The Feature Articles have the format of topical reviews to give the reader a comprehensive summary. Partially, however, they are written in research paper style to report new results of ongoing research.We hope that this issue will attract the attention of a broad community of scientists and engineers, and that it will facilitate the utilization of diamond in electronic applications and technologies of the future.

  12. Synthesis of Different Layers of Graphene on Stainless Steel Using the CVD Method

    NASA Astrophysics Data System (ADS)

    Ghaemi, Ferial; Abdullah, Luqman Chuah; Tahir, Paridah Md; Yunus, Robiah

    2016-11-01

    In this study, different types of graphene, including single-, few-, and multi-layer graphene, were grown on a stainless steel (SS) mesh coated with Cu catalyst by using the chemical vapor deposition (CVD) method. Even though the SS mesh consisted of different types of metals, such as Fe, Ni, and Cr, which can also be used as catalysts, the reason for coating Cu catalyst on the SS surface had been related to the nature of the Cu, which promotes the growth of graphene with high quality and quantity at low temperature and time. The reaction temperature and run time, as the most important parameters of the CVD method, were varied, and thus led to the synthesis of different layers of graphene. Moreover, the presence of single-, few-, and multi-layer graphene was confirmed by employing two techniques, namely transmission electron microscopy (TEM) and Raman spectroscopy. On top of that, electron dispersive X-ray (EDX) was further applied to establish the influence of the CVD parameters on the growth of graphene.

  13. Synthesis of Different Layers of Graphene on Stainless Steel Using the CVD Method.

    PubMed

    Ghaemi, Ferial; Abdullah, Luqman Chuah; Tahir, Paridah Md; Yunus, Robiah

    2016-12-01

    In this study, different types of graphene, including single-, few-, and multi-layer graphene, were grown on a stainless steel (SS) mesh coated with Cu catalyst by using the chemical vapor deposition (CVD) method. Even though the SS mesh consisted of different types of metals, such as Fe, Ni, and Cr, which can also be used as catalysts, the reason for coating Cu catalyst on the SS surface had been related to the nature of the Cu, which promotes the growth of graphene with high quality and quantity at low temperature and time. The reaction temperature and run time, as the most important parameters of the CVD method, were varied, and thus led to the synthesis of different layers of graphene. Moreover, the presence of single-, few-, and multi-layer graphene was confirmed by employing two techniques, namely transmission electron microscopy (TEM) and Raman spectroscopy. On top of that, electron dispersive X-ray (EDX) was further applied to establish the influence of the CVD parameters on the growth of graphene.

  14. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    NASA Astrophysics Data System (ADS)

    Kirchheim, Dennis; Jaritz, Montgomery; Mitschker, Felix; Gebhard, Maximilian; Brochhagen, Markus; Hopmann, Christian; Böke, Marc; Devi, Anjana; Awakowicz, Peter; Dahlmann, Rainer

    2017-03-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments.

  15. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    DTIC Science & Technology

    2012-11-01

    microwave plasma-enhanced CVD (MPE-CVD) with presputtered metal catalyst, and floating catalyst thermal CVD (FCT-CVD) with xylene and ferrocene liquid...processes with nickel and iron catalysts, respectively. For the FCT-CVD approach, ferrocene is used as an iron source to promoteCNT growth. Based on...furnace is ramped up to the growth temperature of 750∘C. Ferrocene was dissolved into a xylene solvent in a 0.008 : 1molar volume ratio.The xylene

  16. Plasma Amyloid-β Peptides and Homocysteine in Depression in the Homebound Elderly

    PubMed Central

    Qiu, Wei Qiao; Sun, Xiaoyan; Mwamburi, D. Mkaya; Haker, Jacqueline; Lisle, David; Rizal, Abishek; Lin, Yu-min; Qiao, Liyan; Summergrad, Paul; Folstein, Marshal; Rosenberg, Irwin

    2011-01-01

    Objectives Both plasma amyloid-β peptide 40 (Aβ40) and homocysteine (tHcy) are linked to vascular disease, which is related to depression in the elderly. We sought to study whether the relationship between tHcy and plasma Aβ40 differs in those with and without depression. Study Design and Methods In a cross-sectional study of 1058 homebound elders, vascular depression was defined as a score ≥ 16 on the Center for Epidemiological Studies Depression scale (CES-D) along with self-reported cardiovascular disease (CVD). Plasma Aβ40 and Aβ42, and serum tHcy and creatinine were measured. Results Elders with high tHcy had higher concentrations of plasma Aβ40 (median: 147.5 vs. 123.1 pg/ml, P < 0.0001) and Aβ42 (median: 20.2 vs. 16.6 pg/ml, P < 0.0001) than those with low tHcy. In elders with depression, the relationship between logarithm of plasma Aβ40 (LogAβ40), but not LogAβ42, and tHcy was significant (β = +0.010, SE = 0.004, P = 0.007); in contrast, this relationship was not observed in those without depression. Subjects with vascular depression had the highest concentration of tHcy (mean ± SD: 12.8 ± 4.6 vs. 11.7 ± 4.5 vs. 11.9 + 5.5, P = 0.008) compared to those without CVD and those without depression. Depressed subjects without CVD had the lowest concentration of plasma Aβ42 (median: 15.5 vs. 19.1 vs. 18.7, P = 0.01) compared to those with CVD and those without depression. Conclusions Vascular depression, which is associated with tHcy and Aβ40 in blood, appears to be different from depression that is associated with low plasma Aβ42. This suggests that reducing tHcy and Aβ40 may be an adjunct treatment for vascular depression. PMID:23766866

  17. Plasma Amyloid-β Peptides and Homocysteine in Depression in the Homebound Elderly.

    PubMed

    Qiu, Wei Qiao; Sun, Xiaoyan; Mwamburi, D Mkaya; Haker, Jacqueline; Lisle, David; Rizal, Abishek; Lin, Yu-Min; Qiao, Liyan; Summergrad, Paul; Folstein, Marshal; Rosenberg, Irwin

    2010-04-01

    Both plasma amyloid-β peptide 40 (Aβ40) and homocysteine (tHcy) are linked to vascular disease, which is related to depression in the elderly. We sought to study whether the relationship between tHcy and plasma Aβ40 differs in those with and without depression. In a cross-sectional study of 1058 homebound elders, vascular depression was defined as a score ≥ 16 on the Center for Epidemiological Studies Depression scale (CES-D) along with self-reported cardiovascular disease (CVD). Plasma Aβ40 and Aβ42, and serum tHcy and creatinine were measured. Elders with high tHcy had higher concentrations of plasma Aβ40 (median: 147.5 vs. 123.1 pg/ml, P < 0.0001) and Aβ42 (median: 20.2 vs. 16.6 pg/ml, P < 0.0001) than those with low tHcy. In elders with depression, the relationship between logarithm of plasma Aβ40 (LogAβ40), but not LogAβ42, and tHcy was significant (β = +0.010, SE = 0.004, P = 0.007); in contrast, this relationship was not observed in those without depression. Subjects with vascular depression had the highest concentration of tHcy (mean ± SD: 12.8 ± 4.6 vs. 11.7 ± 4.5 vs. 11.9 + 5.5, P = 0.008) compared to those without CVD and those without depression. Depressed subjects without CVD had the lowest concentration of plasma Aβ42 (median: 15.5 vs. 19.1 vs. 18.7, P = 0.01) compared to those with CVD and those without depression. Vascular depression, which is associated with tHcy and Aβ40 in blood, appears to be different from depression that is associated with low plasma Aβ42. This suggests that reducing tHcy and Aβ40 may be an adjunct treatment for vascular depression.

  18. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    PubMed

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  19. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB.

    PubMed

    Engelbrechtsen, L; Lundgren, J; Wewer Albrechtsen, N J; Mahendran, Y; Iepsen, E W; Finocchietto, P; Jonsson, A E; Madsbad, S; Holst, J J; Vestergaard, H; Hansen, T; Torekov, S S

    2017-12-01

    Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment on plasma lipid profiles and apoB. Fifty-eight individuals with obesity (body mass index 34.5 ± 3.0 kg/m 2 [mean ± SD]) were included in this study. After 8 weeks on a very low-calorie diet (800 kcal/day), participants were randomized to weight maintenance with meal replacements with or without liraglutide (1.2 mg daily) for 1 year. Plasma samples from before and after weight loss and after 1 year of weight maintenance were subjected to nuclear magnetic resonance-based lipidomics analysis. After an 8-week low-calorie diet, study participants lost 12.0 ± 2.9 kg (mean ± SD) of their body weight, which was reflected in their lipid profiles (80 out of 124 lipids changed significantly), including reduced levels of apoB, total cholesterol, free cholesterol, remnant cholesterol, triglycerides, low-density lipoprotein and very low-density lipoprotein subclasses. After 1 year of maintained weight loss, the majority of the lipids had returned to pre-weight loss levels even though weight loss was successfully maintained in both groups. Interestingly, apoB levels remained low in the liraglutide treated group (apoB change: 0.03 ± 0.02 mmol/L, p = 0.4) in contrast to an increase in the control group (apoB change: 0.06 ± 0.07 mmol/L, p = 0.02). An 8-week low-calorie diet, in individuals with obesity, reduced plasma levels of lipids and the atherogenic marker apoB. After 1 year of weight maintenance, only study participants treated with liraglutide maintained reduced levels of apoB, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower CVD risk. Including apoB measurements in clinical practice when

  20. Iridium-coated rhenium thrusters by CVD

    NASA Technical Reports Server (NTRS)

    Harding, J. T.; Kazaroff, J. M.; Appel, M. A.

    1989-01-01

    Operation of spacecraft thrusters at increased temperature reduces propellant requirements. Inasmuch as propellant comprises the bulk of a satellite's mass, even a small percentage reduction makes possible a significant enhancement of the mission in terms of increased payload. Because of its excellent high temperature strength, rhenium is often the structural material of choice. It can be fabricated into free-standing shapes by chemical vapor deposition (CVD) onto an expendable mandrel. What rhenium lacks is oxidation resistance, but this can be provided by a coating of iridium, also by CVD. This paper describes the process used by Ultramet to fabricate 22-N (5-lbf) and, more recently, 445-N (100-lbf) Ir/Re thrusters; characterizes the CVD-deposited materials; and summarizes the materials effects of firing these thrusters. Optimal propellant mixture ratios can be employed because the materials withstand an oxidizing environment up to the melting temperature of iridium, 2400 C (4350 F).

  1. Iridium-coated rhenium thrusters by CVD

    NASA Technical Reports Server (NTRS)

    Harding, John T.; Kazaroff, John M.; Appel, Marshall A.

    1988-01-01

    Operation of spacecraft thrusters at increased temperature reduces propellant requirements. Inasmuch as propellant comprises the bulk of a satellite's mass, even a small percentage reduction makes possible a significant enhancement of the mission in terms of increased payload. Because of its excellent high temperature strength, rhenium is often the structural material of choice. It can be fabricated into free-standing shapes by chemical vapor deposition (CVD) onto an expendable mandrel. What rhenium lacks is oxidation resistance, but this can be provided by a coating of iridium, also by CVD. This paper describes the process used by Ultramet to fabricate 22-N (5-lbf) and, more recently, 445-N (100-lbf) Ir/Re thrusters; characterizes the CVD-deposited materials; and summarizes the materials effects of firing these thrusters. Optimal propellant mixture ratios can be employed because the materials withstand an oxidizing environment up to the meltimg temperature of iridium, 2400 C (4350 F).

  2. Photochemical CVD of Ru on functionalized self-assembled monolayers from organometallic precursors

    NASA Astrophysics Data System (ADS)

    Johnson, Kelsea R.; Arevalo Rodriguez, Paul; Brewer, Christopher R.; Brannaka, Joseph A.; Shi, Zhiwei; Yang, Jing; Salazar, Bryan; McElwee-White, Lisa; Walker, Amy V.

    2017-02-01

    Chemical vapor deposition (CVD) is an attractive technique for the metallization of organic thin films because it is selective and the thickness of the deposited film can easily be controlled. However, thermal CVD processes often require high temperatures which are generally incompatible with organic films. In this paper, we perform proof-of-concept studies of photochemical CVD to metallize organic thin films. In this method, a precursor undergoes photolytic decomposition to generate thermally labile intermediates prior to adsorption on the sample. Three readily available Ru precursors, CpRu(CO)2Me, (η3-allyl)Ru(CO)3Br, and (COT)Ru(CO)3, were employed to investigate the role of precursor quantum yield, ligand chemistry, and the Ru oxidation state on the deposition. To investigate the role of the substrate chemistry on deposition, carboxylic acid-, hydroxyl-, and methyl-terminated self-assembled monolayers were used. The data indicate that moderate quantum yields for ligand loss (φ ≥ 0.4) are required for ruthenium deposition, and the deposition is wavelength dependent. Second, anionic polyhapto ligands such as cyclopentadienyl and allyl are more difficult to remove than carbonyls, halides, and alkyls. Third, in contrast to the atomic layer deposition, acid-base reactions between the precursor and the substrate are more effective for deposition than nucleophilic reactions. Finally, the data suggest that selective deposition can be achieved on organic thin films by judicious choice of precursor and functional groups present on the substrate. These studies thus provide guidelines for the rational design of new precursors specifically for selective photochemical CVD on organic substrates.

  3. Alternative group V precursors for CVD applications

    NASA Astrophysics Data System (ADS)

    Lum, R. M.; Klingert, J. K.

    1991-01-01

    The chemical vapor deposition (CVD) techniques used to grow III/V semiconductors films, such as metalorganic vapor phase epitaxy (MOVPE), hydride VPE, chemical beam epitaxy (CBE) and gas source molecular beam epitaxy (GS-MBE), all use hydrides (AsH 3 and PH 3) as the Group V source. However, the hydrides are extremely toxic gases which are stored under high pressure (200-2000 psi). To reduce the safety hazards associated with these gases, alternative Group V precursors have been investigated. Organoarsenic and phosphorous compounds have received the most attention as replacements for AsH 3 and PH 3 because they are typically low vapor pressure liquids, and thus present significantly lower exposure risks than the hydrides. For AsH 3 these have included the methyl, ethyl and butyl-based derivatives RnAsH 3- n, with varying degrees ( n = 1-3) of hydrogen atom substitution. In this paper the growth properties, thermochemistry and toxicity of the various alkylarsine precursors are compared with arsine. Data are presented on the impact of the thermochemistry of these compounds on film electrical properties, and on the effects of precursor composition and purity on overall film quality. The suitability of alternative As-precursors for device applications is demonstrated, and selection criteria are presented for the most effective alkylarsine compound for a particular CVD growth process.

  4. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    NASA Astrophysics Data System (ADS)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  5. Circulating and dietary omega-3 and omega-6 polyunsaturated fatty acids and incidence of CVD in the Multi-Ethnic Study of Atherosclerosis.

    PubMed

    de Oliveira Otto, Marcia C; Wu, Jason H Y; Baylin, Ana; Vaidya, Dhananjay; Rich, Stephen S; Tsai, Michael Y; Jacobs, David R; Mozaffarian, Dariush

    2013-12-18

    Dietary guidelines support intake of polyunsaturated fatty acids (PUFAs) in fish and vegetable oils. However, some controversy remains about benefits of PUFAs, and most prior studies have relied on self-reported dietary assessment in relatively homogeneous populations. In a multiethnic cohort of 2837 US adults (whites, Hispanics, African Americans, Chinese Americans), plasma phospholipid PUFAs were measured at baseline (2000-2002) using gas chromatography and dietary PUFAs estimated using a food frequency questionnaire. Incident cardiovascular disease (CVD) events (including coronary heart disease and stroke; n=189) were prospectively identified through 2010 during 19 778 person-years of follow-up. In multivariable-adjusted Cox models, circulating n-3 eicosapentaenoic acid and docosahexaenoic acid were inversely associated with incident CVD, with extreme-quartile hazard ratios (95% CIs) of 0.49 for eicosapentaenoic acid (0.30 to 0.79; Ptrend=0.01) and 0.39 for docosahexaenoic acid (0.22 to 0.67; Ptrend<0.001). n-3 Docosapentaenoic acid (DPA) was inversely associated with CVD in whites and Chinese, but not in other race/ethnicities (P-interaction=0.01). No significant associations with CVD were observed for circulating n-3 alpha-linolenic acid or n-6 PUFA (linoleic acid, arachidonic acid). Associations with CVD of self-reported dietary PUFA were consistent with those of the PUFA biomarkers. All associations were similar across racial-ethnic groups, except those of docosapentaenoic acid. Both dietary and circulating eicosapentaenoic acid and docosahexaenoic acid, but not alpha-linolenic acid or n-6 PUFA, were inversely associated with CVD incidence. These findings suggest that increased consumption of n-3 PUFA from seafood may prevent CVD development in a multiethnic population.

  6. Radio Frequency Transistors and Circuits Based on CVD MoS2.

    PubMed

    Sanne, Atresh; Ghosh, Rudresh; Rai, Amritesh; Yogeesh, Maruthi Nagavalli; Shin, Seung Heon; Sharma, Ankit; Jarvis, Karalee; Mathew, Leo; Rao, Rajesh; Akinwande, Deji; Banerjee, Sanjay

    2015-08-12

    We report on the gigahertz radio frequency (RF) performance of chemical vapor deposited (CVD) monolayer MoS2 field-effect transistors (FETs). Initial DC characterizations of fabricated MoS2 FETs yielded current densities exceeding 200 μA/μm and maximum transconductance of 38 μS/μm. A contact resistance corrected low-field mobility of 55 cm(2)/(V s) was achieved. Radio frequency FETs were fabricated in the ground-signal-ground (GSG) layout, and standard de-embedding techniques were applied. Operating at the peak transconductance, we obtain short-circuit current-gain intrinsic cutoff frequency, fT, of 6.7 GHz and maximum intrinsic oscillation frequency, fmax, of 5.3 GHz for a device with a gate length of 250 nm. The MoS2 device afforded an extrinsic voltage gain Av of 6 dB at 100 MHz with voltage amplification until 3 GHz. With the as-measured frequency performance of CVD MoS2, we provide the first demonstration of a common-source (CS) amplifier with voltage gain of 14 dB and an active frequency mixer with conversion gain of -15 dB. Our results of gigahertz frequency performance as well as analog circuit operation show that large area CVD MoS2 may be suitable for industrial-scale electronic applications.

  7. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Doleans, Marc

    In this study, an in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface and to reduce its secondary emission yield. SNS SRF cavities are six-cell elliptical cavities and the plasma typically ignites in the cell where the electric field is the highest. This article will detail a technique that was developed to ignite and monitor the plasma in eachmore » cell of the SNS cavities.« less

  8. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    DOE PAGES

    Doleans, Marc

    2016-12-27

    In this study, an in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface and to reduce its secondary emission yield. SNS SRF cavities are six-cell elliptical cavities and the plasma typically ignites in the cell where the electric field is the highest. This article will detail a technique that was developed to ignite and monitor the plasma in eachmore » cell of the SNS cavities.« less

  9. A comparative study of electrical probe techniques for plasma diagnostics

    NASA Technical Reports Server (NTRS)

    Szuszczewicz, E. P.

    1972-01-01

    Techniques for using electrical probes for plasma diagnostics are reviewed. Specific consideration is given to the simple Langmuir probe, the symmetric double probe of Johnson and Malter, the variable-area probe of Fetz and Oeschsner, and a floating probe technique. The advantages and disadvantages of each technique are discussed.

  10. Insights into gold-catalyzed plasma-assisted CVD growth of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Wanghua, E-mail: wanghua.chen@polytechnique.edu; Roca i Cabarrocas, Pere

    2016-07-25

    Understanding and controlling effectively the behavior of metal catalyst droplets during the Vapor-Liquid-Solid growth of nanowires are crucial for their applications. In this work, silicon nanowires are produced by plasma-assisted Chemical Vapor Deposition using gold as a catalyst. The influence of hydrogen plasma on nanowire growth is investigated experimentally and theoretically. Interestingly, in contrast to conventional chemical vapor deposition, the growth rate of silicon nanowires shows a decrease as a function of their diameters, which is consistent with the incorporation of silicon via sidewall diffusion. We show that Ostwald ripening of catalyst droplets during nanowire growth is inhibited in themore » presence of a hydrogen plasma. However, when the plasma is off, the diffusion of Au atoms on the nanowire sidewall can take place. Based on this observation, we have developed a convenient method to grow silicon nanotrees.« less

  11. Intake of up to 3 Eggs/Day Increases HDL Cholesterol and Plasma Choline While Plasma Trimethylamine-N-oxide is Unchanged in a Healthy Population.

    PubMed

    DiMarco, Diana M; Missimer, Amanda; Murillo, Ana Gabriela; Lemos, Bruno S; Malysheva, Olga V; Caudill, Marie A; Blesso, Christopher N; Fernandez, Maria Luz

    2017-03-01

    Eggs are a source of cholesterol and choline and may impact plasma lipids and trimethylamine-N-oxide (TMAO) concentrations, which are biomarkers for cardiovascular disease (CVD) risk. Therefore, the effects of increasing egg intake (0, 1, 2, and 3 eggs/day) on these and other CVD risk biomarkers were evaluated in a young, healthy population. Thirty-eight subjects [19 men/19 women, 24.1 ± 2.2 years, body mass index (BMI) 24.3 ± 2.5 kg/m 2 ] participated in this 14-week crossover intervention. Participants underwent a 2-week washout with no egg consumption, followed by intake of 1, 2, and 3 eggs/day for 4 weeks each. Anthropometric data, blood pressure (BP), dietary records, and plasma biomarkers (lipids, glucose, choline, and TMAO) were measured during each intervention phase. BMI, waist circumference, systolic BP, plasma glucose, and plasma triacylglycerol did not change throughout the intervention. Diastolic BP decreased with egg intake (P < 0.05). Compared to 0 eggs/day, intake of 1 egg/day increased HDL cholesterol (HDL-c) (P < 0.05), and decreased LDL cholesterol (LDL-c) (P < 0.05) and the LDL-c/HDL-c ratio (P < 0.01). With intake of 2-3 eggs/day, these changes were maintained. Plasma choline increased dose-dependently with egg intake (P < 0.0001) while fasting plasma TMAO was unchanged. These results indicate that in a healthy population, consuming up to 3 eggs/day results in an overall beneficial effect on biomarkers associated with CVD risk, as documented by increased HDL-c, a reduced LDL-c/HDL-c ratio, and increased plasma choline in combination with no change in plasma LDL-c or TMAO concentrations.

  12. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, andmore » few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods.« less

  13. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    NASA Astrophysics Data System (ADS)

    Takacs, Peter Z.

    1982-04-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense X-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods.

  14. Electrical applications of CVD diamond films

    NASA Astrophysics Data System (ADS)

    Fujimori, Naoji

    Electronics applications of CVD diamond films are reported. The properties of epitaxial diamond films are affected by the orientation of the substrate and the deposition conditions. Boron-doped epitaxial films are found to have the same characteristics as natural IIb diamonds. An LED and an FET were successfully fabricated using boron-doped epitaxial films and Schottky junctions. However, these devices did not exhibit satisfactory properties. Other applications of CVD diamond films include speaker diaphragms (as both a thin-film coating and a free-standing film), and as an ideal packaging material (due to its high thermal conductivity and low dielectric constant).

  15. Elevated plasma free fatty acids increase cardiovascular risk by inducing plasma biomarkers of endothelial activation, myeloperoxidase and PAI-1 in healthy subjects.

    PubMed

    Mathew, Manoj; Tay, Eric; Cusi, Kenneth

    2010-02-16

    CVD in obesity and T2DM are associated with endothelial activation, elevated plasma vascular inflammation markers and a prothrombotic state. We examined the contribution of FFA to these abnormalities following a 48-hour physiological increase in plasma FFA to levels of obesity and diabetes in a group of healthy subjects. 40 non-diabetic subjects (age = 38 +/- 3 yr, BMI = 28 +/- 1 kg/m2, FPG = 95 +/- 1 mg/dl, HbA1c = 5.3 +/- 0.1%) were admitted twice and received a 48-hour infusion of normal saline or low-dose lipid. Plasma was drawn for intracellular (ICAM-1) and vascular (VCAM-1) adhesion molecules-1, E-selectin (sE-S), myeloperoxidase (MPO) and total plasminogen inhibitor-1 (tPAI-1). Insulin sensitivity was measured by a hyperglycemic clamp (M/I). Lipid infusion increased plasma FFA to levels observed in obesity and T2DM and reduced insulin sensitivity by 27% (p = 0.01). Elevated plasma FFA increased plasma markers of endothelial activation ICAM-1 (138 +/- 10 vs. 186 +/- 25 ng/ml), VCAM-1 (1066 +/- 67 vs. 1204 +/- 65 ng/ml) and sE-S (20 +/- 1 vs. 24 +/- 1 ng/ml) between 13-35% and by > or = 2-fold plasma levels of myeloperoxidase (7.5 +/- 0.9 to 15 +/- 25 ng/ml), an inflammatory marker of future CVD, and tPAI-1 (9.7 +/- 0.6 to 22.5 +/- 1.5 ng/ml), an indicator of a prothrombotic state (all p < or = 0.01). The FFA-induced increase was independent from the degree of adiposity, being of similar magnitude in lean, overweight and obese subjects. An increase in plasma FFA within the physiological range observed in obesity and T2DM induces markers of endothelial activation, vascular inflammation and thrombosis in healthy subjects. This suggests that even transient (48-hour) and modest increases in plasma FFA may initiate early vascular abnormalities that promote atherosclerosis and CVD.

  16. Lower Plasma Fetuin-A Levels Are Associated With a Higher Mortality Risk in Patients With Coronary Artery Disease.

    PubMed

    Chen, Xuechen; Zhang, Yuan; Chen, Qian; Li, Qing; Li, Yanping; Ling, Wenhua

    2017-11-01

    The present study was designed to evaluate the association of circulating fetuin-A with cardiovascular disease (CVD) and all-cause mortality. We measured plasma fetuin-A in 1620 patients using an enzyme-linked immunosorbent assay kit. The patients were members of the Guangdong coronary artery disease cohort and were recruited between October 2008 and December 2011. Cox regression models were used to estimate the association between plasma fetuin-A and the risk of mortality. A total of 206 deaths were recorded during a median follow-up of 5.9 years, 146 of whom died from CVD. The hazard ratios for the second and third tertiles of the fetuin-A levels (using the first tertile as a reference) were 0.65 (95% confidence interval, 0.44-0.96) and 0.51 (95% confidence interval, 0.33-0.78) for CVD mortality ( P =0.005) and 0.65 (95% confidence interval, 0.47-0.91) and 0.48 (95% confidence interval, 0.33-0.70) for all-cause mortality ( P <0.001), respectively. Lower plasma fetuin-A levels were associated with an increased risk of all-cause and CVD mortality in patients with coronary artery disease independently of traditional CVD risk factors. © 2017 American Heart Association, Inc.

  17. Plasma markers of inflammation and prediction of cardiovascular disease and mortality in African Americans with type 1 diabetes.

    PubMed

    Roy, Monique S; Janal, Malvin N; Crosby, Juan; Donnelly, Robert

    2016-04-01

    To determine whether plasma levels of markers of inflammation are predictive of the incidence of cardiovascular disease (CVD), hypertension, or mortality in African Americans with type 1 diabetes mellitus. A total of 484 African Americans with type 1 diabetes were included. At baseline and 6-year follow-up, a clinical interview and examination were conducted to document CVD and systemic hypertension. Venous blood for glycated hemoglobin and cholesterol was obtained and albumin excretion rate measured. Mortality was assessed annually between baseline and 6-year follow-up by review of the social security death index. Baseline plasma levels of 28 inflammatory biomarkers were measured using multiplex bead analysis system. After adjusting for baseline age and other confounders, African Americans with type 1 diabetes in the highest quartile of plasma interferon-inducible protein 10 (IP-10) were three times more likely to develop CVD than those in the lowest quartile. African Americans with type 1 diabetes in the lowest quartiles of plasma stromal derived factor-1 (SDF-1) had a 75% higher risk of death than patients in the highest quartile, independently of age, low density lipoprotein cholesterol, body mass index, hypertension, and albuminuria. In African Americans with type 1 diabetes, high plasma IP-10 is an independent predictor for incident CVD and low SDF-1 an independent predictor for mortality. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  18. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB

    PubMed Central

    Engelbrechtsen, L.; Lundgren, J.; Wewer Albrechtsen, N. J.; Mahendran, Y.; Iepsen, E. W.; Finocchietto, P.; Jonsson, A. E.; Madsbad, S.; Holst, J. J.; Vestergaard, H.; Hansen, T.

    2017-01-01

    Summary Background Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment on plasma lipid profiles and apoB. Methods Fifty‐eight individuals with obesity (body mass index 34.5 ± 3.0 kg/m2 [mean ± SD]) were included in this study. After 8 weeks on a very low‐calorie diet (800 kcal/day), participants were randomized to weight maintenance with meal replacements with or without liraglutide (1.2 mg daily) for 1 year. Plasma samples from before and after weight loss and after 1 year of weight maintenance were subjected to nuclear magnetic resonance‐based lipidomics analysis. Results After an 8‐week low‐calorie diet, study participants lost 12.0 ± 2.9 kg (mean ± SD) of their body weight, which was reflected in their lipid profiles (80 out of 124 lipids changed significantly), including reduced levels of apoB, total cholesterol, free cholesterol, remnant cholesterol, triglycerides, low‐density lipoprotein and very low‐density lipoprotein subclasses. After 1 year of maintained weight loss, the majority of the lipids had returned to pre‐weight loss levels even though weight loss was successfully maintained in both groups. Interestingly, apoB levels remained low in the liraglutide treated group (apoB change: 0.03 ± 0.02 mmol/L, p = 0.4) in contrast to an increase in the control group (apoB change: 0.06 ± 0.07 mmol/L, p = 0.02). Conclusion An 8‐week low‐calorie diet, in individuals with obesity, reduced plasma levels of lipids and the atherogenic marker apoB. After 1 year of weight maintenance, only study participants treated with liraglutide maintained reduced levels of apoB, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower

  19. Ballistic Josephson junctions based on CVD graphene

    NASA Astrophysics Data System (ADS)

    Li, Tianyi; Gallop, John; Hao, Ling; Romans, Edward

    2018-04-01

    Josephson junctions with graphene as the weak link between superconductors have been intensely studied in recent years, with respect to both fundamental physics and potential applications. However, most of the previous work was based on mechanically exfoliated graphene, which is not compatible with wafer-scale production. To overcome this limitation, we have used graphene grown by chemical vapour deposition (CVD) as the weak link of Josephson junctions. We demonstrate that very short, wide CVD-graphene-based Josephson junctions with Nb electrodes can work without any undesirable hysteresis in their electrical characteristics from 1.5 K down to a base temperature of 320 mK, and their gate-tuneable critical current shows an ideal Fraunhofer-like interference pattern in a perpendicular magnetic field. Furthermore, for our shortest junctions (50 nm in length), we find that the normal state resistance oscillates with the gate voltage, consistent with the junctions being in the ballistic regime, a feature not previously observed in CVD-graphene-based Josephson junctions.

  20. CVD and obesity in transitional Syria: a perspective from the Middle East.

    PubMed

    Barakat, Hani; Barakat, Hanniya; Baaj, Mohamad K

    2012-01-01

    Syria is caught in the middle of a disruptive nutritional transition. Its healthcare system is distracted by challenges and successes in other areas while neglecting to address the onslaught of Syria's cardiovascular disease (CVD) epidemic. Despite the official viewpoint touting improvement in health indicators, current trends jeopardize population health, and several surveys in the Syrian population signal the epidemic spreading far and wide. The goal is to counteract the indifference towards obesity as a threat to Syrian's health, as the country is slowly becoming a leader in CVD mortality globally. PubMed, World Health Organization, and official government websites were searched for primary surveys in Syria related to CVD morbidity, mortality, and risk factors. Inclusion criteria ensured that results maximized relevance while producing comparable studies. Statistical analysis was applied to detect the most common risk factor and significant differences in risk factor prevalence and CVD rates. Obesity remained the prevailing CVD risk factor except in older Syrian men, where smoking and hypertension were more common. CVD mortality was more common in males due to coronary disease, while stroke dominated female mortality. The young workforce is especially impacted, with 50% of CVD mortality occurring before age 65 years and an 81% prevalence of obesity in women over 45 years. Syria can overcome its slow response to the CVD epidemic and curb further deterioration by reducing obesity and, thus, inheritance and clustering of risk factors. This can be achieved via multilayered awareness and intensive parental and familial involvement. Extinguishing the CVD epidemic is readily achievable as demonstrated in other countries.

  1. A platform for large-scale graphene electronics--CVD growth of single-layer graphene on CVD-grown hexagonal boron nitride.

    PubMed

    Wang, Min; Jang, Sung Kyu; Jang, Won-Jun; Kim, Minwoo; Park, Seong-Yong; Kim, Sang-Woo; Kahng, Se-Jong; Choi, Jae-Young; Ruoff, Rodney S; Song, Young Jae; Lee, Sungjoo

    2013-05-21

    Direct chemical vapor deposition (CVD) growth of single-layer graphene on CVD-grown hexagonal boron nitride (h-BN) film can suggest a large-scale and high-quality graphene/h-BN film hybrid structure with a defect-free interface. This sequentially grown graphene/h-BN film shows better electronic properties than that of graphene/SiO2 or graphene transferred on h-BN film, and suggests a new promising template for graphene device fabrication. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. EDC-mediated DNA attachment to nanocrystalline CVD diamond films.

    PubMed

    Christiaens, P; Vermeeren, V; Wenmackers, S; Daenen, M; Haenen, K; Nesládek, M; vandeVen, M; Ameloot, M; Michiels, L; Wagner, P

    2006-08-15

    Chemical vapour deposited (CVD) diamond is a very promising material for biosensor fabrication owing both to its chemical inertness and the ability to make it electrical semiconducting that allows for connection with integrated circuits. For biosensor construction, a biochemical method to immobilize nucleic acids to a diamond surface has been developed. Nanocrystalline diamond is grown using microwave plasma-enhanced chemical vapour deposition (MPECVD). After hydrogenation of the surface, 10-undecenoic acid, an omega-unsaturated fatty acid, is tethered by 254 nm photochemical attachment. This is followed by 1-ethyl-3-[3-dimethylaminopropyl]carbodiimide (EDC)-mediated attachment of amino (NH(2))-modified dsDNA. The functionality of the covalently bound dsDNA molecules is confirmed by fluorescence measurements, PCR and gel electrophoresis during 35 denaturation and rehybridisation steps. The linking method after the fatty acid attachment can easily be applied to other biomolecules like antibodies and enzymes.

  3. CVD and obesity in transitional Syria: a perspective from the Middle East

    PubMed Central

    Barakat, Hani; Barakat, Hanniya; Baaj, Mohamad K

    2012-01-01

    Purpose Syria is caught in the middle of a disruptive nutritional transition. Its healthcare system is distracted by challenges and successes in other areas while neglecting to address the onslaught of Syria’s cardiovascular disease (CVD) epidemic. Despite the official viewpoint touting improvement in health indicators, current trends jeopardize population health, and several surveys in the Syrian population signal the epidemic spreading far and wide. The goal is to counteract the indifference towards obesity as a threat to Syrian’s health, as the country is slowly becoming a leader in CVD mortality globally. Methods PubMed, World Health Organization, and official government websites were searched for primary surveys in Syria related to CVD morbidity, mortality, and risk factors. Inclusion criteria ensured that results maximized relevance while producing comparable studies. Statistical analysis was applied to detect the most common risk factor and significant differences in risk factor prevalence and CVD rates. Results Obesity remained the prevailing CVD risk factor except in older Syrian men, where smoking and hypertension were more common. CVD mortality was more common in males due to coronary disease, while stroke dominated female mortality. The young workforce is especially impacted, with 50% of CVD mortality occurring before age 65 years and an 81% prevalence of obesity in women over 45 years. Conclusion Syria can overcome its slow response to the CVD epidemic and curb further deterioration by reducing obesity and, thus, inheritance and clustering of risk factors. This can be achieved via multilayered awareness and intensive parental and familial involvement. Extinguishing the CVD epidemic is readily achievable as demonstrated in other countries. PMID:22454558

  4. Imbalanced plasma ACE and ACE2 level in the uremic patients with cardiovascular diseases and its change during a single hemodialysis session.

    PubMed

    Yang, Chung-Wei; Lu, Li-Che; Chang, Chia-Chu; Cho, Ching-Chang; Hsieh, Wen-Yeh; Tsai, Chin-Hung; Lin, Yi-Chang; Lin, Chih-Sheng

    2017-11-01

    The renin-angiotensin system (RAS) has significant influences on heart and renal disease progression. Angiotensin converting enzyme (ACE) and angiotensin converting enzyme II (ACE2) are major peptidases of RAS components and play counteracting functions through angiotensin II (Ang II)/ATIR and angiotensin-(1-7) (Ang-(1-7))/Mas axis, respectively. There were 360 uremic patients on regular hemodialysis (HD) treatment (inclusive of 119 HD patients with cardiovascular diseases (CVD) and 241 HD patients without CVD and 50 healthy subjects were enrolled in this study. Plasma ACE, ACE2, Ang II and Ang-(1-7) levels of the HD patients were determined. We compared pre-HD levels of plasma ACE, ACE2, Ang II and Ang-(1-7) in the HD patients with and without CVD to those of the controls. The HD patients, particularly those with CVD, showed a significant increase in the levels of ACE and Ang II, whereas ACE2 and Ang-(1-7) levels were lower than those in the healthy controls. Therefore, imbalanced ACE/ACE2 was observed in the HD patients with CVD. In the course of a single HD session, the plasma ACE, ACE/ACE2 and Ang II levels in the HD patients with CVD were increased from pre-HD to post-HD. On the contrary, ACE2 levels were decreased after the HD session. These changes were not detected in the HD patients without CVD. Pathogenically imbalanced circulating ACE/ACE2 was detected in the HD patients, particularly those with CVD. HD session could increase ACE/Ang II/AT1R axis and decrease ACE2/Ang-(1-7)/Mas axis activity in the circulation of HD patients with CVD.

  5. Nanocrystalline sp{sup 2} and sp{sup 3} carbons: CVD synthesis and applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Terranova, M. L.; Rossi, M.; Tamburri, E., E-mail: emanuela.tamburri@uniroma2.it

    The design and production of innovative materials based on nanocrystalline sp{sup 2}- and sp{sup 3}-coordinated carbons is presently a focus of the scientific community. We present a review of the nanostructures obtained in our labs using a series of synthetic routes, which make use of chemical vapor deposition (CVD) techniques for the selective production of non-planar graphitic nanostructures, nanocrystalline diamonds, and hybrid two-phase nanostructures.

  6. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model.

    PubMed

    van Kempen, Bob J H; Ferket, Bart S; Hofman, Albert; Steyerberg, Ewout W; Colkesen, Ersen B; Boekholdt, S Matthijs; Wareham, Nicholas J; Khaw, Kay-Tee; Hunink, M G Myriam

    2012-12-06

    We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC) model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1) internal and 2) predictive validity, the incidences of coronary heart disease (CHD), stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3) External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC)-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. At year 5, the observed incidences (with simulated incidences in brackets) of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%), 3.60% (3.23%), 4.70% (4.80%), and 7.50% (7.96%), respectively. At year 13, these percentages were 10.60% (10.91%), 9.90% (9.13%), 14.20% (15.12%), and 24.30% (23.42%). After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated) incidences of CVD and non-CVD mortality were 3.70% (4.95%) and 6.50% (6.29%). All observed incidences fell well within the 95% credibility intervals of the simulated incidences. We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  7. Plasma acylcarnitines and risk of cardiovascular disease: effect of Mediterranean diet interventions.

    PubMed

    Guasch-Ferré, Marta; Zheng, Yan; Ruiz-Canela, Miguel; Hruby, Adela; Martínez-González, Miguel A; Clish, Clary B; Corella, Dolores; Estruch, Ramon; Ros, Emilio; Fitó, Montserrat; Dennis, Courtney; Morales-Gil, Isabel M; Arós, Fernando; Fiol, Miquel; Lapetra, José; Serra-Majem, Lluís; Hu, Frank B; Salas-Salvadó, Jordi

    2016-06-01

    Previous studies have suggested that metabolite profiles of elevated acylcarnitines were associated with increased risk of cardiovascular disease (CVD) in populations with established coronary disease. However, to our knowledge, this association has not been evaluated in the context of primary cardiovascular prevention. We evaluated the association between 28 plasma acylcarnitine species and risk of incident CVD and the potential modifying effect of Mediterranean diet (MedDiet) interventions. We measured plasma acylcarnitines with the use of high-throughput liquid chromatography-tandem mass spectrometry at baseline and after 1 y of follow-up, both individually and classified into short-, medium-, or long-chain scores, in a case-cohort study within the Prevención con Dieta Mediterránea (PREDIMED) study, which is a randomized Mediterranean dietary intervention for primary cardiovascular prevention. A randomly selected subcohort (n = 751) and all available incident CVD cases (n = 229) after 4.8 y of follow-up were included in the current study. After adjustment for age, sex, body mass index, and other CVD risk factors, participants in the highest quartile of baseline short- and medium-chain acylcarnitines had a higher risk of CVD than did participants in the lowest quartile [HRs: 1.80 (95% CI: 1.11, 2.91; P-trend 0.01) and 1.55 (95% CI: 1.01, 2.48; P-trend = 0.04), respectively]. Increased short-chain acylcarnitines after 1 y were associated with higher risks of total CVD and stroke. Participants with higher baseline concentrations of short-, medium-, and long-chain acylcarnitines who were randomly assigned to the control group had a higher risk of CVD than did subjects with lower concentrations of acylcarnitines who were assigned to the MedDiet group. Our data support the conclusion that metabolite profiles characterized by elevated concentrations of acylcarnitines are independently associated with risks of total CVD and stroke alone in participants at high risk of

  8. Mixed Convection Flow in Horizontal CVD Reactors

    NASA Astrophysics Data System (ADS)

    Chiu, Wilson K. S.; Richards, Cristy J.; Jaluria, Yogesh

    1998-11-01

    Increasing demands for high quality films and production rates are challenging current Chemical Vapor Deposition (CVD) technology. Since film quality and deposition rates are strongly dependent on gas flow and heat transfer (W.K.S. Chiu and Y. Jaluria, ASME HTD-Vol. 347, pp. 293-311, 1997.), process improvement is obtained through the study of mixed convection flow and temperature distribution in a CVD reactor. Experimental results are presented for a CVD chamber with a horizontal or inclined resistance heated susceptor. Vaporized glycol solution illuminated by a light sheet is used for flow visualization. Temperature measurements are obtained by inserting thermocouple probes into the gas stream or embedding probes into the reactor walls. Flow visualization and temperature measurements show predominantly two dimensional flow and temperature distributions along the streamwise direction under forced convection conditions. Natural convection dominates under large heating rates and low flow rates. Over the range of parameters studied, several distinct flow regimes, characterized by instability, separation, and turbulence, are evident. Different flow regimes alter the flow pattern and temperature distribution, and in consequence, significantly modify deposition rates and uniformity.

  9. Associations between flavan-3-ol intake and CVD risk in the Norfolk cohort of the European Prospective Investigation into Cancer (EPIC-Norfolk)

    PubMed Central

    Vogiatzoglou, Anna; Mulligan, Angela A.; Bhaniani, Amit; Lentjes, Marleen A.H.; McTaggart, Alison; Luben, Robert N.; Heiss, Christian; Kelm, Malte; Merx, Marc W.; Spencer, Jeremy P.E.; Schroeter, Hagen; Khaw, Kay-Tee; Kuhnle, Gunter G.C.

    2015-01-01

    Dietary intervention studies suggest that flavan-3-ol intake can improve vascular function and reduce the risk of cardiovascular diseases (CVD). However, results from prospective studies failed to show a consistent beneficial effect. Associations between flavan-3-ol intake and CVD risk in the Norfolk arm of the European Prospective Investigation into Cancer and Nutrition (EPIC-Norfolk) were investigated. Data were available from 24,885 (11,252 men; 13,633 women) participants, recruited between 1993 and 1997 into the EPIC-Norfolk study. Flavan-3-ol intake was assessed using 7-day food diaries and the FLAVIOLA Flavanol Food Composition database. Missing data for plasma cholesterol and vitamin C were imputed using multiple imputation. Associations between flavan-3-ol intake and blood pressure at baseline were determined using linear regression models. Associations with CVD risk were estimated using Cox regression analyses. Median intake of total flavan-3-ols was 1034 mg/d (range: 0–8531 mg/d) for men and 970 mg/d (0–6695 mg/d) for women, median intake of flavan-3-ol monomers was 233 mg/d (0–3248 mg/d) for men and 217 (0–2712 mg/d) for women. There were no consistent associations between flavan-3-ol monomer intake and baseline systolic and diastolic blood pressure (BP). After 286,147 person-years of follow-up, there were 8463 cardiovascular events and 1987 CVD related deaths; no consistent association between flavan-3-ol intake and CVD risk (HR 0.93, 95% CI: 0.87; 1.00; Q1 vs Q5) or mortality was observed (HR 0.93, 95% CI: 0.84; 1.04). Flavan-3-ol intake in EPIC-Norfolk is not sufficient to achieve a statistically significant reduction in CVD risk. PMID:25795512

  10. Plasma Ceramides, Mediterranean Diet, and Incident Cardiovascular Disease in the PREDIMED Trial (Prevención con Dieta Mediterránea).

    PubMed

    Wang, Dong D; Toledo, Estefanía; Hruby, Adela; Rosner, Bernard A; Willett, Walter C; Sun, Qi; Razquin, Cristina; Zheng, Yan; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Corella, Dolores; Gómez-Gracia, Enrique; Fiol, Miquel; Estruch, Ramón; Ros, Emilio; Lapetra, José; Fito, Montserrat; Aros, Fernando; Serra-Majem, Luis; Lee, Chih-Hao; Clish, Clary B; Liang, Liming; Salas-Salvadó, Jordi; Martínez-González, Miguel A; Hu, Frank B

    2017-05-23

    Although in vitro studies and investigations in animal models and small clinical populations have suggested that ceramides may represent an intermediate link between overnutrition and certain pathological mechanisms underlying cardiovascular disease (CVD), no prospective studies have investigated the association between plasma ceramides and risk of CVD. The study population consisted of 980 participants from the PREDIMED trial (Prevención con Dieta Mediterránea), including 230 incident cases of CVD and 787 randomly selected participants at baseline (including 37 overlapping cases) followed for ≤7.4 years. Participants were randomized to a Mediterranean diet supplemented with extra virgin olive oil, a Mediterranean diet supplemented with nuts, or a control diet. Plasma ceramide concentrations were measured on a liquid chromatography tandem mass spectrometry metabolomics platform. The primary outcome was a composite of nonfatal acute myocardial infarction, nonfatal stroke, or cardiovascular death. Hazard ratios were estimated with weighted Cox regression models using Barlow weights to account for the case-cohort design. The multivariable hazard ratios (HR) and 95% confidence intervals (CIs) comparing the extreme quartiles of plasma concentrations of C16:0, C22:0, C24:0, and C24:1 ceramides were 2.39 (1.49-3.83, P trend <0.001), 1.91 (1.21-3.01, P trend =0.003), 1.97 (1.21-3.20, P trend =0.004), and 1.73 (1.09-2.74, P trend =0.011), respectively. The ceramide score, calculated as a weighted sum of concentrations of four ceramides, was associated with a 2.18-fold higher risk of CVD across extreme quartiles (HR, 2.18; 95% CI, 1.36-3.49; P trend <0.001). The association between baseline ceramide score and incident CVD varied significantly by treatment groups ( P interaction =0.010). Participants with a higher ceramide score and assigned to either of the 2 active intervention arms of the trial showed similar CVD risk to those with a lower ceramide score, whereas

  11. Survival Regression Modeling Strategies in CVD Prediction.

    PubMed

    Barkhordari, Mahnaz; Padyab, Mojgan; Sardarinia, Mahsa; Hadaegh, Farzad; Azizi, Fereidoun; Bozorgmanesh, Mohammadreza

    2016-04-01

    A fundamental part of prevention is prediction. Potential predictors are the sine qua non of prediction models. However, whether incorporating novel predictors to prediction models could be directly translated to added predictive value remains an area of dispute. The difference between the predictive power of a predictive model with (enhanced model) and without (baseline model) a certain predictor is generally regarded as an indicator of the predictive value added by that predictor. Indices such as discrimination and calibration have long been used in this regard. Recently, the use of added predictive value has been suggested while comparing the predictive performances of the predictive models with and without novel biomarkers. User-friendly statistical software capable of implementing novel statistical procedures is conspicuously lacking. This shortcoming has restricted implementation of such novel model assessment methods. We aimed to construct Stata commands to help researchers obtain the aforementioned statistical indices. We have written Stata commands that are intended to help researchers obtain the following. 1, Nam-D'Agostino X 2 goodness of fit test; 2, Cut point-free and cut point-based net reclassification improvement index (NRI), relative absolute integrated discriminatory improvement index (IDI), and survival-based regression analyses. We applied the commands to real data on women participating in the Tehran lipid and glucose study (TLGS) to examine if information relating to a family history of premature cardiovascular disease (CVD), waist circumference, and fasting plasma glucose can improve predictive performance of Framingham's general CVD risk algorithm. The command is adpredsurv for survival models. Herein we have described the Stata package "adpredsurv" for calculation of the Nam-D'Agostino X 2 goodness of fit test as well as cut point-free and cut point-based NRI, relative and absolute IDI, and survival-based regression analyses. We hope this

  12. Recent results on CVD diamond radiation sensors

    NASA Astrophysics Data System (ADS)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  13. Bayesian Techniques for Plasma Theory to Bridge the Gap Between Space and Lab Plasmas

    NASA Astrophysics Data System (ADS)

    Crabtree, Chris; Ganguli, Gurudas; Tejero, Erik

    2017-10-01

    We will show how Bayesian techniques provide a general data analysis methodology that is better suited to investigate phenomena that require a nonlinear theory for an explanation. We will provide short examples of how Bayesian techniques have been successfully used in the radiation belts to provide precise nonlinear spectral estimates of whistler mode chorus and how these techniques have been verified in laboratory plasmas. We will demonstrate how Bayesian techniques allow for the direct competition of different physical theories with data acting as the necessary arbitrator. This work is supported by the Naval Research Laboratory base program and by the National Aeronautics and Space Administration under Grant No. NNH15AZ90I.

  14. Temperature dependence of W metallic coatings synthesized by double glow plasma surface alloying technology on CVD diamond films

    NASA Astrophysics Data System (ADS)

    Gao, Jie; Hei, Hongjun; Shen, Yanyan; Liu, Xiaoping; Tang, Bin; He, Zhiyong; Yu, Shengwang

    2015-11-01

    W metallic coatings were synthesized on free-standing chemical vapor deposition (CVD) diamond films using double glow plasma surface alloying (DGPSA) technology. The influence of varying metalizing temperatures on the microstructures, phase composition and adhesion of the W metallic coatings were investigated. Likewise, the effectiveness of the W metallic coatings was preliminary evaluated via examining the shear strength of the brazing joints between W-metalized diamond films and commercial cemented carbide (WC-Co) inserts. The results showed that continuous and compact W metallic coatings were formed on the diamond films in the temperature range of 750-800 °C, while cracks or cavities presented at the W/diamond interface at 700 °C, 850 °C and 900 °C. Inter-diffusion of W and C atoms preformed, and WC and W2C were formed at the W/diamond interfaces at all temperatures except 700 °C, at which only W2C was formed. Moreover, etched cavities appeared at the W/diamond interface when the temperature exceeded 850 °C. The critical loads for coating delamination, as measured with the scratch test, increased as the temperature rose from 700 °C to 800 °C, while decreased with further increasing temperature. The maximum load was obtained at 800 °C with a value of 17.1 N. Besides, the shear strength of the brazing joints depicted the similar trend with the critical load. The highest shear strength (249 MPa) was also obtained at 800 °C.

  15. Plasma Enhanced Growth of Carbon Nanotubes For Ultrasensitive Biosensors

    NASA Technical Reports Server (NTRS)

    Cassell, Alan M.; Meyyappan, M.

    2004-01-01

    The multitude of considerations facing nanostructure growth and integration lends itself to combinatorial optimization approaches. Rapid optimization becomes even more important with wafer-scale growth and integration processes. Here we discuss methodology for developing plasma enhanced CVD growth techniques for achieving individual, vertically aligned carbon nanostructures that show excellent properties as ultrasensitive electrodes for nucleic acid detection. We utilize high throughput strategies for optimizing the upstream and downstream processing and integration of carbon nanotube electrodes as functional elements in various device types. An overview of ultrasensitive carbon nanotube based sensor arrays for electrochemical bio-sensing applications and the high throughput methodology utilized to combine novel electrode technology with conventional MEMS processing will be presented.

  16. Plasma Enhanced Growth of Carbon Nanotubes For Ultrasensitive Biosensors

    NASA Technical Reports Server (NTRS)

    Cassell, Alan M.; Li, J.; Ye, Q.; Koehne, J.; Chen, H.; Meyyappan, M.

    2004-01-01

    The multitude of considerations facing nanostructure growth and integration lends itself to combinatorial optimization approaches. Rapid optimization becomes even more important with wafer-scale growth and integration processes. Here we discuss methodology for developing plasma enhanced CVD growth techniques for achieving individual, vertically aligned carbon nanostructures that show excellent properties as ultrasensitive electrodes for nucleic acid detection. We utilize high throughput strategies for optimizing the upstream and downstream processing and integration of carbon nanotube electrodes as functional elements in various device types. An overview of ultrasensitive carbon nanotube based sensor arrays for electrochemical biosensing applications and the high throughput methodology utilized to combine novel electrode technology with conventional MEMS processing will be presented.

  17. Kinetics of low pressure CVD growth of SiO2 on InP and Si

    NASA Technical Reports Server (NTRS)

    Iyer, R.; Lile, D. L.

    1988-01-01

    The kinetics of low pressure CVD growth of SiO2 from SiH4 and O2 has been investigated for the case of an indirect (remote) plasma process. Homogeneous (gas phase) and heterogeneous operating ranges have been experimentally identified. The process was shown to be consistent within the heterogeneous surface-reaction dominated range of operation. A kinetic rate equation is given for growth at 14 W RF power input and 400 mtorr total pressure on both InP and Si substrates. The process exhibits an activation energy of 8.4 + or - 0.6 kcal/mol.

  18. Plasma acylcarnitines and risk of cardiovascular disease: effect of Mediterranean diet interventions123

    PubMed Central

    Zheng, Yan; Ruiz-Canela, Miguel; Hruby, Adela; Martínez-González, Miguel A; Clish, Clary B; Corella, Dolores; Estruch, Ramon; Ros, Emilio; Fitó, Montserrat; Dennis, Courtney; Morales-Gil, Isabel M; Arós, Fernando; Fiol, Miquel; Lapetra, José; Serra-Majem, Lluís; Hu, Frank B

    2016-01-01

    Background: Previous studies have suggested that metabolite profiles of elevated acylcarnitines were associated with increased risk of cardiovascular disease (CVD) in populations with established coronary disease. However, to our knowledge, this association has not been evaluated in the context of primary cardiovascular prevention. Objectives: We evaluated the association between 28 plasma acylcarnitine species and risk of incident CVD and the potential modifying effect of Mediterranean diet (MedDiet) interventions. Design: We measured plasma acylcarnitines with the use of high-throughput liquid chromatography–tandem mass spectrometry at baseline and after 1 y of follow-up, both individually and classified into short-, medium-, or long-chain scores, in a case-cohort study within the Prevención con Dieta Mediterránea (PREDIMED) study, which is a randomized Mediterranean dietary intervention for primary cardiovascular prevention. A randomly selected subcohort (n = 751) and all available incident CVD cases (n = 229) after 4.8 y of follow-up were included in the current study. Results: After adjustment for age, sex, body mass index, and other CVD risk factors, participants in the highest quartile of baseline short- and medium-chain acylcarnitines had a higher risk of CVD than did participants in the lowest quartile [HRs: 1.80 (95% CI: 1.11, 2.91; P-trend 0.01) and 1.55 (95% CI: 1.01, 2.48; P-trend = 0.04), respectively]. Increased short-chain acylcarnitines after 1 y were associated with higher risks of total CVD and stroke. Participants with higher baseline concentrations of short-, medium-, and long-chain acylcarnitines who were randomly assigned to the control group had a higher risk of CVD than did subjects with lower concentrations of acylcarnitines who were assigned to the MedDiet group. Conclusions: Our data support the conclusion that metabolite profiles characterized by elevated concentrations of acylcarnitines are independently associated with risks of

  19. Plasma Modeling with Speed-Limited Particle-in-Cell Techniques

    NASA Astrophysics Data System (ADS)

    Jenkins, Thomas G.; Werner, G. R.; Cary, J. R.; Stoltz, P. H.

    2017-10-01

    Speed-limited particle-in-cell (SLPIC) modeling is a new particle simulation technique for modeling systems wherein numerical constraints, e.g. limitations on timestep size required for numerical stability, are significantly more restrictive than is needed to model slower kinetic processes of interest. SLPIC imposes artificial speed-limiting behavior on fast particles whose kinetics do not play meaningful roles in the system dynamics, thus enabling larger simulation timesteps and more rapid modeling of such plasma discharges. The use of SLPIC methods to model plasma sheath formation and the free expansion of plasma into vacuum will be demonstrated. Wallclock times for these simulations, relative to conventional PIC, are reduced by a factor of 2.5 for the plasma expansion problem and by over 6 for the sheath formation problem; additional speedup is likely possible. Physical quantities of interest are shown to be correct for these benchmark problems. Additional SLPIC applications will also be discussed. Supported by US DoE SBIR Phase I/II Award DE-SC0015762.

  20. Atherogenic index of plasma and risk of cardiovascular disease among Cameroonian postmenopausal women.

    PubMed

    Nansseu, Jobert Richie N; Moor, Vicky Jocelyne Ama; Nouaga, Murielle Elsa D; Zing-Awona, Bertrand; Tchanana, Gladys; Ketcha, Arthur

    2016-03-09

    The paucity of data regarding the relationship between atherogenic index of plasma (AIP) and risk of cardiovascular disease (CVD) in postmenopausal women living in sub-Saharan Africa prompted us to conduct this study which aimed at assessing the interplay between AIP and risk of CVD among Cameroonian postmenopausal women. This was a cross-sectional study conducted among 108 postmenopausal women in Yaoundé, Cameroon. Risk of CVD was calculated using the Framingham risk score, (FRS), and the AIP was derived as log (triglycerides/high-density lipoproteins cholesterol). Mean age of participants equaled 56.4 ± 6.9 years. AIP values ranged from -0.40 to 0.85 with a mean of 0.21 ± 0.27. There was a positive and significant correlation between AIP and body mass index (r = 0.234; p = 0.015), systolic blood pressure (r = 0.350; p < 0.001), diastolic blood pressure (r = 0.365; p < 0.001), fasting plasma glucose (r = 0.314; p = 0.001), uric acid (r = 0.374; p < 0.001), and total cholesterol (r = 0.374; p < 0.001), but not with age (r = -0.104; p = 0.284). The FRS varied between 1.2 % and >30 % with a mean of 13.4 ± 8.7 %. In univariable model, AIP significantly influenced the risk of CVD (β = 11.94; p < 0.001; R(2) = 0.136). But in the multivariable model, after adjusting for confounders, AIP did not impact the risk of CVD anymore (adjusted β = 1.98; p = 0.487; R(2) = 0.486). AIP may not be an independent factor impacting the risk of CVD among Cameroonian postmenopausal women. More studies are needed to better elucidate the interaction between AIP and risk of CVD in our setting.

  1. Nanoscale plasma chemistry enables fast, size-selective nanotube nucleation.

    PubMed

    Ostrikov, Kostya Ken; Mehdipour, Hamid

    2012-03-07

    The possibility of fast, narrow-size/chirality nucleation of thin single-walled carbon nanotubes (SWCNTs) at low, device-tolerant process temperatures in a plasma-enhanced chemical vapor deposition (CVD) is demonstrated using multiphase, multiscale numerical experiments. These effects are due to the unique nanoscale reactive plasma chemistry (NRPC) on the surfaces and within Au catalyst nanoparticles. The computed three-dimensional process parameter maps link the nanotube incubation times and the relative differences between the incubation times of SWCNTs of different sizes/chiralities to the main plasma- and precursor gas-specific parameters and explain recent experimental observations. It is shown that the unique NRPC leads not only to much faster nucleation of thin nanotubes at much lower process temperatures, but also to better selectivity between the incubation times of SWCNTs with different sizes and chiralities, compared to thermal CVD. These results are used to propose a time-programmed kinetic approach based on fast-responding plasmas which control the size-selective, narrow-chirality nucleation and growth of thin SWCNTs. This approach is generic and can be used for other nanostructure and materials systems. © 2012 American Chemical Society

  2. Recent Results with CVD Diamond Trackers

    NASA Astrophysics Data System (ADS)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm 2 diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 μs shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm 2 diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  3. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    NASA Astrophysics Data System (ADS)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  4. Increases in Plasma Tryptophan Are Inversely Associated with Incident Cardiovascular Disease in the Prevención con Dieta Mediterránea (PREDIMED) Study123

    PubMed Central

    Yu, Edward; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Zheng, Yan; Toledo, Estefania; Clish, Clary B; Salas-Salvadó, Jordi; Liang, Liming; Wang, Dong D; Corella, Dolores; Fitó, Montse; Gómez-Gracia, Enrique; Lapetra, José; Estruch, Ramón; Ros, Emilio; Cofán, Montserrat; Arós, Fernando; Romaguera, Dora; Serra-Majem, Lluis; Sorlí, Jose V; Hu, Frank B; Martinez-Gonzalez, Miguel A

    2017-01-01

    Background: During development of cardiovascular disease (CVD), interferon-γ–mediated inflammation accelerates degradation of tryptophan into downstream metabolites. A Mediterranean diet (MedDiet) consisting of a high intake of extra-virgin olive oil (EVOO), nuts, fruits, vegetables, and cereals has been demonstrated to lower the risk of CVD. The longitudinal relation between tryptophan and its downstream metabolites and CVD in the context of a MedDiet is unstudied. Objective: We sought to investigate the relation between metabolites in the tryptophan-kynurenine pathway and CVD in the context of a MedDiet pattern. Methods: We used a case-cohort design nested in the Prevención con Dieta Mediterránea randomized controlled trial. There were 231 CVD cases (stroke, myocardial infarction, cardiovascular death) among 985 participants over a median of 4.7 y of follow-up [mean ± SD age: 67.6 ± 6.1 y; 53.7% women; mean ± SD body mass index (in kg/m2): 29.7 ± 3.7]. We assessed plasma tryptophan, kynurenine, kynurenic acid, 3-hydroxyanthranilic acid, and quinolinic acid concentrations at baseline and after 1 y of intervention with a MedDiet. We combined these metabolites in a kynurenine risk score (KRS) by weighting each metabolite by the adjusted coefficient of its associations with CVD. Cox models were used in the primary analysis. Results: Increases in tryptophan after 1 y were associated with a lower risk of composite CVD (HR per SD: 0.79; 95% CI: 0.63, 0.98). The baseline kynurenic acid concentration was associated with a higher risk of myocardial infarction and coronary artery disease death but not stroke. A higher KRS was more strongly associated with CVD in the control group than in the 2 intervention groups (P-interaction = 0.003). Adjustment for changes in plasma tryptophan attenuated the inverse association between MedDiet+EVOO and CVD. Conclusions: An increase in the plasma tryptophan concentration was significantly associated with a decreased risk of CVD

  5. Preionization Techniques in a kJ-Scale Dense Plasma Focus

    NASA Astrophysics Data System (ADS)

    Povilus, Alexander; Shaw, Brian; Chapman, Steve; Podpaly, Yuri; Cooper, Christopher; Falabella, Steve; Prasad, Rahul; Schmidt, Andrea

    2016-10-01

    A dense plasma focus (DPF) is a type of z-pinch device that uses a high current, coaxial plasma gun with an implosion phase to generate dense plasmas. These devices can accelerate a beam of ions to MeV-scale energies through strong electric fields generated by instabilities during the implosion of the plasma sheath. The formation of these instabilities, however, relies strongly on the history of the plasma sheath in the device, including the evolution of the gas breakdown in the device. In an effort to reduce variability in the performance of the device, we attempt to control the initial gas breakdown in the device by seeding the system with free charges before the main power pulse arrives. We report on the effectiveness of two techniques developed for a kJ-scale DPF at LLNL, a miniature primer spark gap and pulsed, 255nm LED illumination. Prepared by LLNL under Contract DE-AC52-07NA27344.

  6. Fibrinogen concentration and its role in CVD risk in black South Africans--effect of urbanisation.

    PubMed

    Pieters, Marlien; de Maat, Moniek P M; Jerling, Johann C; Hoekstra, Tiny; Kruger, Annamarie

    2011-09-01

    The aim of this study was to investigate correlates of fibrinogen concentration in black South Africans, as well as its association with cardiovascular disease (CVD) risk and whether urbanisation influences this association. A total of 1,006 rural and 1,004 urban black South Africans from the PURE study were cross-sectionally analysed. The association of fibrinogen with CVD risk was determined by investigating the association of fibrinogen with other CVD risk markers as well as with predicted CVD risk using the Reynolds Risk score. The rural group had a significantly higher fibrinogen concentration than the urban group, despite higher levels of risk factors and increased predicted CVD risk in the urban group. Increased levels of CVD risk factors were, however, still associated with increased fibrinogen concentration. Fibrinogen correlated significantly, but weakly, with overall predicted CVD risk. This correlation was stronger in the urban than in the rural group. Multiple regression analysis showed that a smaller percentage of the variance in fibrinogen is explained by the traditional CVD risk factors in the rural than in the urban group. In conclusion, fibrinogen is weakly associated with CVD risk (predicted overall risk as well with individual risk factors) in black South Africans, and is related to the degree of urbanisation. Increased fibrinogen concentration, in black South Africans, especially in rural areas, is largely unexplained, and likely not strongly correlated with traditional CVD-related lifestyle and pathophysiological processes. This does, however, not exclude the possibility that once increased, the fibrinogen concentration contributes to future development of CVD.

  7. Use of microcirculatory parameters to evaluate clinical treatments of chronic venous disorder (CVD).

    PubMed

    Lascasas-Porto, Carmen Lucia; Milhomens, Ana Letícia M; Virgini-Magalhães, Carlos Eduardo; Fernandes, Fabiano F A; Sicuro, Fernando L; Bouskela, Eliete

    2008-05-01

    To evaluate changes on cutaneous microangiopathy in chronic venous disorder (CVD) after use of Cirkan [venotonic drug containing Ruscus aculeatus (plant extract), hesperidine methylchalcone (flavonoid) and vitamin C], elastic compression stockings (ECS) or no treatment for four weeks. Fifty-five female patients (85 legs), 25 to 57 years, with at least one limb classified as C2,s or C2,3,s (CEAP classification), were allocated consecutively, according to entrance order, in these three groups. Ten healthy women age-matched were also investigated. Using orthogonal polarization spectral technique (noninvasive method), measurements of functional capillary density (FCD, number of capillaries with flowing red blood cells/mm(2)), capillary morphology (CM, % of abnormal capillaries/mm(2)) and diameters (mum) of dermal papilla (DDP), capillary bulk (DCB) and capillary limb (CD) were obtained on the medial perimalleolar region and later analyzed using CapImage software. CVD patients showed significant changes on CD and CM compared to healthy subjects in agreement with our previous findings (J Vasc Surg 43:1037-1044, 2006). On Cirkan-treated patients, after 4 weeks, CD decreased on both limbs and CM improved on the left one, suggesting an amelioration of the chronic venous hypertension. No significant changes could be detected on other patient groups. These results confirm the existence of microcirculatory dysfunction in early stages of CVD, probably due to post-capillary hypertension, and further support the venotonic action of Cirkan.

  8. Dynamics of blood plasma by spectropolarimetry and biochemical techniques

    NASA Astrophysics Data System (ADS)

    Voloshynska, Katerina; Ilashchuka, Tetjana; Prydij, Olexander; Gruia, Maria

    2014-08-01

    The aim of the study was to establish objective parameters of the field of laser and incoherent radiation of different spectral ranges (UV, visible, IR) as a non-invasive optical method of interaction with different samples of biological tissues and fluids of patients to determine the dynamics of metabolic syndrome and choosing the best personal treatment. As diagnostic methods have been used ultraviolet spectrometry samples of blood plasma in the liquid state, infrared spectroscopy middle range (2,5 - 25 microns) dry residue of plasma polarization and laser diagnostic technique of thin histological sections of biological tissues.

  9. Immobilization of glucoamylase on ceramic membrane surfaces modified with a new method of treatment utilizing SPCP-CVD.

    PubMed

    Ida; Matsuyama; Yamamoto

    2000-07-01

    Glucoamylase, as a model enzyme, was immobilized on a ceramic membrane modified by surface corona discharge induced plasma chemical process-chemical vapor deposition (SPCP-CVD). Characterizations of the immobilized enzyme were then discussed. Three kinds of ceramic membranes with different amounts of amino groups on the surface were prepared utilizing the SPCP-CVD method. Each with 1-time, 3-times and 5-times surface modification treatments and used for supports in glucoamylase immobilization. The amount of immobilized glucoamylase increased with the increase in the number of surface modification treatments and saturated to a certain maximum value estimated by a two-dimensional random packing. The operational stability of the immobilized glucoamylase also increased with the increase in the number of the surface treatment. It was almost the same as the conventional method, while the activity of immobilized enzyme was higher. The results indicated the possibility of designing the performance of the immobilized enzyme by controlling the amount of amino groups. The above results showed that the completely new surface modification method using SPCP was effective in modifying ceramic membranes for enzyme immobilization.

  10. Ultrasonic cavity preparation using CVD coated diamond bur: A case report

    PubMed Central

    de Vasconcellos, Beatriz Tholt; Thompson, Jeffrey Y.; de Paula Macedo, Manoel Roberto; de Oliveira Maia, Janaína Monalisa; Oda, Margareth; Garone-Netto, Narciso

    2013-01-01

    Before any restorative procedure can be undertaken a proper cavity preparation is required. This clinical step is the mechanical alteration of the tooth to receive a restorative material with which a satisfactory form, function and the esthetics of the tooth will be established. In recent years improvements in materials and techniques have been devised and new technologies are now available for this purpose. The aim of the present study is to report two clinical cases in which a CVD coated diamond bur coupled to an ultrasonic handpiece is used in dental preparation. This technique provides an accurate and conservative tooth preparation with ideal access and visibility and because of enhanced efficiency can also play a role in eliminating some of the patient discomfort of the dental treatment. PMID:23408140

  11. Antisense inhibition of apolipoprotein (a) to lower plasma lipoprotein (a) levels in humans

    PubMed Central

    Graham, Mark J.; Viney, Nick; Crooke, Rosanne M.; Tsimikas, Sotirios

    2016-01-01

    Epidemiological, genetic association, and Mendelian randomization studies have provided strong evidence that lipoprotein (a) [Lp(a)] is an independent causal risk factor for CVD, including myocardial infarction, stroke, peripheral arterial disease, and calcific aortic valve stenosis. Lp(a) levels >50 mg/dl are highly prevalent (20% of the general population) and are overrepresented in patients with CVD and aortic stenosis. These data support the notion that Lp(a) should be a target of therapy for CVD event reduction and to reduce progression of aortic stenosis. However, effective therapies to specifically reduce plasma Lp(a) levels are lacking. Recent animal and human studies have shown that Lp(a) can be specifically targeted with second generation antisense oligonucleotides (ASOs) that inhibit apo(a) mRNA translation. In apo(a) transgenic mice, an apo(a) ASO reduced plasma apo(a)/Lp(a) levels and their associated oxidized phospholipid (OxPL) levels by 86 and 93%, respectively. In cynomolgus monkeys, a second generation apo(a) ASO, ISIS-APO(a)Rx, significantly reduced hepatic apo(a) mRNA expression and plasma Lp(a) levels by >80%. Finally, in a phase I study in normal volunteers, ISIS-APO(a)Rx ASO reduced Lp(a) levels and their associated OxPL levels up to 89 and 93%, respectively, with minimal effects on other lipoproteins. ISIS-APO(a)Rx represents the first specific and potent drug in clinical development to lower Lp(a) levels and may be beneficial in reducing CVD events and progression of calcific aortic valve stenosis. PMID:26538546

  12. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya

    2007-01-19

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transitionmore » of 1s{yields}{sigma}* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s{yields}{pi}* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from {approx_equal}2.2% of the as-deposited FIB-CVD DLC to {approx_equal}1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C.« less

  13. Risks of restrictive red blood cell transfusion strategies in patients with cardiovascular disease (CVD): a meta-analysis.

    PubMed

    Cortés-Puch, I; Wiley, B M; Sun, J; Klein, H G; Welsh, J; Danner, R L; Eichacker, P Q; Natanson, C

    2018-04-19

    To evaluate the risks of restrictive red blood cell transfusion strategies (haemoglobin 7-8 g dL -1 ) in patients with and without known cardiovascular disease (CVD). Recent guidelines recommend restrictive strategies for CVD patients hospitalised for non-CVD indications, patients without known CVD and patients hospitalised for CVD corrective procedures. Database searches were conducted through December 2017 for randomised clinical trials that enrolled patients with and without known CVD, hospitalised either for CVD-corrective procedures or non-cardiac indications, comparing effects of liberal with restrictive strategies on major adverse coronary events (MACE) and death. In CVD patients not undergoing cardiac interventions, a liberal strategy decreased (P = 0·01) the relative risk (95% CI) (RR) of MACE [0·50 (0·29-0·86)] (I 2  = 0%). Among patients without known CVD, the incidence of MACE was lower (1·7 vs 3·9%), and the effect of a liberal strategy on MACE [0·79, (0·39-1·58)] was smaller and non-significant but not different from CVD patients (P = 0·30). Combining all CVD and non-CVD patients, a liberal strategy decreased MACE [0·59, (0·39-0·91); P = 0·02]. Conversely, among studies reporting mortality, a liberal strategy decreased mortality in CVD patients (11·7% vs·13·3%) but increased mortality (19·2% vs 18·0%) in patients without known CVD [interaction P = 0·05; ratio of RR 0·73, (0·53-1·00)]. A liberal strategy also did not benefit patients undergoing cardiac surgery; data were insufficient for percutaneous cardiac procedures. In patients hospitalised for non-cardiac indications, liberal transfusion strategies are associated with a decreased risk of MACE in both those with and without known CVD. However, this only provides a survival benefit to CVD patients not admitted for CVD-corrective procedures. © 2018 British Blood Transfusion Society.

  14. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  15. Use of the plasma triglyceride/high-density lipoprotein cholesterol ratio to identify cardiovascular disease in hypertensive subjects.

    PubMed

    Salazar, Martin R; Carbajal, Horacio A; Espeche, Walter G; Aizpurúa, Marcelo; Leiva Sisnieguez, Carlos E; Leiva Sisnieguez, Betty C; March, Carlos E; Stavile, Rodolfo N; Balbín, Eduardo; Reaven, Gerald M

    2014-10-01

    This analysis evaluated the hypothesis that the plasma triglyceride (TG)/high-density lipoprotein cholesterol (HDL-C) concentration ratio can help identify patients with essential hypertension who are insulin-resistant, with the cardiovascular disease (CVD) risk profile associated with that defect. Data from a community-based study developed between 2003 and 2012 were used to compare CVD risk factors and outcome. Plasma TG/HDL-C cut-points of 2.5 (women) and 3.5 (men) subdivided normotensive (n = 574) and hypertensive (n = 373) subjects into "high" and "low" risk groups. Metabolic syndrome criteria (MetS) were also used to identify "high" and "low" risk groups. The baseline cardio-metabolic profile was significantly more adverse in 2003 in "high" risk subgroups, irrespective of BP classification or definition of risk (TG/HDL-C ratio vs. MetS criteria). Crude incidence of combined CVD events increased across risk groups, ranging from 1.9 in normotensive-low TG/HDL-C subjects to 19.9 in hypertensive-high TG/HDL-C ratio individuals (P for trends <.001). Adjusted hazard ratios for CVD events also increased with both hypertension and TG/HDL-C. Comparable findings were seen when CVD outcome was predicted by MetS criteria. The TG/HDL-C concentration ratio and the MetS criteria identify to a comparable degree hypertensive subjects who are at greatest cardio-metabolic risk and develop significantly more CVD.

  16. Development of plasma assisted thermal vapor deposition technique for high-quality thin film.

    PubMed

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10 -3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq -1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  17. Development of plasma assisted thermal vapor deposition technique for high-quality thin film

    NASA Astrophysics Data System (ADS)

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10-3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq-1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  18. Nuts and CVD.

    PubMed

    Ros, Emilio

    2015-04-01

    Nuts are nutrient-dense foods with complex matrices rich in unsaturated fatty acids and other bioactive compounds, such as l-arginine, fibre, healthful minerals, vitamin E, phytosterols and polyphenols. By virtue of their unique composition, nuts are likely to beneficially affect cardiovascular health. Epidemiological studies have associated nut consumption with a reduced incidence of CHD in both sexes and of diabetes in women, but not in men. Feeding trials have clearly demonstrated that consumption of all kinds of nuts has a cholesterol-lowering effect, even in the context of healthy diets. There is increasing evidence that nut consumption has a beneficial effect on oxidative stress, inflammation and vascular reactivity. Blood pressure, visceral adiposity and the metabolic syndrome also appear to be positively influenced by nut consumption. Contrary to expectations, epidemiological studies and clinical trials suggest that regular nut consumption is not associated with undue weight gain. Recently, the PREvención con DIeta MEDiterránea randomised clinical trial of long-term nutrition intervention in subjects at high cardiovascular risk provided first-class evidence that regular nut consumption is associated with a 50 % reduction in incident diabetes and, more importantly, a 30 % reduction in CVD. Of note, incident stroke was reduced by nearly 50 % in participants allocated to a Mediterranean diet enriched with a daily serving of mixed nuts (15 g walnuts, 7.5 g almonds and 7.5 g hazelnuts). Thus, it is clear that frequent nut consumption has a beneficial effect on CVD risk that is likely to be mediated by salutary effects on intermediate risk factors.

  19. Colour-causing defects and their related optoelectronic transitions in single crystal CVD diamond.

    PubMed

    Khan, R U A; Cann, B L; Martineau, P M; Samartseva, J; Freeth, J J P; Sibley, S J; Hartland, C B; Newton, M E; Dhillon, H K; Twitchen, D J

    2013-07-10

    Defects causing colour in nitrogen-doped chemical vapour-deposited (CVD) diamond can adversely affect the exceptional optical, electronic and spintronic properties of the material. Several techniques were used to study these defects, namely optical absorption spectroscopy, thermoluminescence (TL) and electron paramagnetic resonance (EPR). From our studies, the defects causing colour in nitrogen-doped CVD diamond are clearly not the same as those causing similar colour in natural diamonds. The brown colour arises due to a featureless absorption profile that decreases in intensity with increasing wavelength, and a broad feature at 360 nm (3.49 eV) that scales in intensity with it. Another prominent absorption band, centred at 520 nm (2.39 eV), is ascribed to the neutral nitrogen-vacancy-hydrogen defect. The defects responsible for the brown colour possess acceptor states that are 1.5 eV from the valence band (VB) edge. The brown colour is removed by heat treatment at 1600 ° C, whereupon new defects possessing shallow (<1 eV) trap states are generated.

  20. Comprehensive process maps for synthesizing high density aluminum oxide-carbon nanotube coatings by plasma spraying for improved mechanical and wear properties

    NASA Astrophysics Data System (ADS)

    Keshri, Anup Kumar

    Plasma sprayed aluminum oxide ceramic coating is widely used due to its outstanding wear, corrosion, and thermal shock resistance. But porosity is the integral feature in the plasma sprayed coating which exponentially degrades its properties. In this study, process maps were developed to obtain Al2O3-CNT composite coatings with the highest density (i.e. lowest porosity) and improved mechanical and wear properties. Process map is defined as a set of relationships that correlates large number of plasma processing parameters to the coating properties. Carbon nanotubes (CNTs) were added as reinforcement to Al2O 3 coating to improve the fracture toughness and wear resistance. Two novel powder processing approaches viz spray drying and chemical vapor growth were adopted to disperse CNTs in Al2O3 powder. The degree of CNT dispersion via chemical vapor deposition (CVD) was superior to spray drying but CVD could not synthesize powder in large amount. Hence optimization of plasma processing parameters and process map development was limited to spray dried Al2O3 powder containing 0, 4 and 8 wt. % CNTs. An empirical model using Pareto diagram was developed to link plasma processing parameters with the porosity of coating. Splat morphology as a function of plasma processing parameter was also studied to understand its effect on mechanical properties. Addition of a mere 1.5 wt. % CNTs via CVD technique showed ˜27% and ˜24% increase in the elastic modulus and fracture toughness respectively. Improved toughness was attributed to combined effect of lower porosity and uniform dispersion of CNTs which promoted the toughening by CNT bridging, crack deflection and strong CNT/Al2O3 interface. Al2O 3-8 wt. % CNT coating synthesized using spray dried powder showed 73% improvement in the fracture toughness when porosity reduced from 4.7% to 3.0%. Wear resistance of all coatings at room and elevated temperatures (573 K, 873 K) showed improvement with CNT addition and decreased porosity

  1. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  2. Higher plasma CXCL12 levels predict incident myocardial infarction and death in chronic kidney disease: findings from the Chronic Renal Insufficiency Cohort study

    PubMed Central

    Mehta, Nehal N.; Matthews, Gregory J.; Krishnamoorthy, Parasuram; Shah, Rhia; McLaughlin, Catherine; Patel, Parth; Budoff, Matthew; Chen, Jing; Wolman, Melanie; Go, Alan; He, Jiang; Kanetsky, Peter A.; Master, Stephen R.; Rader, Daniel J.; Raj, Dominic; Gadegbeku, Crystal A.; Shah, Rachana; Schreiber, Marty; Fischer, Michael J.; Townsend, Raymond R.; Kusek, John; Feldman, Harold I.; Foulkes, Andrea S.; Reilly, Muredach P.; Appel, Lawrence J.; Feldman, Harold I.; Go, Alan S.; He, Jiang; Kusek, John W.; Lash, James P.; Ojo, Akinlolu; Rahman, Mahboob; Townsend, Raymond R.

    2014-01-01

    Aims Genome-wide association studies revealed an association between a locus at 10q11, downstream from CXCL12, and myocardial infarction (MI). However, the relationship among plasma CXCL12, cardiovascular disease (CVD) risk factors, incident MI, and death is unknown. Methods and results We analysed study-entry plasma CXCL12 levels in 3687 participants of the Chronic Renal Insufficiency Cohort (CRIC) Study, a prospective study of cardiovascular and kidney outcomes in chronic kidney disease (CKD) patients. Mean follow-up was 6 years for incident MI or death. Plasma CXCL12 levels were positively associated with several cardiovascular risk factors (age, hypertension, diabetes, hypercholesterolaemia), lower estimated glomerular filtration rate (eGFR), and higher inflammatory cytokine levels (P < 0.05). In fully adjusted models, higher study-entry CXCL12 was associated with increased odds of prevalent CVD (OR 1.23; 95% confidence interval 1.14, 1.33, P < 0.001) for one standard deviation (SD) increase in CXCL12. Similarly, one SD higher CXCL12 increased the hazard of incident MI (1.26; 1.09,1.45, P < 0.001), death (1.20; 1.09,1.33, P < 0.001), and combined MI/death (1.23; 1.13–1.34, P < 0.001) adjusting for demographic factors, known CVD risk factors, and inflammatory markers and remained significant for MI (1.19; 1.03,1.39, P = 0.01) and the combined MI/death (1.13; 1.03,1.24, P = 0.01) after further controlling for eGFR and urinary albumin:creatinine ratio. Conclusions In CKD, higher plasma CXCL12 was associated with CVD risk factors and prevalent CVD as well as the hazard of incident MI and death. Further studies are required to establish if plasma CXCL12 reflect causal actions at the vessel wall and is a tool for genomic and therapeutic trials. PMID:24306482

  3. Increases in Plasma Tryptophan Are Inversely Associated with Incident Cardiovascular Disease in the Prevención con Dieta Mediterránea (PREDIMED) Study.

    PubMed

    Yu, Edward; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Zheng, Yan; Toledo, Estefania; Clish, Clary B; Salas-Salvadó, Jordi; Liang, Liming; Wang, Dong D; Corella, Dolores; Fitó, Montse; Gómez-Gracia, Enrique; Lapetra, José; Estruch, Ramón; Ros, Emilio; Cofán, Montserrat; Arós, Fernando; Romaguera, Dora; Serra-Majem, Lluis; Sorlí, Jose V; Hu, Frank B; Martinez-Gonzalez, Miguel A

    2017-03-01

    Background: During development of cardiovascular disease (CVD), interferon-γ-mediated inflammation accelerates degradation of tryptophan into downstream metabolites. A Mediterranean diet (MedDiet) consisting of a high intake of extra-virgin olive oil (EVOO), nuts, fruits, vegetables, and cereals has been demonstrated to lower the risk of CVD. The longitudinal relation between tryptophan and its downstream metabolites and CVD in the context of a MedDiet is unstudied. Objective: We sought to investigate the relation between metabolites in the tryptophan-kynurenine pathway and CVD in the context of a MedDiet pattern. Methods: We used a case-cohort design nested in the Prevención con Dieta Mediterránea randomized controlled trial. There were 231 CVD cases (stroke, myocardial infarction, cardiovascular death) among 985 participants over a median of 4.7 y of follow-up [mean ± SD age: 67.6 ± 6.1 y; 53.7% women; mean ± SD body mass index (in kg/m 2 ): 29.7 ± 3.7]. We assessed plasma tryptophan, kynurenine, kynurenic acid, 3-hydroxyanthranilic acid, and quinolinic acid concentrations at baseline and after 1 y of intervention with a MedDiet. We combined these metabolites in a kynurenine risk score (KRS) by weighting each metabolite by the adjusted coefficient of its associations with CVD. Cox models were used in the primary analysis. Results: Increases in tryptophan after 1 y were associated with a lower risk of composite CVD (HR per SD: 0.79; 95% CI: 0.63, 0.98). The baseline kynurenic acid concentration was associated with a higher risk of myocardial infarction and coronary artery disease death but not stroke. A higher KRS was more strongly associated with CVD in the control group than in the 2 intervention groups ( P -interaction = 0.003). Adjustment for changes in plasma tryptophan attenuated the inverse association between MedDiet+EVOO and CVD. Conclusions: An increase in the plasma tryptophan concentration was significantly associated with a decreased risk of

  4. CVD facility electrical system captor/dapper study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SINGH, G.

    1999-10-28

    Project W-441, CVD Facility Electrical System CAPTOWDAPPER Study validates Meier's hand calculations. This study includes Load flow, short circuit, voltage drop, protective device coordination, and transient motor starting (TMS) analyses.

  5. Flavonoids and Reduction of Cardiovascular Disease (CVD) in Chronic Obstructive Pulmonary Disease (COPD).

    PubMed

    Russo, Patrizia; Prinzi, Giulia; Lamonaca, Palma; Cardaci, Vittorio; Fini, Massimo

    2018-05-13

    Chronic obstructive pulmonary disease (COPD) and cardiovascular diseases (CV) often coexist. COPD and CVD are complex diseases characterized by a strict interaction between environment and genetic. The mechanisms linking these two diseases are complex, multifactorial and not entirely understood, influencing the therapeutic approach. COPD is characterized by several comorbidities, it is hypothesizable that treatment of cardiovascular co-morbidities may reduce morbidity and mortality. Flavonoids are an important class of plant low molecular weight secondary metabolites (SMs). Convincing data from laboratory, epidemiological, and human clinical studies point to an important effects on CVD risk prevention. This review aims to provide up-to-date information on the ability of Flavonoids to reduce the CVD risk. Current studies support the potential of Flavonoids to prevent the risk of CVD. Well-designed clinical studies are suggested to evaluate advantages and limits of Flavonoids for managing CVD comorbidity in COPD. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  6. Dimensionless Numbers Expressed in Terms of Common CVD Process Parameters

    NASA Technical Reports Server (NTRS)

    Kuczmarski, Maria A.

    1999-01-01

    A variety of dimensionless numbers related to momentum and heat transfer are useful in Chemical Vapor Deposition (CVD) analysis. These numbers are not traditionally calculated by directly using reactor operating parameters, such as temperature and pressure. In this paper, these numbers have been expressed in a form that explicitly shows their dependence upon the carrier gas, reactor geometry, and reactor operation conditions. These expressions were derived for both monatomic and diatomic gases using estimation techniques for viscosity, thermal conductivity, and heat capacity. Values calculated from these expressions compared well to previously published values. These expressions provide a relatively quick method for predicting changes in the flow patterns resulting from changes in the reactor operating conditions.

  7. Tribological properties of CVD diamond coated ceramic surfaces

    NASA Astrophysics Data System (ADS)

    Abreu, Cristiano Simoes de

    Recent developments in chemical vapour deposited (CVD) diamond coatings have attracted considerable interest and a host of new applications, each more challenging than the others. This increased attention results from the fact that CVD diamond lms retain to a large extent the outstanding physical and chemical properties of natural single crystal diamond such as extreme hardness, chemical inertness and high corrosion resistance, optical transparency and high thermal conductivity. Diamond features also surprisingly low friction and high wear resistance in unlubricated sliding contacts. Moreover, as opposed to natural diamond where the friction and wear behaviour is highly dependent on crystal orientation, polycrystalline CVD diamond lms supersede the monocrystalline variety due to isotropic tribological properties and possibility of coating complex shapes. Several materials have been tested and more or less successfully used as substrates for CVD diamond coatings. Nonetheless, satisfactory adherence of diamond coatings lms is often only attainable by the use of interlayers, in order to compensate for the large interfacial thermal expansion mismatch between the coating and substrate, which represent an additional processing step and added costs. A promising route will consist in using substrate materials with a low thermal expansion mismatch relative to that of diamond and, therefore, enhanced 1m adhesion. Among these, the ceramic silicon nitride (Si3N4) arises as a serious candidate. As a general rule, available literature regarding the tribological performance of CVD diamond coated Si3N4 lms is scarce, and the few available tribological data only deals with low applied loads. That being said, the correct tribological assessment of CVD diamond coated Si3N4 lms under more realistic sliding conditions, reproducing the stresses found in applications fields such as the fluid handling and metalworking industry, as well as in emerging biotribological areas, is on the

  8. Dietary Choline and Betaine and Risk of CVD: A Systematic Review and Meta-Analysis of Prospective Studies

    PubMed Central

    Meyer, Katie A.; Shea, Jonathan W.

    2017-01-01

    Studies implicate choline and betaine metabolite trimethylamine N-oxide (TMAO) in cardiovascular disease (CVD). We conducted a systematic review and random-effects meta-analysis to quantify a summary estimated effect of dietary choline and betaine on hard CVD outcomes (incidence and mortality). Eligible studies were prospective studies in adults with comprehensive diet assessment and follow-up for hard CVD endpoints. We identified six studies that met our criteria, comprising 18,076 incident CVD events, 5343 CVD deaths, and 184,010 total participants. In random effects meta-analysis, incident CVD was not associated with choline (relative risk (RR): 1.00; 95% CI: 0.98, 1.02) or betaine (RR: 0.99; 95% CI: 0.98, 1.01) intake. Results did not vary by study outcome (incident coronary heart disease, stroke, total CVD) and there was no evidence for heterogeneity among studies. Only two studies provided data on phosphatidylcholine and CVD mortality. Random effects meta-analysis did not support an association between choline and CVD mortality (RR: 1.09, 95% CI: 0.89, 1.35), but one study supported a positive association and there was significant heterogeneity (I2 = 84%, p-value < 0.001). Our findings do not support an association between dietary choline/betaine with incident CVD, but call for further research into choline and CVD mortality. PMID:28686188

  9. Dietary Choline and Betaine and Risk of CVD: A Systematic Review and Meta-Analysis of Prospective Studies.

    PubMed

    Meyer, Katie A; Shea, Jonathan W

    2017-07-07

    Studies implicate choline and betaine metabolite trimethylamine N-oxide (TMAO) in cardiovascular disease (CVD). We conducted a systematic review and random-effects meta-analysis to quantify a summary estimated effect of dietary choline and betaine on hard CVD outcomes (incidence and mortality). Eligible studies were prospective studies in adults with comprehensive diet assessment and follow-up for hard CVD endpoints. We identified six studies that met our criteria, comprising 18,076 incident CVD events, 5343 CVD deaths, and 184,010 total participants. In random effects meta-analysis, incident CVD was not associated with choline (relative risk (RR): 1.00; 95% CI: 0.98, 1.02) or betaine (RR: 0.99; 95% CI: 0.98, 1.01) intake. Results did not vary by study outcome (incident coronary heart disease, stroke, total CVD) and there was no evidence for heterogeneity among studies. Only two studies provided data on phosphatidylcholine and CVD mortality. Random effects meta-analysis did not support an association between choline and CVD mortality (RR: 1.09, 95% CI: 0.89, 1.35), but one study supported a positive association and there was significant heterogeneity ( I ² = 84%, p -value < 0.001). Our findings do not support an association between dietary choline/betaine with incident CVD, but call for further research into choline and CVD mortality.

  10. Defining the relationship between COPD and CVD: what are the implications for clinical practice?

    PubMed Central

    Morgan, Ann D; Zakeri, Rosita; Quint, Jennifer K

    2018-01-01

    Cardiovascular diseases (CVDs) are arguably the most important comorbidities in chronic obstructive pulmonary disease (COPD). CVDs are common in people with COPD, and their presence is associated with increased risk for hospitalization, longer length of stay and all-cause and CVD-related mortality. The economic burden associated with CVD in this population is considerable and the cumulative cost of treating comorbidities may even exceed that of treating COPD itself. Our understanding of the biological mechanisms that link COPD and various forms of CVD has improved significantly over the past decade. But despite broad acceptance of the prognostic significance of CVDs in COPD, there remains widespread under-recognition and undertreatment of comorbid CVD in this population. The reasons for this are unclear; however institutional barriers and a lack of evidence-based guidelines for the management of CVD in people with COPD may be contributory factors. In this review, we summarize current knowledge relating to the prevalence and incidence of CVD in people with COPD and the mechanisms that underlie their coexistence. We discuss the implications for clinical practice and highlight opportunities for improved prevention and treatment of CVD in people with COPD. While we advocate more active assessment for signs of cardiovascular conditions across all age groups and all stages of COPD severity, we suggest targeting those aged under 65 years. Evidence indicates that the increased risks for CVD are particularly pronounced in COPD patients in mid-to-late-middle-age and thus it is in this age group that the benefits of early intervention may prove to be the most effective. PMID:29355081

  11. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    PubMed

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (<100 nm) coatings can easily be obtained by chemical vapor deposition (CVD) for a variety of technological applications. Room temperature modification with functional polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. CVD2014-A Database for Evaluating No-Reference Video Quality Assessment Algorithms.

    PubMed

    Nuutinen, Mikko; Virtanen, Toni; Vaahteranoksa, Mikko; Vuori, Tero; Oittinen, Pirkko; Hakkinen, Jukka

    2016-07-01

    In this paper, we present a new video database: CVD2014-Camera Video Database. In contrast to previous video databases, this database uses real cameras rather than introducing distortions via post-processing, which results in a complex distortion space in regard to the video acquisition process. CVD2014 contains a total of 234 videos that are recorded using 78 different cameras. Moreover, this database contains the observer-specific quality evaluation scores rather than only providing mean opinion scores. We have also collected open-ended quality descriptions that are provided by the observers. These descriptions were used to define the quality dimensions for the videos in CVD2014. The dimensions included sharpness, graininess, color balance, darkness, and jerkiness. At the end of this paper, a performance study of image and video quality algorithms for predicting the subjective video quality is reported. For this performance study, we proposed a new performance measure that accounts for observer variance. The performance study revealed that there is room for improvement regarding the video quality assessment algorithms. The CVD2014 video database has been made publicly available for the research community. All video sequences and corresponding subjective ratings can be obtained from the CVD2014 project page (http://www.helsinki.fi/psychology/groups/visualcognition/).

  13. Determination of element/Ca ratios in foraminifera and corals using cold- and hot-plasma techniques in inductively coupled plasma sector field mass spectrometry

    NASA Astrophysics Data System (ADS)

    Lo, Li; Shen, Chuan-Chou; Lu, Chia-Jung; Chen, Yi-Chi; Chang, Ching-Chih; Wei, Kuo-Yen; Qu, Dingchuang; Gagan, Michael K.

    2014-02-01

    We have developed a rapid and precise procedure for measuring multiple elements in foraminifera and corals by inductively coupled plasma sector field mass spectrometry (ICP-SF-MS) with both cold- [800 W radio frequency (RF) power] and hot- (1200 W RF power) plasma techniques. Our quality control program includes careful subsampling protocols, contamination-free workbench spaces, and refined plastic-ware cleaning process. Element/Ca ratios are calculated directly from ion beam intensities of 24Mg, 27Al, 43Ca, 55Mn, 57Fe, 86Sr, and 138Ba, using a standard bracketing method. A routine measurement time is 3-5 min per dissolved sample. The matrix effects of nitric acid, and Ca and Sr levels, are carefully quantified and overcome. There is no significant difference between data determined by cold- and hot-plasma methods, but the techniques have different advantages. The cold-plasma technique offers a more stable plasma condition and better reproducibility for ppm-level elements. Long-term 2-sigma relative standard deviations (2-RSD) for repeat measurements of an in-house coral standard are 0.32% for Mg/Ca and 0.43% for Sr/Ca by cold-plasma ICP-SF-MS, and 0.69% for Mg/Ca and 0.51% for Sr/Ca by hot-plasma ICP-SF-MS. The higher sensitivity and enhanced measurement precision of the hot-plasma procedure yields 2-RSD precision for μmol/mol trace elements of 0.60% (Mg/Ca), 9.9% (Al/Ca), 0.68% (Mn/Ca), 2.7% (Fe/Ca), 0.50% (Sr/Ca), and 0.84% (Ba/Ca) for an in-house foraminiferal standard. Our refined ICP-SF-MS technique, which has the advantages of small sample size (2-4 μg carbonate consumed) and fast sample throughput (5-8 samples/hour), should open the way to the production of high precision and high resolution geochemical records for natural carbonate materials.

  14. Development of Micro and Nano Crystalline CVD Diamond TL/OSL Radiation Detectors for Clinical Applications

    NASA Astrophysics Data System (ADS)

    Barboza-Flores, Marcelino

    2015-03-01

    Modern radiotherapy methods requires the use of high photon radiation doses delivered in a fraction to small volumes of cancer tumors. An accurate dose assessment for highly energetic small x-ray beams in small areas, as in stereotactic radiotherapy, is necessary to avoid damage to healthy tissue surrounding the tumor. Recent advances on the controlled synthesis of CVD diamond have demonstrated the possibility of using high quality micro and nano crystalline CVD as an efficient detector and dosimeter suitable for high energy photons and energetic particle beams. CVD diamond is a very attractive material for applications in ionizing radiation dosimetry, particularly in the biomedical field since the radiation absorption by a CVD diamond is very close to that of soft tissue. Furthermore, diamond is stable, non-toxic and radiation hard. In the present work we discuss the CVD diamond properties and dosimeter performance and discuss its relevance and advantages of various dosimetry methods, including thermally stimulated luminescence (TL) as well as optically stimulated luminescence (OSL). The recent CVD improved method of growth allows introducing precisely controlled impurities into diamond to provide it with high dosimetry sensitivity. For clinical dosimetry applications, high accuracy of dose measurements, low fading, high sensitivity, good reproducibility and linear dose response characteristics are very important parameters which all are found in CVD diamonds specimens. In some cases, dose linearity and reproducibility in CVD diamond have been found to be higher than standard commercial TLD materials like LiF. In the present work, we discuss the state-of-the art developments in dosimetry applications using CVD diamond. The financial support from Conacyt (Mexico) is greatly acknowledged

  15. Liquid impact and fracture of free-standing CVD diamond

    NASA Astrophysics Data System (ADS)

    Kennedy, Claire F.; Telling, Robert H.; Field, John E.

    1999-07-01

    The Cavendish Laboratory has developed extensive facilities for studies of liquid and solid particle erosion. This paper describes the high-speed liquid impact erosion of thin CVD diamond discs and the variation with grain sizes of the absolute damage threshold velocity (ADTV), viz., the threshold below which the specimen shows no damage. All specimens fail by rear surface cracking and there is shown to be a shallow dependence of rear surface ADTV on grain size. Fracture propagation in CVD diamond has also been monitored using a specially-designed double-torsion apparatus and data for K1C are presented. Tentatively, the results suggest that finer-grained CVD diamond exhibits a higher fracture toughness, although the differences are slight even over a fourfold variation in the mean grain size. No preference for intergranular fracture was observed and one may conclude from this that the grain boundaries themselves do not seriously weaken the material. The large pre-existing flaws, both within and between grains, whose size varies the grain size are believed to be the dominant source of weakness.

  16. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  17. Proteome changes in rat plasma in response to sibutramine.

    PubMed

    Choi, Jung-Won; Joo, Jeong In; Kim, Dong Hyun; Wang, Xia; Oh, Tae Seok; Choi, Duk Kwon; Yun, Jong Won

    2011-04-01

    Sibutramine is an anti-obesity agent that induces weight loss by selective inhibition of neuronal reuptake of serotonin and norepinephrine; however, it is associated with the risk of cardiovascular diseases (CVD), including heart attack and stroke. Here, we analyzed global protein expression patterns in plasma of control and sibutramine-treated rats using proteomic analysis for a better understanding of the two conflicting functions of this drug, appetite regulation, and cardiovascular risk. The control (n=6) and sibutramine-treated groups (n=6) were injected by vehicle and sibutramine, respectively, and 2-DE combined with MALDI-TOF/MS were performed. Compared to control rats, sibutramine-administered rats gained approximately 18% less body weight and consumed about 13% less food. Plasma leptin and insulin levels also showed a significant decrease in sibutramine-treated rats. As a result of proteomic analysis, 23 differentially regulated proteins were discovered and were reconfirmed by immunoblot analysis. Changed proteins were classified into appetite regulation and cardiovascular risk, according to their regulation pattern. Because the differential levels of proteins that have been well recognized as predictors of CVD risk were not well matched with the results of our proteomic analysis, this study does not conclusively prove that sibutramine has an effect on CVD risk. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Plasma boriding of a cobalt-chromium alloy as an interlayer for nanostructured diamond growth

    NASA Astrophysics Data System (ADS)

    Johnston, Jamin M.; Jubinsky, Matthew; Catledge, Shane A.

    2015-02-01

    Chemical vapor deposited (CVD) diamond coatings can potentially improve the wear resistance of cobalt-chromium medical implant surfaces, but the high cobalt content in these alloys acts as a catalyst to form graphitic carbon. Boriding by high temperature liquid baths and powder packing has been shown to improve CVD diamond compatibility with cobalt alloys. We use the microwave plasma-enhanced (PE) CVD process to deposit interlayers composed primarily of the borides of cobalt and chromium. The use of diborane (B2H6) in the plasma feedgas allows for the formation of a robust boride interlayer for suppressing graphitic carbon during subsequent CVD of nano-structured diamond (NSD). This metal-boride interlayer is shown to be an effective diffusion barrier against elemental cobalt for improving nucleation and adhesion of NSD coatings on a CoCrMo alloy. Migration of elemental cobalt to the surface of the interlayer is significantly reduced and undetectable on the surface of the subsequently-grown NSD coating. The effects of PECVD boriding are compared for a range of substrate temperatures and deposition times and are evaluated using glancing-angle X-ray diffraction (XRD), cross-sectional scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), and micro-Raman spectroscopy. Boriding of CoCrMo results in adhered nanostructured diamond coatings with low surface roughness.

  19. Universal Design: Supporting Students with Color Vision Deficiency (CVD) in Medical Education

    ERIC Educational Resources Information Center

    Meeks, Lisa M.; Jain, Neera R.; Herzer, Kurt R.

    2016-01-01

    Color Vision Deficiency (CVD) is a commonly occurring condition in the general population. For medical students, it has the potential to create unique challenges in the classroom and clinical environments. Few studies have provided medical educators with comprehensive recommendations to assist students with CVD. This article presents a focused…

  20. CVD of SiC and AlN using cyclic organometallic precursors

    NASA Technical Reports Server (NTRS)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  1. A beam radiation monitor based on CVD diamonds for SuperB

    NASA Astrophysics Data System (ADS)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  2. Tractable Chemical Models for CVD of Silicon and Carbon

    NASA Technical Reports Server (NTRS)

    Blanquet, E.; Gokoglu, S. A.

    1993-01-01

    Tractable chemical models are validated for the CVD of silicon and carbon. Dilute silane (SiH4) and methane (CH4) in hydrogen are chosen as gaseous precursors. The chemical mechanism for each systems Si and C is deliberately reduced to three reactions in the models: one in the gas phase and two at the surface. The axial-flow CVD reactor utilized in this study has well-characterized flow and thermal fields and provides variable deposition rates in the axial direction. Comparisons between the experimental and calculated deposition rates are made at different pressures and temperatures.

  3. CVD Growth of Carbon Nanotubes: Structure, Catalyst, and Growth

    NASA Technical Reports Server (NTRS)

    Delzeit, Lance

    2003-01-01

    Carbon nanotubes (CNTs) exhibit extraordinary mechanical and unique electronic properties and hence have been receiving much attention in recent years for their potential in nanoelectronics, field emission devices, scanning probes, high strength composites and many more applications. Catalytic decomposition of hydrocarbon feedstock with the aid of supported transition metal catalysts - also known as chemical vapor deposition (CVD) - has become popular to produce single-walled and multi-walled nanotubes (SWNTs, MWNTs) and multiwalled nanofibers (MWNFs). The ability to grow CNTs on patterned substrates and in vertically aligned arrays, and the simplicity of the process, has made CVD growth of CNTs an attractive approach.

  4. CVD diamond pixel detectors for LHC experiments

    NASA Astrophysics Data System (ADS)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A. M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J. C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N.; RD42 Collaboration

    1999-08-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described.

  5. ZnO synthesis by high vacuum plasma-assisted chemical vapor deposition using dimethylzinc and atomic oxygen

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Hand, Steve; Leaf, Jackie; Wolden, Colin A.

    2004-09-01

    Zinc oxide thin films were produced by high vacuum plasma-assisted chemical vapor deposition (HVP-CVD) from dimethylzinc (DMZn) and atomic oxygen. HVP-CVD is differentiated from conventional remote plasma-enhanced CVD in that the operating pressures of the inductively coupled plasma (ICP) source and the deposition chamber are decoupled. Both DMZn and atomic oxygen effuse into the deposition chamber under near collisionless conditions. The deposition rate was measured as a function of DMZn and atomic oxygen flux on glass and silicon substrates. Optical emission spectroscopy and quadrupole mass spectrometry (QMS) were used to provide real time analysis of the ICP source and the deposition chamber. The deposition rate was found to be first order in DMZn pressure and zero order in atomic oxygen density. All films demonstrated excellent transparency and were preferentially orientated along the c-axis. The deposition chemistry occurs exclusively through surface-mediated reactions, since the collisionless transport environment eliminates gas-phase chemistry. QMS analysis revealed that DMZn was almost completely consumed, and desorption of unreacted methyl radicals was greatly accelerated in the presence of atomic oxygen. Negligible zinc was detected in the gas phase, suggesting that Zn was efficiently consumed on the substrate and walls of the reactor.

  6. The long term financial impacts of CVD: living standards in retirement.

    PubMed

    Schofield, Deborah; Kelly, Simon; Shrestha, Rupendra; Passey, Megan; Callander, Emily; Percival, Richard

    2012-03-22

    Cardiovascular disease (CVD) has significant economic costs, however these are generally estimated for the present-time and little consideration is given to the long term economic consequences. This study estimates the value of savings those who retire early due to CVD will have accumulated by the time they reach the traditional retirement age of 65 years, and how much lower the value of these savings are compared to those who remained healthy and in the workforce. Using Health&WealthMOD - a microsimulation model of Australians aged 45 to 64 years, regression models were used to analyse the differences between the projected savings and the retirement incomes of people by the time they reach age 65 for those currently working with no chronic condition, and people not in the labour force due to CVD. Over 99% of individuals who are employed full-time will have accumulated some savings at age 65; whereas only 77% of those who are out of the labour force due to CVD will have done so. Those who retire early due to CVD will have a median value of total savings by the time they are 65 of $1833. This is far lower than the expected median value of savings for those who remained in the labour force full-time, who will have $281841 of savings. Not only will early retirement due to cardiovascular disease limit the immediate income and wealth available to individuals, but also reduce their long term financial capacity by reducing their savings. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  7. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Miyake, Shojiro; Wu, Richard L. C.

    1998-01-01

    The main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) cubic MM /(N*m), respectively. Carbon- and nitrogen-ion-implanted, fine-grain, chemical-vapor-deposited (CVD) diamond and diamondlike carbon (DLC) ion beam deposited on fine-grain CVD diamond met the criteria regardless of environment (vacuum, nitrogen, and air).

  8. Prevalence of undiagnosed cardiovascular risk factors and 10-year CVD risk in male steel industry workers.

    PubMed

    Gray, Benjamin J; Bracken, Richard M; Turner, Daniel; Morgan, Kerry; Mellalieu, Stephen D; Thomas, Michael; Williams, Sally P; Williams, Meurig; Rice, Sam; Stephens, Jeffrey W

    2014-05-01

    To assess the prevalence of undiagnosed cardiovascular disease (CVD) in a cohort of male steelworkers in South Wales, UK. Male steel industry workers (n = 221) with no prior diagnosis of CVD or diabetes accepted a CVD risk assessment within the work environment. Demographic, anthropometric, family, and medical histories were all recorded and capillary blood samples obtained. The 10-year CVD risk was predicted using the QRISK2-2012 algorithm. Up to 81.5% of workers were either overweight or obese. More than 20% of workers were found to have diastolic hypertension, high total cholesterol, and/or a total cholesterol/high-density lipoprotein ratio of six or more. Over one quarter of workers assessed had an increased 10-year CVD risk. Despite a physically demanding occupation, risk assessment in the workplace uncovered significant occult factors in CVD risk in a sample of male heavy industry workers.

  9. COMPARATIVE EVALUATION OF RISK FACTORS FOR CARDIOVASCULAR DISEASE (CVD) IN GENETICALLY PREDISPOSED RATS

    EPA Science Inventory

    Rodent CVD models are increasingly used for understanding individual differences in susceptibility to environmental stressors such as air pollution. We characterized pathologies and a number of known human risk factors of CVD in genetically predisposed, male young adult Spontaneo...

  10. Higher plasma CXCL12 levels predict incident myocardial infarction and death in chronic kidney disease: findings from the Chronic Renal Insufficiency Cohort study.

    PubMed

    Mehta, Nehal N; Matthews, Gregory J; Krishnamoorthy, Parasuram; Shah, Rhia; McLaughlin, Catherine; Patel, Parth; Budoff, Matthew; Chen, Jing; Wolman, Melanie; Go, Alan; He, Jiang; Kanetsky, Peter A; Master, Stephen R; Rader, Daniel J; Raj, Dominic; Gadegbeku, Crystal A; Shah, Rachana; Schreiber, Marty; Fischer, Michael J; Townsend, Raymond R; Kusek, John; Feldman, Harold I; Foulkes, Andrea S; Reilly, Muredach P

    2014-08-14

    Genome-wide association studies revealed an association between a locus at 10q11, downstream from CXCL12, and myocardial infarction (MI). However, the relationship among plasma CXCL12, cardiovascular disease (CVD) risk factors, incident MI, and death is unknown. We analysed study-entry plasma CXCL12 levels in 3687 participants of the Chronic Renal Insufficiency Cohort (CRIC) Study, a prospective study of cardiovascular and kidney outcomes in chronic kidney disease (CKD) patients. Mean follow-up was 6 years for incident MI or death. Plasma CXCL12 levels were positively associated with several cardiovascular risk factors (age, hypertension, diabetes, hypercholesterolaemia), lower estimated glomerular filtration rate (eGFR), and higher inflammatory cytokine levels (P < 0.05). In fully adjusted models, higher study-entry CXCL12 was associated with increased odds of prevalent CVD (OR 1.23; 95% confidence interval 1.14, 1.33, P < 0.001) for one standard deviation (SD) increase in CXCL12. Similarly, one SD higher CXCL12 increased the hazard of incident MI (1.26; 1.09,1.45, P < 0.001), death (1.20; 1.09,1.33, P < 0.001), and combined MI/death (1.23; 1.13-1.34, P < 0.001) adjusting for demographic factors, known CVD risk factors, and inflammatory markers and remained significant for MI (1.19; 1.03,1.39, P = 0.01) and the combined MI/death (1.13; 1.03,1.24, P = 0.01) after further controlling for eGFR and urinary albumin:creatinine ratio. In CKD, higher plasma CXCL12 was associated with CVD risk factors and prevalent CVD as well as the hazard of incident MI and death. Further studies are required to establish if plasma CXCL12 reflect causal actions at the vessel wall and is a tool for genomic and therapeutic trials. Published by Oxford University Press on behalf of the European Society of Cardiology 2013. This work is written by (a) US Government employee(s) and is in the public domain in the US.

  11. Plasma clot lysis time and its association with cardiovascular risk factors in black Africans.

    PubMed

    de Lange, Zelda; Pieters, Marlien; Jerling, Johann C; Kruger, Annamarie; Rijken, Dingeman C

    2012-01-01

    Studies in populations of European descent show longer plasma clot lysis times (CLT) in patients with cardiovascular disease (CVD) than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid urbanisation with increased CVD prevalence. We investigated associations between known CVD risk factors and CLT in black Africans and whether CLTs differ between rural and urban participants in light of differences in CVD risk.Data from 1000 rural and 1000 urban apparently healthy black South Africans (35-60 years) were cross-sectionally analysed.Increased PAI-1(act), BMI, HbA1c, triglycerides, the metabolic syndrome, fibrinogen concentration, CRP, female sex and positive HIV status were associated with increased CLTs, while habitual alcohol consumption associated with decreased CLT. No differences in CLT were found between age and smoking categories, contraceptive use or hyper- and normotensive participants. Urban women had longer CLT than rural women while no differences were observed for men.CLT was associated with many known CVD risk factors in black Africans. Differences were however observed, compared to data from populations of European descent available in the literature, suggesting possible ethnic differences. The effect of urbanisation on CLT is influenced by traditional CVD risk factors and their prevalence in urban and rural communities.

  12. Plasma Clot Lysis Time and Its Association with Cardiovascular Risk Factors in Black Africans

    PubMed Central

    Jerling, Johann C.; Kruger, Annamarie; Rijken, Dingeman C.

    2012-01-01

    Studies in populations of European descent show longer plasma clot lysis times (CLT) in patients with cardiovascular disease (CVD) than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid urbanisation with increased CVD prevalence. We investigated associations between known CVD risk factors and CLT in black Africans and whether CLTs differ between rural and urban participants in light of differences in CVD risk. Data from 1000 rural and 1000 urban apparently healthy black South Africans (35–60 years) were cross-sectionally analysed. Increased PAI-1act, BMI, HbA1c, triglycerides, the metabolic syndrome, fibrinogen concentration, CRP, female sex and positive HIV status were associated with increased CLTs, while habitual alcohol consumption associated with decreased CLT. No differences in CLT were found between age and smoking categories, contraceptive use or hyper- and normotensive participants. Urban women had longer CLT than rural women while no differences were observed for men. CLT was associated with many known CVD risk factors in black Africans. Differences were however observed, compared to data from populations of European descent available in the literature, suggesting possible ethnic differences. The effect of urbanisation on CLT is influenced by traditional CVD risk factors and their prevalence in urban and rural communities. PMID:23145007

  13. CVD diamond substrate for microelectronics. Final report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Burden, J.; Gat, R.

    1996-11-01

    Chemical Vapor Deposition (CVD) of diamond films has evolved dramatically in recent years, and commercial opportunities for diamond substrates in thermal management applications are promising. The objective of this technology transfer initiative (TTI) is for Applied Science and Technology, Inc. (ASTEX) and AlliedSignal Federal Manufacturing and Technologies (FM&T) to jointly develop and document the manufacturing processes and procedures required for the fabrication of multichip module circuits using CVD diamond substrates, with the major emphasis of the project concentrating on lapping/polishing prior to metallization. ASTEX would provide diamond films for the study, and FM&T would use its experience in lapping, polishing,more » and substrate metallization to perform secondary processing on the parts. The primary goal of the project was to establish manufacturing processes that lower the manufacturing cost sufficiently to enable broad commercialization of the technology.« less

  14. An inexpensive technique for the time resolved laser induced plasma spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, Rizwan, E-mail: rizwan.ahmed@ncp.edu.pk; Ahmed, Nasar; Iqbal, J.

    We present an efficient and inexpensive method for calculating the time resolved emission spectrum from the time integrated spectrum by monitoring the time evolution of neutral and singly ionized species in the laser produced plasma. To validate our assertion of extracting time resolved information from the time integrated spectrum, the time evolution data of the Cu II line at 481.29 nm and the molecular bands of AlO in the wavelength region (450–550 nm) have been studied. The plasma parameters were also estimated from the time resolved and time integrated spectra. A comparison of the results clearly reveals that the time resolved informationmore » about the plasma parameters can be extracted from the spectra registered with a time integrated spectrograph. Our proposed method will make the laser induced plasma spectroscopy robust and a low cost technique which is attractive for industry and environmental monitoring.« less

  15. Association of BMI with risk of CVD mortality and all-cause mortality.

    PubMed

    Kee, Chee Cheong; Sumarni, Mohd Ghazali; Lim, Kuang Hock; Selvarajah, Sharmini; Haniff, Jamaiyah; Tee, Guat Hiong Helen; Gurpreet, Kaur; Faudzi, Yusoff Ahmad; Amal, Nasir Mustafa

    2017-05-01

    To determine the relationship between BMI and risk of CVD mortality and all-cause mortality among Malaysian adults. Population-based, retrospective cohort study. Participants were followed up for 5 years from 2006 to 2010. Mortality data were obtained via record linkages with the Malaysian National Registration Department. Multiple Cox regression was applied to compare risk of CVD and all-cause mortality between BMI categories adjusting for age, gender and ethnicity. Models were generated for all participants, all participants the first 2 years of follow-up, healthy participants, healthy never smokers, never smokers, current smokers and former smokers. All fourteen states in Malaysia. Malaysian adults (n 32 839) aged 18 years or above from the third National Health and Morbidity Survey. Total follow-up time was 153 814 person-years with 1035 deaths from all causes and 225 deaths from CVD. Underweight (BMI<18·5 kg/m2) was associated with a significantly increased risk of all-cause mortality, while obesity (BMI ≥30·0 kg/m2) was associated with a heightened risk of CVD mortality. Overweight (BMI=25·0-29·9 kg/m2) was inversely associated with risk of all-cause mortality. Underweight was significantly associated with all-cause mortality in all models except for current smokers. Overweight was inversely associated with all-cause mortality in all participants. Although a positive trend was observed between BMI and CVD mortality in all participants, a significant association was observed only for severe obesity (BMI≥35·0 kg/m2). Underweight was associated with increased risk of all-cause mortality and obesity with increased risk of CVD mortality. Therefore, maintaining a normal BMI through leading an active lifestyle and healthy dietary habits should continue to be promoted.

  16. Mechanism of high growth rate for diamond-like carbon films synthesized by helicon wave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Peiyu, JI; Jun, YU; Tianyuan, HUANG; Chenggang, JIN; Yan, YANG; Lanjian, ZHUGE; Xuemei, WU

    2018-02-01

    A high growth rate fabrication of diamond-like carbon (DLC) films at room temperature was achieved by helicon wave plasma chemical vapor deposition (HWP-CVD) using Ar/CH4 gas mixtures. The microstructure and morphology of the films were characterized by Raman spectroscopy and scanning electron microscopy. The diagnosis of plasma excited by a helicon wave was measured by optical emission spectroscopy and a Langmuir probe. The mechanism of high growth rate fabrication for DLC films by HWP-CVD has been discussed. The growth rate of the DLC films reaches a maximum value of 54 μm h-1 at the CH4 flow rate of 85 sccm, which is attributed to the higher plasma density during the helicon wave plasma discharge. The CH and H α radicals play an important role in the growth of DLC films. The results show that the H α radicals are beneficial to the formation and stabilization of C=C bond from sp2 to sp3.

  17. Ethylene Gas Sensing Properties of Tin Oxide Nanowires Synthesized via CVD Method

    NASA Astrophysics Data System (ADS)

    Akhir, Maisara A. M.; Mohamed, Khairudin; Rezan, Sheikh A.; Arafat, M. M.; Haseeb, A. S. M. A.; Uda, M. N. A.; Nuradibah, M. A.

    2018-03-01

    This paper studies ethylene gas sensing performance of tin oxide (SnO2) nanowires (NWs) as sensing material synthesized using chemical vapor deposition (CVD) technique. The effect of NWs diameter on ethylene gas sensing characteristics were investigated. SnO2 NWs with diameter of ∼40 and ∼240 nm were deposited onto the alumina substrate with printed gold electrodes and tested for sensing characteristic toward ethylene gas. From the finding, the smallest diameter of NWs (42 nm) exhibit fast response and recovery time and higher sensitivity compared to largest diameter of NWs (∼240 nm). Both sensor show good reversibility features for ethylene gas sensor.

  18. Coffee consumption is not associated with prevalent subclinical cardiovascular disease (CVD) or the risk of CVD events, in nonalcoholic fatty liver disease: results from the multi-ethnic study of atherosclerosis.

    PubMed

    Simon, Tracey G; Trejo, Maria Esther Perez; Zeb, Irfan; Frazier-Wood, Alexis C; McClelland, Robyn L; Chung, Raymond T; Budoff, Matthew J

    2017-10-01

    Atherosclerosis and its clinical sequelae represent the leading cause of mortality among patients with nonalcoholic fatty liver disease (NAFLD). While epidemiologic data support the hepatoprotective benefits of coffee in NAFLD, whether coffee improves NAFLD-associated CVD risk is unknown. We examined 3710 ethnically-diverse participants from the Multi-Ethnic Study of Atherosclerosis (MESA) cohort, without history of known liver disease, and with available coffee data from a validated 120-item food frequency questionnaire. All participants underwent baseline non-contrast cardiac CT from which NAFLD was defined by liver:spleen ratio (L:S<1.0), and subclinical CVD was defined by coronary artery calcium (CAC)>0. Major CVD events were defined by the first occurrence of myocardial infarction, cardiac arrest, angina, stroke, or CVD death. We used log-binomial regression to calculate the adjusted prevalence ratio (PR) for CAC>0 by coffee intake and NAFLD status, and events were compared between groups using frequency of events within adjusted Cox proportional hazard regression models. Seventeen percent (N=637) of participants met criteria for NAFLD. NAFLD participants were more likely to have elevated BMI (mean 31.1±5.5kg/m 2 vs. 28.0±5.2kg/m 2 , p<0.0001), and diabetes (22% vs. 11%, p<0.0001), but did not differ in daily coffee consumption (p=0.97). Among NAFLD participants, coffee consumption was not associated with prevalent, baseline CAC>0 (PR=1.02 [0.98-1.07]). Over 12.8years of follow-up, 93 NAFLD and 415 non-NAFLD participants experienced a CV event. However, coffee intake was not associated with incident CVD events, in either NAFLD (HR=1.05 [0.91-1.21]) or non-NAFLD participants (HR=1.03 [0.97-1.11]). In a large, population-based cohort, coffee consumption was not associated with the prevalence of subclinical CVD, nor did coffee impact the future risk of major CVD events, regardless of underlying NAFLD status. Copyright © 2017 Elsevier Inc. All rights reserved.

  19. Mapping eQTLs in the Norfolk Island Genetic Isolate Identifies Candidate Genes for CVD Risk Traits

    PubMed Central

    Benton, Miles C.; Lea, Rod A.; Macartney-Coxson, Donia; Carless, Melanie A.; Göring, Harald H.; Bellis, Claire; Hanna, Michelle; Eccles, David; Chambers, Geoffrey K.; Curran, Joanne E.; Harper, Jacquie L.; Blangero, John; Griffiths, Lyn R.

    2013-01-01

    Cardiovascular disease (CVD) affects millions of people worldwide and is influenced by numerous factors, including lifestyle and genetics. Expression quantitative trait loci (eQTLs) influence gene expression and are good candidates for CVD risk. Founder-effect pedigrees can provide additional power to map genes associated with disease risk. Therefore, we identified eQTLs in the genetic isolate of Norfolk Island (NI) and tested for associations between these and CVD risk factors. We measured genome-wide transcript levels of blood lymphocytes in 330 individuals and used pedigree-based heritability analysis to identify heritable transcripts. eQTLs were identified by genome-wide association testing of these transcripts. Testing for association between CVD risk factors (i.e., blood lipids, blood pressure, and body fat indices) and eQTLs revealed 1,712 heritable transcripts (p < 0.05) with heritability values ranging from 0.18 to 0.84. From these, we identified 200 cis-acting and 70 trans-acting eQTLs (p < 1.84 × 10−7) An eQTL-centric analysis of CVD risk traits revealed multiple associations, including 12 previously associated with CVD-related traits. Trait versus eQTL regression modeling identified four CVD risk candidates (NAAA, PAPSS1, NME1, and PRDX1), all of which have known biological roles in disease. In addition, we implicated several genes previously associated with CVD risk traits, including MTHFR and FN3KRP. We have successfully identified a panel of eQTLs in the NI pedigree and used this to implicate several genes in CVD risk. Future studies are required for further assessing the functional importance of these eQTLs and whether the findings here also relate to outbred populations. PMID:24314549

  20. Effect of age, gender, ethnicity, socioeconomic status and region on dispensing of CVD secondary prevention medication in New Zealand: the Atlas of Health Care Variation CVD cohort (VIEW-1).

    PubMed

    Kerr, Andrew; Exeter, Dan; Hanham, Grant; Grey, Corina; Zhao, Jinfeng; Riddell, Tania; Lee, Mildred; Jackson, Rod; Wells, Sue

    2014-08-15

    Triple therapy with anti-platelet/anti-coagulant, blood pressure (BP)-lowering, and statin medications improves outcomes in atherosclerotic cardiovascular disease (CVD). However, in practice there is often a substantial evidence-practice gap, with sub-optimal initiation and longer-term adherence. Our aim was to enumerate a contemporary national cohort of people with significant CVD and report the variation in CVD secondary prevention dispensing by demographic variables. Using anonymised linkage of national data sets, we identified 86,256 individuals, alive and residing in New Zealand at the end of 2010, aged 30-79 years who were hospitalised for an atherosclerotic CVD event or procedure in the previous10 years. This cohort was linked to the national pharmaceutical dispensing dataset to assess dispensing of CVD prevention medications during the 2011 calendar year. Adequate dispensing was defined as being dispensed a drug in at least 3 of the 4 quarters of the year. Multivariate regression was used to identify independent predictors of adequate dispensing. 59% were maintained on triple therapy, 77% on BP-lowering medication, 75% on anti-platelet/anti-coagulants and 70% on statins. From multivariate analysis, patients less than 50 years were about 20% less likely than older patients and women were 10% less likely than men to be maintained on triple therapy. Indian patients were about 10% more likely to be maintained on triple therapy than NZ European/Others. Those living in the Southern Cardiac Network region of New Zealand had slightly higher rates of triple therapy than National Cardiac Regions further north. The significant under-utilisation of safe and inexpensive secondary prevention medication, particularly in younger people and women, provides an opportunity to improve CVD outcomes in this easily identifiable high-risk population.

  1. Predictive Properties of Plasma Amino Acid Profile for Cardiovascular Disease in Patients with Type 2 Diabetes

    PubMed Central

    Kume, Shinji; Araki, Shin-ichi; Ono, Nobukazu; Shinhara, Atsuko; Muramatsu, Takahiko; Araki, Hisazumi; Isshiki, Keiji; Nakamura, Kazuki; Miyano, Hiroshi; Koya, Daisuke; Haneda, Masakazu; Ugi, Satoshi; Kawai, Hiromichi; Kashiwagi, Atsunori; Uzu, Takashi; Maegawa, Hiroshi

    2014-01-01

    Prevention of cardiovascular disease (CVD) is an important therapeutic object of diabetes care. This study assessed whether an index based on plasma free amino acid (PFAA) profiles could predict the onset of CVD in diabetic patients. The baseline concentrations of 31 PFAAs were measured with high-performance liquid chromatography-electrospray ionization-mass spectrometry in 385 Japanese patients with type 2 diabetes registered in 2001 for our prospective observational follow-up study. During 10 years of follow-up, 63 patients developed cardiovascular composite endpoints (myocardial infarction, angina pectoris, worsening of heart failure and stroke). Using the PFAA profiles and clinical information, an index (CVD-AI) consisting of six amino acids to predict the onset of any endpoints was retrospectively constructed. CVD-AI levels were significantly higher in patients who did than did not develop CVD. The area under the receiver-operator characteristic curve of CVD-AI (0.72 [95% confidence interval (CI): 0.64–0.79]) showed equal or slightly better discriminatory capacity than urinary albumin excretion rate (0.69 [95% CI: 0.62–0.77]) on predicting endpoints. A multivariate Cox proportional hazards regression analysis showed that the high level of CVD-AI was identified as an independent risk factor for CVD (adjusted hazard ratio: 2.86 [95% CI: 1.57–5.19]). This predictive effect of CVD-AI was observed even in patients with normoalbuminuria, as well as those with albuminuria. In conclusion, these results suggest that CVD-AI based on PFAA profiles is useful for identifying diabetic patients at risk for CVD regardless of the degree of albuminuria, or for improving the discriminative capability by combining it with albuminuria. PMID:24971671

  2. Formation of nanocrystalline diamond in polymer like carbon films deposited by plasma CVD.

    PubMed

    Bhaduri, A; Chaudhuri, P

    2009-09-01

    Conventional plasma enhanced chemical vapour deposition (PECVD) method is generally not suitable for the growth of nanocrystalline diamond (NCD) films. However, our study shows that conditions favourable for powder formation help to grow large amount of nanocrystallites in conventional PECVD. With CH4 as the carbon source gas, dilution with Ar and moderate (50 W) rf power enhances formations of powders (nanoparticles) and C2 dimers within the plasma. On the other hand, with pure CH4 or with hydrogen diluted CH4, powder formation as also NCD growth is hindered. It is proposed that the nanoparticles formed in the plasma act as the "islands" while the C2 dimers are the "seeds" for the NCD growth. The structure of the films deposited on the grounded anode under different conditions of dilution has been studied. It is observed that with high Ar dilution the films contain NCD embedded in polymer like carbon (PLC) matrix.

  3. Use of Kelvin probe force microscopy for identification of CVD grown graphene flakes on copper foil

    NASA Astrophysics Data System (ADS)

    Kumar, Rakesh; Mehta, B. R.; Kanjilal, D.

    2017-05-01

    Graphene flakes have been grown by chemical vapour deposition (CVD) method on Cu foils. The obtained graphene flakes have been characterized by optical microscopy, field emission scanning electron microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy. The graphene flakes grown on Cu foil comprise mainly single layer graphene and confirm that the nucleation for graphene growth starts very quickly. Moreover, KPFM has been found to be a valuable technique to differentiate between covered and uncovered portion of Cu foil by graphene flakes deposited for shorter duration. The results show that KPFM can be a very useful technique in understanding the mechanism of graphene growth.

  4. Movers and stayers: The geography of residential mobility and CVD hospitalisations in Auckland, New Zealand.

    PubMed

    Exeter, Daniel J; Sabel, Clive E; Hanham, Grant; Lee, Arier C; Wells, Susan

    2015-05-01

    The association between area-level disadvantage and health and social outcomes is unequivocal. However, less is known about the health impact of residential mobility, particularly at intra-urban scales. We used an encrypted National Health Index (eNHI) number to link individual-level data recorded in routine national health databases to construct a cohort of 641,532 participants aged 30+ years to investigate the association between moving and CVD hospitalisations in Auckland, New Zealand. Residential mobility was measured for participants according to changes in the census Meshblock of usual residence, obtained from the Primary Health Organisation (PHO) database for every calendar quarter between 1/1/2006 and 31/12/2012. The NZDep2006 area deprivation score at the start and end of a participant's inclusion in the study was used to measure deprivation mobility. We investigated the relative risk of movers being hospitalised for CVD relative to stayers using multi-variable binomial regression models, controlling for age, gender, deprivation and ethnicity. Considered together, movers were 1.22 (1.19-1.26) times more likely than stayers to be hospitalised for CVD. Using the 5×5 deprivation origin-destination matrix to model a patient's risk of CVD based on upward, downward or sideways deprivation mobility, movers within the least deprived (NZDep2006 Quintile 1) areas were 10% less likely than stayers to be hospitalised for CVD, while movers within the most deprived (NZDep2006 Q5) areas were 45% more likely than stayers to have had their first CVD hospitalisation in 2006-2012 (RR: 1.45 [1.35-1.55]). Participants who moved upward also had higher relative risks of having a CVD event, although their risk was less than those observed for participants experiencing downward deprivation mobility. This research suggests that residential mobility is an important determinant of CVD in Auckland. Further investigation is required to determine the impact moving has on the risk of

  5. Erosion behavior of CVD 3C silicon carbide in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Brooks, Mitchell R.

    2010-11-01

    An electrostatic, capacitively coupled Planar Ion Flux (PIF) probe has been developed as a sensor for use in high volume reactive ion etch (RIE) chambers. An important factor in the design is the material used for the probe collection area that is exposed to the plasma. For use in inductively coupled plasma chambers, bulk-deposited, 3C silicon carbide (SiC) was chosen. The primary objective of this work was to characterize the erosion behavior of the probe tip throughout repeated cycling for 100 RF hours (RFH). Surface morphology, roughness, and composition were documented at the beginning and end of cycling. In addition, the mass of the probe tip was documented three times throughout the experiment. This was used to calculate the wear rate which averaged ~100 mug/RFH. Although physical and chemical mechanisms were evident, it appears that preferential sputtering at pre-existing surface defects had the greatest influence on the erosion behavior. Additionally, an investigation into the sudden abnormal electrical behavior of the probe yielded the conclusion that the added capacitance of a deposited film reduces the number of data points in the ion saturation region used to fit the experimental data. This results in excessive values for extracted plasma parameters, most notably the electron temperature. However, this is only a temporary condition if the film can be removed.

  6. Predictors of CVD among breast cancer survivors in an integrated health system | Division of Cancer Prevention

    Cancer.gov

    PROJECT SUMMARY / ABSTRACT Breast cancer survivors are at high risk of developing and dying from cardiovascular disease (CVD) following breast cancer diagnosis, but subpopulations at increased risk and targets for intervention have not been well- characterized. A growing body of literature links CVD with specific cardiotoxic cancer treatments. CVD risk among breast cancer

  7. Performance of irradiated CVD diamond micro-strip sensors

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S. V.; Thomson, G. B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15/cm 2) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2×10 15 p/ cm2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9×10 15 π/ cm2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  8. THE RELATIONSHIP BETWEEN OZONE-INDUCED LUNG INJURY, ANTIOXIDANT COMPENSATION AND UNDERLYING CARDIOVASCULAR DISEASE (CVD).

    EPA Science Inventory

    Increased levels of oxidants and compromised compensatory response are associated with CVD susceptibility. We hypothesized that rat strains demonstrating genetic CVD will have lower levels of antioxidants and greater ozone-induced pulmonary injury relative to healthy strains. Mal...

  9. Plasma and Dietary Antioxidant Status as Cardiovascular Disease Risk Factors: A Review of Human Studies

    PubMed Central

    Wang, Ying; Chun, Ock K.; Song, Won O.

    2013-01-01

    Extensive evidence has demonstrated that many antioxidants such as vitamin C, vitamin E, carotenoids and polyphenols have protective effects in preventing cardiovascular disease (CVD), a chronic disease that is mediated by oxidative stress and inflammation. This review focuses on evidence from prospective cohort studies and clinical trials in regard to the associations between plasma/dietary antioxidants and cardiovascular events. Long-term, large-scale, population-based cohort studies have found that higher levels of serum albumin, bilirubin, glutathione, vitamin E, vitamin C, and carotenoids were associated with a lower risk of CVD. Evidence from the cohort studies in regard to dietary antioxidants also supported the protective effects of dietary vitamin E, vitamin C, carotenoids, and polyphenols on CVD risk. However, results from large randomized controlled trials did not support long-term use of single antioxidant supplements for CVD prevention due to their null or even adverse effects on major cardiovascular events or cancer. Diet quality indexes that consider overall diet quality rather than single nutrients have been drawing increasing attention. Cohort studies and intervention studies that focused on diet patterns such as high total antioxidant capacity have documented protective effects on CVD risk. This review provides a perspective for future studies that investigate antioxidant intake and risk of CVD. PMID:23912327

  10. Labour force participation and the influence of having CVD on income poverty of older workers.

    PubMed

    Schofield, Deborah J; Callander, Emily J; Shrestha, Rupendra N; Percival, Richard; Kelly, Simon J; Passey, Megan E

    2012-04-05

    In addition to being the leading cause of death, cardiovascular disease (CVD) also impacts upon the ability of individuals to function normally in everyday activities, which is likely to affect individuals' employment. This paper will quantify the relationship between labour force participation, CVD and being in poverty. The 2003 Survey of Disability, Ageing and Carers (SDAC) data were used to assess the impact of having CVD on being in poverty amongst the older working aged (aged 45 to 64) population in Australia. Those not in the labour force with no chronic health condition are 93% less likely to be in poverty than those not in the labour force due to CVD (OR 0.07, 95%CI: 0.07-0.07, p<.0001). The likelihood of being in poverty varies with labour force status for those with CVD: those who were either in full time (OR 0.04, 95% CI: 0.04-0.05, p<.0001) or part time (OR 0.19, 95% CI: 0.18-0.19) employment are significantly less likely to be in poverty than those who have had to retire because of the condition. The efforts to increase the labour force participation of individuals with CVD, or ideally prevent the onset of the condition will likely improve their living standards. This study has shown that having CVD and not being in the labour force because of the condition drastically increases the chances of living in poverty. Copyright © 2011 Elsevier Ireland Ltd. All rights reserved.

  11. Salivary Biomarkers of Chronic Psychosocial Stress and CVD Risks: A Systematic Review.

    PubMed

    An, Kyungeh; Salyer, Jeanne; Brown, Roy E; Kao, Hsueh-Fen Sabrina; Starkweather, Angela; Shim, Insop

    2016-05-01

    The use of salivary biomarkers in stress research is increasing, and the precision and accuracy with which researchers are able to measure these biomarkers have dramatically improved. Chronic psychosocial stress is often linked to the pathogenesis of cardiovascular disease (CVD). Salivary biomarkers represent a noninvasive biological method of characterizing the stress phenomenon that may help to more fully describe the mechanism by which stress contributes to the pathogenesis and outcomes of CVD. We conducted a systematic review of 40 research articles to identify the salivary biomarkers researchers have most commonly used to help describe the biological impact of chronic psychosocial stress and explore its associations with CVD risk. We address strengths and weaknesses of specimen collection and measurement. We used PubMed, CINAHL, EBSCOhost, Web of Science, BIOSIS Previews, Biological Sciences (ProQuest), and Dissertations/Theses (ProQuest) to retrieve 387 initial articles. Once we applied our inclusion/exclusion criteria to specifically target adult human studies dealing with chronic stress rather than acute/laboratory-induced stress, 40 studies remained, which we synthesized using Preferred Reporting Items for Systematic Reviews and Meta-Analyses criteria. Cortisol was the biomarker used most frequently. Sources of psychosocial stress included job strain, low socioeconomic status, and environmental factors. Overall, psychosocial stress was associated with CVD risks such as vascular pathology (hypertension, blood pressure fluctuation, and carotid artery plaque) as well as metabolic factors such as abnormal blood glucose, dyslipidemia, and elevated cardiac enzymes. Diverse salivary biomarkers have been useful in stress research, particularly when linked to CVD risks. © The Author(s) 2015.

  12. Enhanced cold wall CVD reactor growth of horizontally aligned single-walled carbon nanotubes

    NASA Astrophysics Data System (ADS)

    Mu, Wei; Kwak, Eun-Hye; Chen, Bingan; Huang, Shirong; Edwards, Michael; Fu, Yifeng; Jeppson, Kjell; Teo, Kenneth; Jeong, Goo-Hwan; Liu, Johan

    2016-05-01

    HASynthesis of horizontally-aligned single-walled carbon nanotubes (HA-SWCNTs) by chemical vapor deposition (CVD) directly on quartz seems very promising for the fabrication of future nanoelectronic devices. In comparison to hot-wall CVD, synthesis of HA-SWCNTs in a cold-wall CVD chamber not only means shorter heating, cooling and growth periods, but also prevents contamination of the chamber. However, since most synthesis of HA-SWCNTs is performed in hot-wall reactors, adapting this well-established process to a cold-wall chamber becomes extremely crucial. Here, in order to transfer the CVD growth technology from a hot-wall to a cold-wall chamber, a systematic investigation has been conducted to determine the influence of process parameters on the HA-SWCNT's growth. For two reasons, the cold-wall CVD chamber was upgraded with a top heater to complement the bottom substrate heater; the first reason to maintain a more uniform temperature profile during HA-SWCNTs growth, and the second reason to preheat the precursor gas flow before projecting it onto the catalyst. Our results show that the addition of a top heater had a significant effect on the synthesis. Characterization of the CNTs shows that the average density of HA-SWCNTs is around 1 - 2 tubes/ μm with high growth quality as shown by Raman analysis. [Figure not available: see fulltext.

  13. Plasma deposition and surface modification techniques for wear resistance

    NASA Technical Reports Server (NTRS)

    Spalvins, T.

    1982-01-01

    The ion-assisted or plasma coating technology is discussed as it applies to the deposition of hard, wear resistant refractory compound films. Of the many sputtering and ion plating modes and configurations the reactive magnetron sputtering and the reactive triode ion plating techniques are the preferred ones to deposit wear resistant coatings for tribological applications. Both of these techniques incorporate additional means to enhance the ionization efficiency and chemical reaction to precision tailor desirable tribological characteristics. Interrelationships between film formation, structure, and ribological properties are strictly controlled by the deposition parameters and the substrate condition. The enhanced ionization contributes to the excellent adherence and coherence, reduced internal stresses and improved structural growth to form dense, cohesive, equiaxed grain structure for improved wear resistance and control.

  14. Fast method for reactor and feature scale coupling in ALD and CVD

    DOEpatents

    Yanguas-Gil, Angel; Elam, Jeffrey W.

    2017-08-08

    Transport and surface chemistry of certain deposition techniques is modeled. Methods provide a model of the transport inside nanostructures as a single-particle discrete Markov chain process. This approach decouples the complexity of the surface chemistry from the transport model, thus allowing its application under general surface chemistry conditions, including atomic layer deposition (ALD) and chemical vapor deposition (CVD). Methods provide for determination of determine statistical information of the trajectory of individual molecules, such as the average interaction time or the number of wall collisions for molecules entering the nanostructures as well as to track the relative contributions to thin-film growth of different independent reaction pathways at each point of the feature.

  15. A diet rich in high-glucoraphanin broccoli interacts with genotype to reduce discordance in plasma metabolite profiles by modulating mitochondrial function123

    PubMed Central

    Armah, Charlotte N; Traka, Maria H; Dainty, Jack R; Defernez, Marianne; Janssens, Astrid; Leung, Wing; Doleman, Joanne F; Potter, John F

    2013-01-01

    Background: Observational and experimental studies suggest that diets rich in cruciferous vegetables and glucosinolates may reduce the risk of cancer and cardiovascular disease (CVD). Objective: We tested the hypothesis that a 12-wk dietary intervention with high-glucoraphanin (HG) broccoli would modify biomarkers of CVD risk and plasma metabolite profiles to a greater extent than interventions with standard broccoli or peas. Design: Subjects were randomly assigned to consume 400 g standard broccoli, 400 g HG broccoli, or 400 g peas each week for 12 wk, with no other dietary restrictions. Biomarkers of CVD risk and 347 plasma metabolites were quantified before and after the intervention. Results: No significant differences in the effects of the diets on biomarkers of CVD risk were found. Multivariate analyses of plasma metabolites identified 2 discrete phenotypic responses to diet in individuals within the HG broccoli arm, differentiated by single nucleotide polymorphisms associated with the PAPOLG gene. Univariate analysis showed effects of sex (P < 0.001), PAPOLG genotype (P < 0.001), and PAPOLG genotype × diet (P < 0.001) on the plasma metabolic profile. In the HG broccoli arm, the consequence of the intervention was to reduce variation in lipid and amino acid metabolites, tricarboxylic acid (TCA) cycle intermediates, and acylcarnitines between the 2 PAPOLG genotypes. Conclusions: The metabolic changes observed with the HG broccoli diet are consistent with a rebalancing of anaplerotic and cataplerotic reactions and enhanced integration of fatty acid β-oxidation with TCA cycle activity. These modifications may contribute to the reduction in cancer risk associated with diets that are rich in cruciferous vegetables. This trial was registered at clinicaltrials.gov as NCT01114399. PMID:23964055

  16. Highly Crystalline CVD-grown Multilayer MoSe2 Thin Film Transistor for Fast Photodetector

    PubMed Central

    Jung, Chulseung; Kim, Seung Min; Moon, Hyunseong; Han, Gyuchull; Kwon, Junyeon; Hong, Young Ki; Omkaram, Inturu; Yoon, Youngki; Kim, Sunkook; Park, Jozeph

    2015-01-01

    Hexagonal molybdenum diselenide (MoSe2) multilayers were grown by chemical vapor deposition (CVD). A relatively high pressure (>760 Torr) was used during the CVD growth to achieve multilayers by creating multiple nuclei based on the two-dimensional crystal growth model. Our CVD-grown multilayer MoSe2 thin-film transistors (TFTs) show p-type-dominant ambipolar behaviors, which are attributed to the formation of Se vacancies generated at the decomposition temperature (650 °C) after the CVD growth for 10 min. Our MoSe2 TFT with a reasonably high field-effect mobility (10 cm2/V · s) exhibits a high photoresponsivity (93.7 A/W) and a fast photoresponse time (τrise ~ 0.4 s) under the illumination of light, which demonstrates the practical feasibility of multilayer MoSe2 TFTs for photodetector applications. PMID:26477744

  17. Are your patients with risk of CVD getting the viscous soluble fiber they need?

    PubMed

    Shamliyan, Tatyana A; Jacobs, David R; Raatz, Susan K; Nordstrom, David L; Keenan, Joseph M

    2006-09-01

    A diet that includes 5 to 10 g/d of viscous soluble fiber reduces cardiovascular disease (CVD) events and death independent of baseline risk. Consuming foods rich in viscous soluble fiber reduces low-density lipoprotein cholesterol (LDL-C) blood levels 10% to 15% with expected reduction in CVD events by 10% to 15%. Routinely counsel adults at risk of CVD to promote a healthy diet: assess dietary fiber consumption; recommend specific foods rich in viscous soluble fiber; monitor LDL-C levels and encourage increased dietary fiber intake at follow-up visits; motivate patients to comply with recommendations.

  18. CVD growth of graphene at low temperature

    NASA Astrophysics Data System (ADS)

    Zeng, Changgan

    2012-02-01

    Graphene has attracted a lot of research interest owing to its exotic properties and a wide spectrum of potential applications. Chemical vapor deposition (CVD) from gaseous hydrocarbon sources has shown great promises for large-scale graphene growth. However, high growth temperature, typically 1000^oC, is required for such growth. In this talk, I will show a revised CVD route to grow graphene on Cu foils at low temperature, adopting solid and liquid hydrocarbon feedstocks. For solid PMMA and polystyrene precursors, centimeter-scale monolayer graphene films are synthesized at a growth temperature down to 400^oC. When benzene is used as the hydrocarbon source, monolayer graphene flakes with excellent quality are achieved at a growth temperature as low as 300^oC. I will also talk about our recent progress on low-temperature graphene growth using paraterphenyl as precursor. The successful low-temperature growth can be qualitatively understood from the first principles calculations. Our work might pave a way to economical and convenient growth route of graphene, as well as better control of the growth pattern of graphene at low temperature.

  19. Synthesis and Characterization of Nanofibrous Polyaniline Thin Film Prepared by Novel Atmospheric Pressure Plasma Polymerization Technique

    PubMed Central

    Park, Choon-Sang; Kim, Dong Ha; Shin, Bhum Jae; Tae, Heung-Sik

    2016-01-01

    This work presents a study on the preparation of plasma-polymerized aniline (pPANI) nanofibers and nanoparticles by an intense plasma cloud type atmospheric pressure plasma jets (iPC-APPJ) device with a single bundle of three glass tubes. The nano size polymer was obtained at a sinusoidal wave with a peak value of 8 kV and a frequency of 26 kHz under ambient air. Discharge currents, photo-sensor amplifier, and optical emission spectrometer (OES) techniques were used to analyze the plasma produced from the iPC-APPJ device. Field emission scanning electron microscopy (FE-SEM), transmission electron microscopy (TEM), Fourier transform infrared spectroscopy (FT-IR), gas chromatography-mass spectrometry (GC-MS), and gel permeation chromatography (GPC) techniques were used to analyze the pPANI. FE-SEM and TEM results show that pPANI has nanofibers, nanoparticles morphology, and polycrystalline characteristics. The FT-IR and GC-MS analysis show the characteristic polyaniline peaks with evidence that some quinone and benzene rings are broken by the discharge energy. GPC results show that pPANI has high molecular weight (Mw), about 533 kDa with 1.9 polydispersity index (PDI). This study contributes to a better understanding on the novel growth process and synthesis of uniform polyaniline nanofibers and nanoparticles with high molecular weights using the simple atmospheric pressure plasma polymerization technique. PMID:28787838

  20. Synthesis and Characterization of Nanofibrous Polyaniline Thin Film Prepared by Novel Atmospheric Pressure Plasma Polymerization Technique.

    PubMed

    Park, Choon-Sang; Kim, Dong Ha; Shin, Bhum Jae; Tae, Heung-Sik

    2016-01-11

    This work presents a study on the preparation of plasma-polymerized aniline (pPANI) nanofibers and nanoparticles by an intense plasma cloud type atmospheric pressure plasma jets (iPC-APPJ) device with a single bundle of three glass tubes. The nano size polymer was obtained at a sinusoidal wave with a peak value of 8 kV and a frequency of 26 kHz under ambient air. Discharge currents, photo-sensor amplifier, and optical emission spectrometer (OES) techniques were used to analyze the plasma produced from the iPC-APPJ device. Field emission scanning electron microscopy (FE-SEM), transmission electron microscopy (TEM), Fourier transform infrared spectroscopy (FT-IR), gas chromatography-mass spectrometry (GC-MS), and gel permeation chromatography (GPC) techniques were used to analyze the pPANI. FE-SEM and TEM results show that pPANI has nanofibers, nanoparticles morphology, and polycrystalline characteristics. The FT-IR and GC-MS analysis show the characteristic polyaniline peaks with evidence that some quinone and benzene rings are broken by the discharge energy. GPC results show that pPANI has high molecular weight ( M w ), about 533 kDa with 1.9 polydispersity index (PDI). This study contributes to a better understanding on the novel growth process and synthesis of uniform polyaniline nanofibers and nanoparticles with high molecular weights using the simple atmospheric pressure plasma polymerization technique.

  1. Growth studies of CVD-MBE by in-situ diagnostics

    NASA Astrophysics Data System (ADS)

    Maracas, George N.; Steimle, Timothy C.

    1992-10-01

    This is the final technical report for the three year DARPA-URI program 'Growth Studies of CVD-MBE by in-situ Diagnostics'. The goals of the program were to develop non-invasive, real time epitaxial growth monitoring techniques and combine them to gain an understanding of processes that occur during MBE growth from gas sources. We have adapted these techniques to a commercially designed gas source MBE system (Vacuum Generators Inc.) to facilitate technology transfer out of the laboratory into industrial environments. The in-situ measurement techniques of spectroscopic ellipsometry (SE) and laser induced fluorescence (LIF) have been successfully implemented to monitor the optical and chemical properties of the growing epitaxial film and the gas phase reactants. The ellipsometer was jointly developed with the J. Woolam Co. and has become a commercial product. The temperature dependence of group 3 and 5 desorption from GaAs and InP has been measured as well as the incident effusion cell fluxes. The temporal evolution of the growth has also been measured both by SE and LIF to show the smoothing of heterojunction surfaces during growth interruption. Complicated microcavity optical device structures have been monitored by ellipsometry in real time to improve device quality. This data has been coupled with the structural information obtained from reflection high energy electron diffraction (RHEED) to understand the growth processes in binary and ternary bulk 3-5 semiconductors and heterojunctions.

  2. Radiation tolerance of CVD diamond detectors for pions and protons

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/ c pions and 24 GeV/ c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  3. How dietary evidence for the prevention and treatment of CVD is translated into practice in those with or at high risk of CVD: a systematic review.

    PubMed

    Schumacher, Tracy L; Burrows, Tracy L; Neubeck, Lis; Redfern, Julie; Callister, Robin; Collins, Clare E

    2017-01-01

    CVD is a leading cause of mortality and morbidity, and nutrition is an important lifestyle factor. The aim of the present systematic review was to synthesise the literature relating to knowledge translation (KT) of dietary evidence for the prevention and treatment of CVD into practice in populations with or at high risk of CVD. A systematic search of six electronic databases (CINAHL, Cochrane, EMBASE, MEDLINE, PsycINFO and Scopus) was performed. Studies were included if a nutrition or dietary KT was demonstrated to occur with a relevant separate measureable outcome. Quality was assessed using a tool adapted from two quality checklists. Population with or at high risk of CVD or clinicians likely to treat this population. A total of 4420 titles and abstracts were screened for inclusion, with 354 full texts retrieved to assess inclusion. Forty-three articles were included in the review, relating to thirty-five separate studies. No studies specifically stated their aim to be KT. Thirty-one studies were in patient or high-risk populations and four targeted health professionals. Few studies stated a theory on which the intervention was based (n 10) and provision of instruction was the most common behaviour change strategy used (n 26). KT in nutrition and dietary studies has been inferred, not stated, with few details provided regarding how dietary knowledge is translated to the end user. This presents challenges for implementation by clinicians and policy and decision makers. Consequently a need exists to improve the quality of publications in this area.

  4. Nano powders, components and coatings by plasma technique

    DOEpatents

    McKechnie, Timothy N [Brownsboro, AL; Antony, Leo V. M. [Huntsville, AL; O'Dell, Scott [Arab, AL; Power, Chris [Guntersville, AL; Tabor, Terry [Huntsville, AL

    2009-11-10

    Ultra fine and nanometer powders and a method of producing same are provided, preferably refractory metal and ceramic nanopowders. When certain precursors are injected into the plasma flame in a reactor chamber, the materials are heated, melted and vaporized and the chemical reaction is induced in the vapor phase. The vapor phase is quenched rapidly to solid phase to yield the ultra pure, ultra fine and nano product. With this technique, powders have been made 20 nanometers in size in a system capable of a bulk production rate of more than 10 lbs/hr. The process is particularly applicable to tungsten, molybdenum, rhenium, tungsten carbide, molybdenum carbide and other related materials.

  5. Nano powders, components and coatings by plasma technique

    NASA Technical Reports Server (NTRS)

    McKechnie, Timothy N. (Inventor); Antony, Leo V. M. (Inventor); O'Dell, Scott (Inventor); Power, Chris (Inventor); Tabor, Terry (Inventor)

    2009-01-01

    Ultra fine and nanometer powders and a method of producing same are provided, preferably refractory metal and ceramic nanopowders. When certain precursors are injected into the plasma flame in a reactor chamber, the materials are heated, melted and vaporized and the chemical reaction is induced in the vapor phase. The vapor phase is quenched rapidly to solid phase to yield the ultra pure, ultra fine and nano product. With this technique, powders have been made 20 nanometers in size in a system capable of a bulk production rate of more than 10 lbs/hr. The process is particularly applicable to tungsten, molybdenum, rhenium, tungsten carbide, molybdenum carbide and other related materials.

  6. Plasma Branched-Chain Amino Acids and Incident Cardiovascular Disease in the PREDIMED Trial.

    PubMed

    Ruiz-Canela, Miguel; Toledo, Estefania; Clish, Clary B; Hruby, Adela; Liang, Liming; Salas-Salvadó, Jordi; Razquin, Cristina; Corella, Dolores; Estruch, Ramón; Ros, Emilio; Fitó, Montserrat; Gómez-Gracia, Enrique; Arós, Fernando; Fiol, Miquel; Lapetra, José; Serra-Majem, Lluis; Martínez-González, Miguel A; Hu, Frank B

    2016-04-01

    The role of branched-chain amino acids (BCAAs) in cardiovascular disease (CVD) remains poorly understood. We hypothesized that baseline BCAA concentrations predict future risk of CVD and that a Mediterranean diet (MedDiet) intervention may counteract this effect. We developed a case-cohort study within the Prevención con Dieta Mediterránea (PREDIMED), with 226 incident CVD cases and 744 noncases. We used LC-MS/MS to measure plasma BCAAs (leucine, isoleucine, and valine), both at baseline and after 1 year of follow-up. The primary outcome was a composite of incident stroke, myocardial infarction, or cardiovascular death. After adjustment for potential confounders, baseline leucine and isoleucine concentrations were associated with higher CVD risk: the hazard ratios (HRs) for the highest vs lowest quartile were 1.70 (95% CI, 1.05-2.76) and 2.09 (1.27-3.44), respectively. Stronger associations were found for stroke. For both CVD and stroke, we found higher HRs across successive quartiles of BCAAs in the control group than in the MedDiet groups. With stroke as the outcome, a significant interaction (P = 0.009) between baseline BCAA score and intervention with MedDiet was observed. No significant effect of the intervention on 1-year changes in BCAAs or any association between 1-year changes in BCAAs and CVD were observed. Higher concentrations of baseline BCAAs were associated with increased risk of CVD, especially stroke, in a high cardiovascular risk population. A Mediterranean-style diet had a negligible effect on 1-year changes in BCAAs, but it may counteract the harmful effects of BCAAs on stroke. © 2016 American Association for Clinical Chemistry.

  7. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  8. PaxVax CVD 103-HgR single-dose live oral cholera vaccine.

    PubMed

    Levine, Myron M; Chen, Wilbur H; Kaper, James B; Lock, Michael; Danzig, Lisa; Gurwith, Marc

    2017-03-01

    Cholera remains a problem in developing countries and a risk for travelers. Hypochlorhydria, blood group O, cardiac and renal disease increase the risk of developing cholera gravis. Oral vaccines containing inactivated Vibrio cholerae and requiring two doses are available in some countries. No cholera vaccine had been available for U.S. travelers for decades until 2016 when CVD 103-HgR (VAXCHORA™), an oral live attenuated vaccine, was licensed by the U.S. FDA. Areas covered: Enduring protection following wild-type cholera provided the rationale to develop a single-dose live oral vaccine. CVD 103-HgR is well-tolerated and protects against cholera caused by V. cholerae O1 of either serotype (Inaba, Ogawa) and biotype (El Tor, Classical). Since 90% vaccine efficacy is evident 10 days post-ingestion of a single dose, CVD 103-HgR can rapidly protect travelers. Vibriocidal antibody seroconversion correlates with protection; >90% of U.S. adult (including elderly) vaccinees seroconvert. The U.S. Public Health Service's Advisory Committee on Immunization Practices recommends CVD 103-HgR for U.S. travelers to areas of ongoing cholera transmission. Expert commentary: Next steps include evaluations in children, post-licensure safety and effectiveness monitoring, diminishing cold chain constraints, optimizing a 'high-dose' formulation for developing countries, and diminishing/eliminating the need for water to administer a dose.

  9. The CVD ZrB2 as a selective solar absorber

    NASA Astrophysics Data System (ADS)

    Randich, E.; Allred, D. D.

    Coatings of ZrB2 and TiB2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB2 and 800 K for Z4B2. Both materials exhibit innate spectral selectivity with emittance at 375 K ranging from 0.06 to 0.09 and solar absorptance for ZrB2 ranging from 0.67 to 0.77 and solar absorptance for TiB2 ranging from 0.46 to 0.58. ZrB2 has better solar selectivity and more desirable oxidation behavior than TiB2. A 0.071 micrometer antireflection coating of Si3N4 deposited on the ZrB2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  10. Ruminant-produced trans-fatty acids raise plasma HDL particle concentrations in intact and ovariectomized female Hartley guinea pigs.

    PubMed

    Rice, Beth H; Kraft, Jana; Destaillats, Frédéric; Bauman, Dale E; Lock, Adam L

    2012-09-01

    Cardiovascular disease (CVD) is the leading cause of death among women worldwide, and risk for developing CVD increases postmenopause. Consumption of trans-fatty acids (tFA) has been positively associated with CVD incidence and mortality. The current study was designed to assess the effects of diets high in industrially produced (IP)-tFA, from partially hydrogenated vegetable oils (PHVO), and ruminant-produced (RP)-tFA, from butter oil (BO), on risk factors for CVD. Thirty-two female Hartley guinea pigs, one-half of which were ovariectomized (OVX) to mimic the postmenopausal condition, were fed hypercholesterolemic diets containing 9% by weight PHVO or BO (n = 8/diet and ovariectomy) for 8 wk. The plasma and hepatic lipids did not differ between IP- and RP-tFA groups or between intact and OVX guinea pigs. The BO diet resulted in higher concentrations of plasma total and small HDL particle subclass concentrations than the PHVO diet regardless of ovariectomy status. The intact BO group had higher concentrations of large HDL particles than the intact PHVO group. HDL mean particle size tended to be larger (P = 0.07) in the PHVO groups compared with the BO groups regardless of ovariectomy status. There was a trend toward an interaction between diet and ovariectomy status for LDL mean particle size, which tended to be larger in OVX guinea pigs fed PHVO (P = 0.07). In summary, consumption of IP- and RP-tFA resulted in differential effects on HDL particle subclass profiles in female guinea pigs. The effect of tFA consumption and hormonal status on HDL particle subclass metabolism and the subsequent impact on CVD in females warrants further investigation.

  11. Variability in Chemical Vapor Deposited Zinc Sulfide: Assessment of Legacy and International CVD ZnS Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Korenstein, Ralph

    2009-10-06

    Samples of CVD ZnS from the United States, Germany, Israel, and China were evaluated using transmission spectroscopy, x-ray diffraction, photoluminescence, and biaxial flexure testing. Visible and near-infrared scattering, 6 μm absorption, and ultraviolet cut-on edge varied substantially in tested materials. Crystallographic hexagonality and texture was determined and correlated with optical scattering. Transmission cut-on (ultraviolet edge) blue-shifts with annealing and corresponds to visible color but not the 6 μm absorption. Photoluminescence results suggest that CVD ZnS exhibits a complex suite of electronic bandgap defects. All CVD ZnS tested with biaxial flexure exhibit similar fracture strength values and Weibull moduli. This surveymore » suggests that technical understanding of the structure and optical properties CVD ZnS is still in its infancy.« less

  12. CVD-predictive performances of "a body shape index" versus simple anthropometric measures: Tehran lipid and glucose study.

    PubMed

    Bozorgmanesh, Mohammadreza; Sardarinia, Mahsa; Hajsheikholeslami, Farhad; Azizi, Fereidoun; Hadaegh, Farzad

    2016-02-01

    To examine whether a body shape index (ABSI) calculated by using waist circumference (WC) adjusted for height and weight could improve the predictive performances for cardiovascular disease (CVD) of the Framingham's general CVD algorithm and to compare its predictive performances with other anthropometric measures. We analyzed data on a 10-year population-based follow-up of 8,248 (4,471 women) individuals aged ≥30 years, free of CVD at baseline. CVD risk was estimated for a 1 SD increment in ABSI, body mass index (BMI), waist-to-hip ratio (WHpR) and waist-to-height ratio (WHtR), by incorporating them, one at a time, into multivariate accelerated failure time models. ABSI was associated with multivariate-adjusted increased risk of incident CVD among both men (1.26, 95% CI 1.09-1.46) and women (1.17, 1.03-1.32). Among men, for a one-SD increment, ABSI conferred a greater increase in the hazard of CVD [1.26 (1.09-1.46)] than did BMI [1.06 (0.94-1.20)], WC [1.15(1.03-1.28)], WHpR [1.02 (1.01-1.03)] and WHtR [1.16 (1.02-1.31)], and the corresponding figures among women were 1.17 (1.03-1.32), 1.02 (0.90-1.16), 1.11 (0.98-1.27), 1.03 (1.01-1.05) and 1.14 (0.99-1.03), respectively. ABSI as well as other anthropometric measures failed to add to the predictive ability of the Framingham general CVD algorithm either. Although ABSI could not improve the predictability of the Framingham algorithm, it provides more information than other traditional anthropometric measures in settings where information on traditional CVD risk factors are not available, and it can be used as a practical criterion to predict adiposity-related health risks in clinical assessments.

  13. Economic efficiency of primary care for CVD prevention and treatment in Eastern European countries

    PubMed Central

    2013-01-01

    Background Cardiovascular disease (CVD) is the main cause of morbidity and mortality worldwide, but it also is highly preventable. The prevention rate mainly depends on the patients’ readiness to follow recommendations and the state’s capacity to support patients. Our study aims to show that proper primary care can decrease the CVD-related morbidity rate and increase the economic efficiency of the healthcare system. Since their admission to the European Union (EU), the Eastern European countries have been in a quest to achieve the Western European standards of living. As a representative Eastern European country, Romania implemented the same strategies as the rest of Eastern Europe, reflected in the health status and lifestyle of its inhabitants. Thus, a valid health policy implemented in Romania should be valid for the rest of the Eastern European countries. Methods Based on the data collected during the EUROASPIRE III Romania Follow Up study, the potential costs of healthcare were estimated for various cases over a 10-year time period. The total costs were split into patient-supported costs and state-supported costs. The state-supported costs were used to deduce the rate of patients with severe CVD that can be treated yearly. A statistical model for the evolution of this rate was computed based on the readiness of the patients to comply with proper primary care treatment. Results We demonstrate that for patients ignoring the risks, a severe CVD has disadvantageous economic consequences, leading to increased healthcare expenses and even poverty. In contrast, performing appropriate prevention activities result in a decrease of the expenses allocated to a (eventual) CVD. In the long-term, the number of patients with severe CVD that can be treated increases as the number of patients receiving proper primary care increases. Conclusions Proper primary care can not only decrease the risk of major CVD but also decrease the healthcare costs and increase the number of

  14. A key role for mitochondria in endothelial signaling by plasma cysteine/cystine redox potential

    PubMed Central

    Go, Young-Mi; Park, Heonyong; Koval, Michael; Orr, Michael; Reed, Matthew; Liang, Yongliang; Smith, Debra; Pohl, Jan; Jones, Dean P.

    2011-01-01

    The redox potential of the plasma cysteine/cystine couple (EhCySS) is oxidized in association with risk factors for cardiovascular disease (CVD), including age, smoking, type 2 diabetes, obesity, and alcohol abuse. Previous in vitro findings support a cause–effect relationship for extracellular EhCySS in cell signaling pathways associated with CVD, including those controlling monocyte adhesion to endothelial cells. In this study, we provide evidence that mitochondria are a major source of reactive oxygen species (ROS) in the signaling response to a more oxidized extracellular EhCySS. This increase in ROS was blocked by overexpression of mitochondrial thioredoxin-2 (Trx2) in endothelial cells from Trx2-transgenic mice, suggesting that mitochondrial thiol antioxidant status plays a key role in this redox signaling mechanism. Mass spectrometry-based redox proteomics showed that several classes of plasma membrane and cytoskeletal proteins involved in inflammation responded to this redox switch, including vascular cell adhesion molecule, integrins, actin, and several Ras family GTPases. Together, the data show that the proinflammatory effects of oxidized plasma EhCySS are due to a mitochondrial signaling pathway that is mediated through redox control of downstream effector proteins. PMID:19879942

  15. Low temperature growth of diamond films on optical fibers using Linear Antenna CVD system

    NASA Astrophysics Data System (ADS)

    Ficek, M.; Drijkoningen, S.; Karczewski, J.; Bogdanowicz, R.; Haenen, K.

    2016-01-01

    It is not trivial to achieve a good quality diamond-coated fibre interface due to a large difference in the properties and composition of the diamond films (or use coating even) and the optical fibre material, i.e. fused silica. One of the biggest problems is the high temperature during the deposition which influences the optical fibre or optical fibre sensor structure (e.g. long-period gratings (LPG)). The greatest advantage of a linear antenna microwave plasma enhanced chemical vapor deposition system (LA MW CVD) is the fact that it allows to grow the diamond layers at low temperature (below 300°C) [1]. High quality nanocrystalline diamond (NCD) thin films with thicknesses ranging from 70 nm to 150 nm, were deposited on silicon, glass and optical fibre substrates [2]. Substrates pretreatment by dip-coating and spin coating process with a dispersion consisting of detonation nanodiamond (DND) in dimethyl sulfoxide (DMSO) with polyvinyl alcohol (PVA) has been applied. During the deposition process the continuous mode of operation of the LA MW CVD system was used, which produces a continuous wave at a maximum power of 1.9 kW (in each antenna). Diamond films on optical fibres were obtained at temperatures below 350°C, providing a clear improvement of results compared to our earlier work [3]. The samples were characterized by scanning electron microscopy (SEM) imaging to investigate the morphology of the nanocrystalline diamond films. The film growth rate, film thickness, and optical properties in the VIS-NIR range, i.e. refractive index and extinction coefficient will be discussed based on measurements on reference quartz plates by using spectroscopic ellipsometry (SE).

  16. CVD growth of large-area and high-quality HfS2 nanoforest on diverse substrates

    NASA Astrophysics Data System (ADS)

    Zheng, Binjie; Wang, Zegao; Qi, Fei; Wang, Xinqiang; Yu, Bo; Zhang, Wanli; Chen, Yuanfu

    2018-03-01

    Two-dimensional layered transition metal dichalcogenides (TMDs) have attracted burgeoning attention due to their various properties and wide potential applications. As a new TMD, hafnium disulfide (HfS2) is theoretically predicted to have better electrical performance than widely studied MoS2. The experimental researches also confirmed the extraordinary feature in electronics and optoelectronics. However, the maximal device performance may not be achieved due to its own limitation of planar structure and challenge of transfer without contamination. Here, through the chemical vapor deposition (CVD) technique, inch-size HfS2 nanoforest has been directly grown on diverse objective substrates covering insulating, semiconducting and conducting substrates. This direct CVD growth without conventional transfer process avoids contamination and degradation in quality, suggesting its promising and wide applications in high-quality and multifarious devices. It is noted that all the HfS2 nanoforests grown on diverse substrates are constructed with vertically aligned few-layered HfS2 nanosheets with high crystalline quality and edge orientation. Moreover, due to its unique structure, the HfS2 nanoforest owns abundant exposed edge sites and large active surface area, which is essential to apply in high-performance catalyst, sensor, and energy storage or field emitter.

  17. PREFACE: IX International Conference on Modern Techniques of Plasma Diagnostics and their Application

    NASA Astrophysics Data System (ADS)

    Savjolov, A. S.; Dodulad, E. I.

    2016-01-01

    The IX Conference on ''Modern Techniques of Plasma Diagnosis and their Application'' was held on 5 - 7 November, 2014 at National Research Nuclear University MEPhI (NRNU MEPhI). The goal of the conference was an exchange of information on both high-temperature and low-temperature plasma diagnostics as well as deliberation and analysis of various diagnostic techniques and their applicability in science, industry, ecology, medicine and other fields. The Conference also provided young scientists from scientific centres and universities engaged in plasma diagnostics with an opportunity to attend the lectures given by the leading specialists in this field as well as present their own results and findings. The first workshop titled ''Modern problems of plasma diagnostics and their application for control of chemicals and the environment'' took place at Moscow Engineering and Physics Institute (MEPhI) in June 1998 with the support of the Section on Diagnostics of the Council of Russian Academic of Science on Plasma Physics and since then these forums have been held at MEPhI every two years. In 2008 the workshop was assigned a conference status. More than 150 specialists on plasma diagnostics and students took part in the last conference. They represented leading Russian scientific centres (such as Troitsk Institute of Innovative and Thermonuclear Research, National Research Centre ''Kurchatov Institute'', Russian Federal Nuclear Centre - All-Russian Scientific Research Institute of Experimental Physics and others) and universities from Belarus, Ukraine, Germany, USA, Belgium and Sweden. About 30 reports were made by young researchers, students and post-graduate students. All presentations during the conference were broadcasted online over the internet with viewers in Moscow, Prague, St. Petersburgh and other cities. The Conference was held within the framework of the Centre of Plasma, Laser Research and Technology supported by MEPhI Academic Excellence Project (Russian

  18. Consuming Two Eggs per Day, as Compared to an Oatmeal Breakfast, Decreases Plasma Ghrelin while Maintaining the LDL/HDL Ratio.

    PubMed

    Missimer, Amanda; DiMarco, Diana M; Andersen, Catherine J; Murillo, Ana Gabriela; Vergara-Jimenez, Marcela; Fernandez, Maria Luz

    2017-01-29

    Eggs contain high quality protein, vitamins, minerals and antioxidants, yet regular consumption is still met with uncertainty. Therefore, the purpose of this study was to compare the effects of consuming two eggs per day or a heart-healthy oatmeal breakfast on biomarkers of cardiovascular disease (CVD) risk and satiety measures in a young, healthy population. Fifty subjects participated in a randomized crossover clinical intervention; subjects were randomly allocated to consume either two eggs or one packet of oatmeal per day for breakfast for four weeks. After a three-week washout period, participants were allocated to the alternative breakfast. Fasting blood samples were collected at the end of each intervention period to assess plasma lipids and plasma ghrelin. Subjects completed visual analog scales (VAS) concurrent to dietary records to assess satiety and hunger. Along with an increase in cholesterol intake, there were significant increases in both low-density lipoprotein (LDL) and high-density lipoprotein (HDL) cholesterol following the egg consumption period ( p < 0.01). However, there was no difference in the LDL/HDL ratio, a recognized biomarker of CVD risk, nor in the plasma glucose, triglycerides or liver enzymes, between diet periods. Several self-reported satiety measures were increased following the consumption of eggs, which were associated with lower plasma ghrelin concentrations ( p < 0.05). These results demonstrate that compared to an oatmeal breakfast, two eggs per day do not adversely affect the biomarkers associated with CVD risk, but increase satiety throughout the day in a young healthy population.

  19. Plasma-assisted synthesis of MoS2

    NASA Astrophysics Data System (ADS)

    Campbell, Philip M.; Perini, Christopher J.; Chiu, Johannes; Gupta, Atul; Ray, Hunter S.; Chen, Hang; Wenzel, Kevin; Snyder, Eric; Wagner, Brent K.; Ready, Jud; Vogel, Eric M.

    2018-03-01

    There has been significant interest in transition metal dichalcogenides (TMDs), including MoS2, in recent years due to their potential application in novel electronic and optical devices. While synthesis methods have been developed for large-area films of MoS2, many of these techniques require synthesis temperatures of 800 °C or higher. As a result of the thermal budget, direct synthesis requiring high temperatures is incompatible with many integrated circuit processes as well as flexible substrates. This work explores several methods of plasma-assisted synthesis of MoS2 as a way to lower the synthesis temperature. The first approach used is conversion of a naturally oxidized molybdenum thin film to MoS2 using H2S plasma. Conversion is demonstrated at temperatures as low as 400 °C, and the conversion is enabled by hydrogen radicals which reduce the oxidized molybdenum films. The second method is a vapor phase reaction incorporating thermally evaporated MoO3 exposed to a direct H2S plasma, similar to chemical vapor deposition (CVD) synthesis of MoS2. Synthesis at 400 °C results in formation of super-stoichiometric MoS2 in a beam-interrupted growth process. A final growth method relies on a cyclical process in which a small amount of Mo is sputtered onto the substrate and is subsequently sulfurized in a H2S plasma. Similar results could be realized using an atomic layer deposition (ALD) process to deposit the Mo film. Compared to high temperature synthesis methods, the lower temperature samples are lower quality, potentially due to poor crystallinity or higher defect density in the films. Temperature-dependent conductivity measurements are consistent with hopping conduction in the plasma-assisted synthetic MoS2, suggesting a high degree of disorder in the low-temperature films. Optimization of the plasma-assisted synthesis process for slower growth rate and better stoichiometry is expected to lead to high quality films at low growth temperature.

  20. Reproducibility of CVD diamond detectors for radiotherapy dosimetry

    NASA Astrophysics Data System (ADS)

    Betzel, G. T.; Lansley, S. P.; McKay, D.; Meyer, J.

    2012-11-01

    Three in-house X-ray detectors based on diamond chemical vapor deposition (CVD) from the same manufactured batch of single crystal films were investigated for their reproducibility. Leakage current, priming dose, response dynamics, dose linearity, dependence on dose rate and angular dependence were used to evaluate differences between detectors. Slight differences were seen in leakage currents before (<1.5 pA) and after (<12 pA) irradiation. A priming dose of ˜7 Gy and rise and fall times of 2 s were found for all three detectors. Sensitivities differed by up to 10%. Dependence on dose rate were similar (∆=0.92-0.94). Angular dependence was minimal (97-102% avg.). Differences in detector performance appeared to be primarily due to film thickness, which can significantly change sensitivities (nC Gy-1) and applied fields (V μm-1) for detectors with small sensitive volumes. Results suggest that preselection of CVD diamond films according to thickness in addition to material quality would be required to avoid individual calibration, which is performed for commercially available natural diamond detectors.

  1. Microwave Plasma-Activated Chemical Vapor Deposition of Nitrogen-Doped Diamond. II: CH4/N2/H2 Plasmas

    PubMed Central

    2016-01-01

    We report a combined experimental and modeling study of microwave-activated dilute CH4/N2/H2 plasmas, as used for chemical vapor deposition (CVD) of diamond, under very similar conditions to previous studies of CH4/H2, CH4/H2/Ar, and N2/H2 gas mixtures. Using cavity ring-down spectroscopy, absolute column densities of CH(X, v = 0), CN(X, v = 0), and NH(X, v = 0) radicals in the hot plasma have been determined as functions of height, z, source gas mixing ratio, total gas pressure, p, and input power, P. Optical emission spectroscopy has been used to investigate, with respect to the same variables, the relative number densities of electronically excited species, namely, H atoms, CH, C2, CN, and NH radicals and triplet N2 molecules. The measurements have been reproduced and rationalized from first-principles by 2-D (r, z) coupled kinetic and transport modeling, and comparison between experiment and simulation has afforded a detailed understanding of C/N/H plasma-chemical reactivity and variations with process conditions and with location within the reactor. The experimentally validated simulations have been extended to much lower N2 input fractions and higher microwave powers than were probed experimentally, providing predictions for the gas-phase chemistry adjacent to the diamond surface and its variation across a wide range of conditions employed in practical diamond-growing CVD processes. The strongly bound N2 molecule is very resistant to dissociation at the input MW powers and pressures prevailing in typical diamond CVD reactors, but its chemical reactivity is boosted through energy pooling in its lowest-lying (metastable) triplet state and subsequent reactions with H atoms. For a CH4 input mole fraction of 4%, with N2 present at 1–6000 ppm, at pressure p = 150 Torr, and with applied microwave power P = 1.5 kW, the near-substrate gas-phase N atom concentration, [N]ns, scales linearly with the N2 input mole fraction and exceeds the concentrations [NH]ns, [NH2]ns

  2. Correlates of CVD and discussing sexual issues with physicians among male military veterans.

    PubMed

    Smith, Matthew Lee; Goltz, Heather Honoré; Motlagh, Audry S; Ahn, SangNam; Bergeron, Caroline D; Ory, Marcia G

    2016-10-01

    This study aims to identify socio-demographic and health behavior factors associated with cardiovascular disease (CVD) diagnosis and patient-physician communication concerning sexual issues among older Veterans. Cross-sectional data were collected from 635 male Veterans over age 55 years as part of the 2010 National Social Life, Health and Aging Project, a nationally-representative, population-based study of community-dwelling older Americans. Two independent logistic regression analyses were performed. Over 33% of Veterans were aged 75 years or older. Over one-half of participants reported having a CVD diagnosis (58%) and sexual intercourse within the previous year (58%); over one-third (37%) reported having one or more sexual dysfunctions and discussing sexual issues with their physician (42%). Veterans diagnosed with CVD were significantly more likely to self-identify as racial/ethnic minorities (OR=1.89, P=0.021), have more chronic disease comorbidities (OR=1.23, P=0.041), and have more sexual dysfunctions (OR=1.19, P=0.028). Veterans diagnosed with CVD were significantly less likely to report having sex within the previous year (OR=0.53, P=0.005). Veterans who reported discussing sexual issues with a physician were significantly more likely to be ≥75 years (OR=1.79, P=0.010), and report more than a high school education (OR=1.62, P=0.016), CVD diagnosis (OR=1.59, P=0.015), sex within the previous year (OR=1.69, P=0.033), and trouble achieving/maintaining an erection (OR=3.39, P<0.001). These findings suggest older male Veterans, particularly racial/ethnic minorities and those less-educated, may benefit from VA and community-based aging and sexual health/counseling services. These services should promote increased patient-physician communication as well as referrals between physicians and sex health/counseling specialists. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  3. Fabricating Large-Area Sheets of Single-Layer Graphene by CVD

    NASA Technical Reports Server (NTRS)

    Bronikowski, Michael; Manohara, Harish

    2008-01-01

    This innovation consists of a set of methodologies for preparing large area (greater than 1 cm(exp 2)) domains of single-atomic-layer graphite, also called graphene, in single (two-dimensional) crystal form. To fabricate a single graphene layer using chemical vapor deposition (CVD), the process begins with an atomically flat surface of an appropriate substrate and an appropriate precursor molecule containing carbon atoms attached to substituent atoms or groups. These molecules will be brought into contact with the substrate surface by being flowed over, or sprayed onto, the substrate, under CVD conditions of low pressure and elevated temperature. Upon contact with the surface, the precursor molecules will decompose. The substituent groups detach from the carbon atoms and form gas-phase species, leaving the unfunctionalized carbon atoms attached to the substrate surface. These carbon atoms will diffuse upon this surface and encounter and bond to other carbon atoms. If conditions are chosen carefully, the surface carbon atoms will arrange to form the lowest energy single-layer structure available, which is the graphene lattice that is sought. Another method for creating the graphene lattice includes metal-catalyzed CVD, in which the decomposition of the precursor molecules is initiated by the catalytic action of a catalytic metal upon the substrate surface. Another type of metal-catalyzed CVD has the entire substrate composed of catalytic metal, or other material, either as a bulk crystal or as a think layer of catalyst deposited upon another surface. In this case, the precursor molecules decompose directly upon contact with the substrate, releasing their atoms and forming the graphene sheet. Atomic layer deposition (ALD) can also be used. In this method, a substrate surface at low temperature is covered with exactly one monolayer of precursor molecules (which may be of more than one type). This is heated up so that the precursor molecules decompose and form one

  4. Observation of Charge Generation and Transfer during CVD Growth of Carbon Nanotubes.

    PubMed

    Wang, Jiangtao; Liu, Peng; Xia, Bingyu; Wei, Haoming; Wei, Yang; Wu, Yang; Liu, Kai; Zhang, Lina; Wang, Jiaping; Li, Qunqing; Fan, Shoushan; Jiang, Kaili

    2016-07-13

    Carbon nanotube (CNT) is believed to be the most promising material for next generation IC industries with the prerequisite of chirality specific growth. For various approaches to controlling the chiral indices of CNTs, the key is to deepen the understanding of the catalytic growth mechanism in chemical vapor deposition (CVD). Here we show our discovery that the as-grown CNTs are all negatively charged after Fe-catalyzed CVD process. The extra electrons come from the charge generation and transfer during the growth of CNTs, which indicates that an electrochemical process happens in the surface reaction step. We then designed an in situ measurement equipment, verifying that the CVD growth of CNTs can be regarded as a primary battery system. Furthermore, we found that the variation of the Fermi level in Fe catalysts have a significant impact on the chirality of CNTs when different external electric fields are applied. These findings not only provide a new perspective on the growth of CNTs but also open up new possibilities for controlling the growth of CNTs by electrochemical methods.

  5. Plasma spectroscopy analysis technique based on optimization algorithms and spectral synthesis for arc-welding quality assurance.

    PubMed

    Mirapeix, J; Cobo, A; González, D A; López-Higuera, J M

    2007-02-19

    A new plasma spectroscopy analysis technique based on the generation of synthetic spectra by means of optimization processes is presented in this paper. The technique has been developed for its application in arc-welding quality assurance. The new approach has been checked through several experimental tests, yielding results in reasonably good agreement with the ones offered by the traditional spectroscopic analysis technique.

  6. Pulse height distribution and radiation tolerance of CVD diamond detectors

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dangelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Zoeller, M.; Fenyvesi, A.; Molnar, J.; Sohler, D.; RD42 Collaboration

    2000-06-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/ c protons, 300 MeV/ c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  7. Onset conditions for gas phase reaction and nucleation in the CVD of transition metal oxides

    NASA Technical Reports Server (NTRS)

    Collins, J.; Rosner, D. E.; Castillo, J.

    1992-01-01

    A combined experimental/theoretical study is presented of the onset conditions for gas phase reaction and particle nucleation in hot substrate/cold gas CVD of transition metal oxides. Homogeneous reaction onset conditions are predicted using a simple high activation energy reacting gas film theory. Experimental tests of the basic theory are underway using an axisymmetric impinging jet CVD reactor. No vapor phase ignition has yet been observed in the TiCl4/O2 system under accessible operating conditions (below substrate temperature Tw = 1700 K). The goal of this research is to provide CVD reactor design and operation guidelines for achieving acceptable deposit microstructures at the maximum deposition rate while simultaneously avoiding homogeneous reaction/nucleation and diffusional limitations.

  8. Effect of non-surgical periodontal therapy on plasma homocysteine levels in Indian population with chronic periodontitis: a pilot study.

    PubMed

    Bhardwaj, Smiti; Prabhuji, M L Venkatesh; Karthikeyan, Bangalore Vardhan

    2015-03-01

    Homocysteine (Hcy) is implicated in the development of cardiovascular diseases (CVD). The effect of periodontal disease and periodontal therapy on plasma Hcy remains controversial. Hence, in this pilot study we assessed the effect of periodontal disease and non-surgical periodontal therapy (NSPT) on plasma Hcy in systemically healthy Indian subjects. Forty participants (30 to 39 years) were enrolled in the study and were divided into two groups based on gingival index, probing depth, and clinical attachment level (CAL): Healthy (control group; n = 20) and Chronic Periodontitis (test group; n = 20). Plasma samples were collected and quantified at baseline and 12 weeks after scaling and root planing (SRP) for Hcy using High Performance Liquid Chromatography with fluorescent detection (HPLC-fld). Plasma Hcy levels of chronic periodontitis (17.87 ± 1.21 μmol/l) subjects was significantly higher than healthy subjects (9.09 ± 2.11 μmol/l). Post-therapy, the plasma Hcy concentration reduced significantly (11.34 ± 1.87 μmol/l) (p < 0.05). The rise and descent of plasma Hcy levels with periodontal inflammation and therapy, respectively, indicate a direct relationship of Hcy with chronic periodontitis. NSPT may be employed as an adjunctive Hcy Lowering Therapy, contributing towards primary prevention against CVD's. © 2015 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  9. Comparative proteomics evaluation of plasma exosome isolation techniques and assessment of the stability of exosomes in normal human blood plasma.

    PubMed

    Kalra, Hina; Adda, Christopher G; Liem, Michael; Ang, Ching-Seng; Mechler, Adam; Simpson, Richard J; Hulett, Mark D; Mathivanan, Suresh

    2013-11-01

    Exosomes are nanovesicles released by a variety of cells and are detected in body fluids including blood. Recent studies have highlighted the critical application of exosomes as personalized targeted drug delivery vehicles and as reservoirs of disease biomarkers. While these research applications have created significant interest and can be translated into practice, the stability of exosomes needs to be assessed and exosome isolation protocols from blood plasma need to be optimized. To optimize methods to isolate exosomes from blood plasma, we performed a comparative evaluation of three exosome isolation techniques (differential centrifugation coupled with ultracentrifugation, epithelial cell adhesion molecule immunoaffinity pull-down, and OptiPrep(TM) density gradient separation) using normal human plasma. Based on MS, Western blotting and microscopy results, we found that the OptiPrep(TM) density gradient method was superior in isolating pure exosomal populations, devoid of highly abundant plasma proteins. In addition, we assessed the stability of exosomes in plasma over 90 days under various storage conditions. Western blotting analysis using the exosomal marker, TSG101, revealed that exosomes are stable for 90 days. Interestingly, in the context of cellular uptake, the isolated exosomes were able to fuse with target cells revealing that they were indeed biologically active. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Development of a spectroscopic technique for simultaneous magnetic field, electron density, and temperature measurements in ICF-relevant plasmas.

    PubMed

    Dutra, E C; Koch, J A; Presura, R; Angermeier, W A; Darling, T; Haque, S; Mancini, R C; Covington, A M

    2016-11-01

    Spectroscopic techniques in the visible range are often used in plasma experiments to measure B-field induced Zeeman splitting, electron densities via Stark broadening, and temperatures from Doppler broadening. However, when electron densities and temperatures are sufficiently high, the broadening of the Stark and Doppler components can dominate the emission spectra and obscure the Zeeman component. In this research, we are developing a time-resolved multi-axial technique for measuring the Zeeman, Stark, and Doppler broadened line emission of dense magnetized plasmas for Z-pinch and Dense Plasma Focus (DPF) accelerators. The line emission is used to calculate the electron densities, temperatures, and B-fields. In parallel, we are developing a line-shape modeling code that incorporates the broadening effects due to Stark, Doppler, and Zeeman effects for dense magnetized plasma. This manuscript presents the details of the experimental setup and line shape code, along with the results obtained from an Al iii doublet at the University of Nevada, Reno at Nevada Terawatt Facility. Future tests are planned to further evaluate the technique and modeling on other material wire array, gas puff, and DPF platforms.

  11. Silicon Oil DC200(R)5CST as AN Alternative Coolant for Cvd Diamond Windows

    NASA Astrophysics Data System (ADS)

    Vaccaro, A.; Aiello, G.; Meier, A.; Schere, T.; Schreck, S.; Spaeh, P.; Strauss, D.; Gantenbein, G.

    2011-02-01

    The production of high power mm-wave radiation is a key technology in large fusion devices, since it is required for localized plasma heating and current drive. Transmission windows are necessary to keep the vacuum in the gyrotron system and also act as tritium barriers. With its excellent optical, thermal and mechanical properties, synthetic CVD (Chemical Vapor Deposition) diamond is the state of the art material for the cw transmission of the mm-wave beams produced by high power gyrotrons. The gyrotrons foreseen for the W7-X stellarator are designed for cw operation with 1 MW output power at 140 GHz. The output window unit is designed by TED (Thales Electron Devices, France) using a single edge circumferentially cooled CVD-diamond disc with an aperture of 88 mm. The window unit is cooled by de-ionized water which is considered as chemical aggressive and might cause corrosion in particular at the brazing. The use of a different coolant such as silicon oil could prevent this issue. The cooling circuit has been simulated by steady-state CFD analysis. A total power generation of 1 kW (RF transmission losses) with pure Gaussian distribution has been assumed for the diamond disc. The performance of both water and the industrial silicon oil DC200(R) have been investigated and compared with a focus on the temperature distribution on the disc, the pressure drop across the cooling path and the heat flux distribution. Although the silicon oil has a higher viscosity (~x5), lower heat capacity (~x1/2) and lower thermal conductivity (~x1/3), it has proven to be a good candidate as alternative to water.

  12. Characterization of microwave plasma CVD of diamond by mass analysis and optical emission spectroscopy

    NASA Astrophysics Data System (ADS)

    Weimer, Wayne A.; Johnson, Curtis E.

    1990-12-01

    A microwave plasma enhanced chemical vapor deposition system is characterized using optical emission spectroscopy and mass spectrometry. CH4 CH2 CH4 and CO were used as carbon source gases. The effects of 02 addition to the feed gas is examined. Emission from CH in the plasma is observed and CH4 is a stable reaction product for all carbon source gases used. 02 is fully consumed and converted to H20 and CO. Emission from C is observed for all hydrocarbon gases when 02 is added but is absent when CO is the carbon source gas. Addition of 02 also dramatically affects the relative amount of reaction products as the carbon in the system is converted to CO. 1.

  13. iCVD Cyclic Polysiloxane and Polysilazane as Nanoscale Thin-Film Electrolyte: Synthesis and Properties.

    PubMed

    Chen, Nan; Reeja-Jayan, B; Liu, Andong; Lau, Jonathan; Dunn, Bruce; Gleason, Karen K

    2016-03-01

    A group of crosslinked cyclic siloxane (Si-O) and silazane (Si-N) polymers are synthesized via solvent-free initiated chemical vapor deposition (iCVD). Notably, this is the first report of cyclic polysilazanes synthesized via the gas-phase iCVD method. The deposited nanoscale thin films are thermally stable and chemically inert. By iCVD, they can uniformly and conformally cover nonplanar surfaces having complex geometry. Although polysiloxanes are traditionally utilized as dielectric materials and insulators, our research shows these cyclic organosilicon polymers can conduct lithium ions (Li(+) ) at room temperature. The conformal coating and the room temperature ionic conductivity make these cyclic organosilicon polymers attractive for use as thin-film electrolytes in solid-state batteries. Also, their synthesis process and properties have been systemically studied and discussed. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  15. Conductive Polymer Synthesis with Single-Crystallinity via a Novel Plasma Polymerization Technique for Gas Sensor Applications.

    PubMed

    Park, Choon-Sang; Kim, Dong Ha; Shin, Bhum Jae; Kim, Do Yeob; Lee, Hyung-Kun; Tae, Heung-Sik

    2016-09-30

    This study proposes a new nanostructured conductive polymer synthesis method that can grow the single-crystalline high-density plasma-polymerized nanoparticle structures by enhancing the sufficient nucleation and fragmentation of the pyrrole monomer using a novel atmospheric pressure plasma jet (APPJ) technique. Transmission electron microscopy (TEM), Fourier transform infrared spectroscopy (FT-IR), X-ray photoelectron spectroscopy (XPS), and field emission scanning electron microscopy (FE-SEM) results show that the plasma-polymerized pyrrole (pPPy) nanoparticles have a fast deposition rate of 0.93 µm·min -1 under a room-temperature process and have single-crystalline characteristics with porous properties. In addition, the single-crystalline high-density pPPy nanoparticle structures were successfully synthesized on the glass, plastic, and interdigitated gas sensor electrode substrates using a novel plasma polymerization technique at room temperature. To check the suitability of the active layer for the fabrication of electrochemical toxic gas sensors, the resistance variations of the pPPy nanoparticles grown on the interdigitated gas sensor electrodes were examined by doping with iodine. As a result, the proposed APPJ device could obtain the high-density and ultra-fast single-crystalline pPPy thin films for various gas sensor applications. This work will contribute to the design of highly sensitive gas sensors adopting the novel plasma-polymerized conductive polymer as new active layer.

  16. Vildagliptin reduces plasma stromal cell-derived factor-1α in patients with type 2 diabetes compared with glimepiride.

    PubMed

    Park, Kyeong Seon; Kwak, SooHeon; Cho, Young Min; Park, Kyong Soo; Jang, Hak C; Kim, Seong Yeon; Jung, Hye Seung

    2017-03-01

    Dipeptidyl peptidase-4 inhibitors might have pleiotropic protective effects on cardiovascular disease (CVD), in contrast to sulfonylureas. Therefore, we compared various CVD risk factors between vildagliptin and glimepiride. We carried out a randomized, prospective and crossover trial. A total of 16 patients with type 2 diabetes whose glycated hemoglobin was >7% were randomized to add vildagliptin or glimepiride. After 12-week treatment, each drug was replaced with the other for another 12 weeks. Before and after each treatment, glucose homeostasis and CVD risk factors were assessed, and the continuous glucose monitoring system was applied to calculate glycemic variability. The mean age of the participants was 60 years, 31% were men, body mass index 25.5 kg/m 2 and HbA1c 8.41%. Both vildagliptin and glimepiride significantly decreased glycated hemoglobin and glycemic variability indices. Despite the improved glucose homeostasis, favorable change of CVD markers was not prominent in both the arms, along with significant weight gain. Only plasma stromal cell-derived factor (SDF)-1α decreased by 30% in the vildagliptin arm. According to regression analyses, the reduction of SDF-1α was independently associated with vildagliptin usage and serum interleukin-6 changes, but white blood cells were not related with the SDF-1α changes. Compared with glimepiride, vildagliptin arrestingly decreased plasma SDF-1α, and its clinical implications should be further investigated. © 2016 The Authors. Journal of Diabetes Investigation published by Asian Association for the Study of Diabetes (AASD) and John Wiley & Sons Australia, Ltd.

  17. Novel gene-by-environment interactions: APOB and NPC1L1 variants affect the relationship between dietary and total plasma cholesterol[S

    PubMed Central

    Kim, Daniel S.; Burt, Amber A.; Ranchalis, Jane E.; Jarvik, Ella R.; Rosenthal, Elisabeth A.; Hatsukami, Thomas S.; Furlong, Clement E.; Jarvik, Gail P.

    2013-01-01

    Cardiovascular disease (CVD) is the leading cause of death in developed countries. Plasma cholesterol level is a key risk factor in CVD pathogenesis. Genetic and dietary variation both influence plasma cholesterol; however, little is known about dietary interactions with genetic variants influencing the absorption and transport of dietary cholesterol. We sought to determine whether gut expressed variants predicting plasma cholesterol differentially affected the relationship between dietary and plasma cholesterol levels in 1,128 subjects (772/356 in the discovery/replication cohorts, respectively). Four single nucleotide polymorphisms (SNPs) within three genes (APOB, CETP, and NPC1L1) were significantly associated with plasma cholesterol in the discovery cohort. These were subsequently evaluated for gene-by-environment (GxE) interactions with dietary cholesterol for the prediction of plasma cholesterol, with significant findings tested for replication. Novel GxE interactions were identified and replicated for two variants: rs1042034, an APOB Ser4338Asn missense SNP and rs2072183 (in males only), a synonymous NPC1L1 SNP in linkage disequilibrium with SNPs 5′ of NPC1L1. This study identifies the presence of novel GxE and gender interactions implying that differential gut absorption is the basis for the variant associations with plasma cholesterol. These GxE interactions may account for part of the “missing heritability” not accounted for by genetic associations. PMID:23482652

  18. Decreased GFR estimated by MDRD or Cockcroft-Gault equation predicts incident CVD: the strong heart study.

    PubMed

    Shara, Nawar M; Resnick, Helaine E; Lu, Li; Xu, Jiaqiong; Vupputuri, Suma; Howard, Barbara V; Umans, Jason G

    2009-01-01

    Kidney function, expressed as glomerular filtration rate (GFR), is commonly estimated from serum creatinine (Scr) and, when decreased, may serve as a nonclassical risk factor for incident cardiovascular disease (CVD). The ability of estimated GFR (eGFR) to predict CVD events during 5-10 years of follow-up is assessed using data from the Strong Heart Study (SHS), a large cohort with a high prevalence of diabetes. eGFRs were calculated with the abbreviated Modification of Diet in Renal Disease study (MDRD) and the Cockcroft-Gault (CG) equations. These estimates were compared in participants with normal and abnormal Scr. The association between eGFR and incident CVD was assessed. More subjects were labeled as having low eGFR (<60 ml/min per 1.73 m2) by the MDRD or CG equation, than by Scr alone. When Scr was in the normal range, both equations labeled similar numbers of participants as having low eGFRs, although concordance between the equations was poor. However, when Scr was elevated, the MDRD equation labeled more subjects as having low eGFR. Persons with low eGFR had increased risk of CVD. The MDRD and CG equations labeled more participants as having decreased GFR than did Scr alone. Decreased eGFR was predictive of CVD in this American Indian population with a high prevalence of obesity and type 2 diabetes mellitus.

  19. Fabrication of nanostructured electrodes and interfaces using combustion CVD

    NASA Astrophysics Data System (ADS)

    Liu, Ying

    Reducing fabrication and operation costs while maintaining high performance is a major consideration for the design of a new generation of solid-state ionic devices such as fuel cells, batteries, and sensors. The objective of this research is to fabricate nanostructured materials for energy storage and conversion, particularly porous electrodes with nanostructured features for solid oxide fuel cells (SOFCs) and high surface area films for gas sensing using a combustion CVD process. This research started with the evaluation of the most important deposition parameters: deposition temperature, deposition time, precursor concentration, and substrate. With the optimum deposition parameters, highly porous and nanostructured electrodes for low-temperature SOFCs have been then fabricated. Further, nanostructured and functionally graded La0.8Sr0.2MnO2-La 0.8SrCoO3-Gd0.1Ce0.9O2 composite cathodes were fabricated on YSZ electrolyte supports. Extremely low interfacial polarization resistances (i.e. 0.43 Ocm2 at 700°C) and high power densities (i.e. 481 mW/cm2 at 800°C) were generated at operating temperature range of 600°C--850°C. The original combustion CVD process is modified to directly employ solid ceramic powder instead of clear solution for fabrication of porous electrodes for solid oxide fuel cells. Solid particles of SOFC electrode materials suspended in an organic solvent were burned in a combustion flame, depositing a porous cathode on an anode supported electrolyte. Combustion CVD was also employed to fabricate highly porous and nanostructured SnO2 thin film gas sensors with Pt interdigitated electrodes. The as-prepared SnO2 gas sensors were tested for ethanol vapor sensing behavior in the temperature range of 200--500°C and showed excellent sensitivity, selectivity, and speed of response. Moreover, several novel nanostructures were synthesized using a combustion CVD process, including SnO2 nanotubes with square-shaped or rectangular cross sections, well

  20. Thermoelectic properties of CVD grown large area graphene

    NASA Astrophysics Data System (ADS)

    Sherehiy, Andriy

    This thesis is based on experimental work on thermoelectric properties of CVD grown large area graphene. The thermoelectric power (TEP) of CVD (Chemical Vapor Deposition) grown large area graphene transferred onto a Si/SiO 2_substrate was measured by simply attaching two miniature thermocouples and a resistive heater. Availability of such large area graphene facilitates straight forward TEP measurement without the use of any microfabrication processes. All investigated graphene samples showed a positive TEP S ≈ 20 mVK in ambient conditions and saturated at a negative value as low as S ≈ -50 mVK after vacuum-annealing at 500 K in a vacuum of 10-7 Torr. The observed p-type behavior under ambient conditions is attributed to the oxygen doping, while the n-type behavior under degassed conditions is due to electron doping from SiO2 surface states. It was observed that the sign of the TEP switched from negative to positive for the degassed graphene when exposed to acceptor gases. Conversely, the TEP of vacuum-annealed graphene exposed to the donor gases became even more negative than the TEP of vacuum-annealed sample.

  1. Zinc Oxide Grown by CVD Process as Transparent Contact for Thin Film Solar Cell Applications

    NASA Astrophysics Data System (ADS)

    Faÿ, S.; Shah, A.

    Metalorganic chemical vapor deposition of ZnO films (MOCVD) [1] started to be comprehensively investigated in the 1980s, when thin film industries were looking for ZnO deposition processes especially useful for large-scale coatings at high growth rates. Later on, when TCO for thin film solar cells started to be developed, another advantage of growing TCO films by the CVD process has been highlighted: the surface roughness. Indeed, a large number of studies on CVD ZnO revealed that an as-grown rough surface cn be obtained with this deposition process [2-4]. A rough surface induces a light scattering effect, which can significantly improve light trapping (and therefore current photo-generation) within thin film silicon solar cells. The CVD process, indeed, directly leads to as-grown rough ZnO films without any post-etching step (the latter is often introduced to obtain a rough surface, when working with as-deposited flat sputtered ZnO). This fact could turn out to be a significant advantage when upscaling the manufacturing process for actual commercial production of thin film solar modules. The zinc and oxygen sources for CVD growth of ZnO films are given in Table 6.1.

  2. Fish consumption and its motives in households with versus without self-reported medical history of CVD: A consumer survey from five European countries

    PubMed Central

    Pieniak, Zuzanna; Verbeke, Wim; Perez-Cueto, Federico; Brunsø, Karen; De Henauw, Stefaan

    2008-01-01

    Background The purpose of this study was to explore the cross-cultural differences in the frequency of fish intake and in motivations for fish consumption between people from households with (CVD+) or without (CVD-) medical history of cardiovascular disease, using data obtained in five European countries. Methods A cross-sectional consumer survey was carried out in November-December 2004 with representative household samples from Belgium, the Netherlands, Denmark, Poland and Spain. The sample consisted of 4,786 respondents, aged 18–84 and who were responsible for food purchasing and cooking in the household. Results Individuals from households in the CVD+ group consumed fish more frequently in Belgium and in Denmark as compared to those in the CVD- group. The consumption of fatty fish, which is the main sources of omega-3 PUFA associated with prevention of cardiovascular diseases, was on the same level for the two CVD groups in the majority of the countries, except in Belgium where CVD+ subjects reported to eat fatty fish significantly more frequently than CVD- subjects. All respondents perceived fish as a very healthy and nutritious food product. Only Danish consumers reported a higher subjective and objective knowledge related to nutrition issues about fish. In the other countries, objective knowledge about fish was on a low level, similar for CVD+ as for CVD- subjects, despite a higher claimed use of medical information sources about fish among CVD+ subjects. Conclusion Although a number of differences between CVD- and CVD+ subjects with respect to their frequency of fish intake are uncovered, the findings suggest that fish consumption traditions and habits – rather than a medical history of CVD – account for large differences between the countries, particularly in fatty fish consumption. This study exemplifies the need for nutrition education and more effective communication about fish, not only to the people facing chronic diseases, but also to the

  3. CVD-grown horizontally aligned single-walled carbon nanotubes: synthesis routes and growth mechanisms.

    PubMed

    Ibrahim, Imad; Bachmatiuk, Alicja; Warner, Jamie H; Büchner, Bernd; Cuniberti, Gianaurelio; Rümmeli, Mark H

    2012-07-09

    Single-walled carbon nanotubes (SWCNTs) have attractive electrical and physical properties, which make them very promising for use in various applications. For some applications however, in particular those involving electronics, SWCNTs need to be synthesized with a high degree of control with respect to yield, length, alignment, diameter, and chirality. With this in mind, a great deal of effort is being directed to the precision control of vertically and horizontally aligned nanotubes. In this review the focus is on the latter, horizontally aligned tubes grown by chemical vapor deposition (CVD). The reader is provided with an in-depth review of the established vapor deposition orientation techniques. Detailed discussions on the characterization routes, growth parameters, and growth mechanisms are also provided. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Chemical reactivity of CVC and CVD SiC with UO2 at high temperatures

    NASA Astrophysics Data System (ADS)

    Silva, Chinthaka M.; Katoh, Yutai; Voit, Stewart L.; Snead, Lance L.

    2015-05-01

    Two types of silicon carbide (SiC) synthesized using two different vapor deposition processes were embedded in UO2 pellets and evaluated for their potential chemical reaction with UO2. While minor reactivity between chemical-vapor-composited (CVC) SiC and UO2 was observed at comparatively low temperatures of 1100 and 1300 °C, chemical-vapor-deposited (CVD) SiC did not show any such reactivity. However, both CVD and CVC SiCs showed some reaction with UO2 at a higher temperature (1500 °C). Elemental maps supported by phase maps obtained using electron backscatter diffraction indicated that CVC SiC was more reactive than CVD SiC at 1500 °C. Furthermore, this investigation indicated the formation of uranium carbides and uranium silicide chemical phases such as UC, USi2, and U3Si2 as a result of SiC reaction with UO2.

  5. Paralinear Oxidation of CVD SiC in Simulated Fuel-Rich Combustion

    NASA Technical Reports Server (NTRS)

    Fox, Dennis S.; Opila, Elizabeth J.; Hann, Raiford E.

    2000-01-01

    The oxidation kinetics of CVD SiC were measured by thermogravimetric analysis (TGA) in a 4H2 (central dot) 12H2O (central dot) 10CO (central dot) 7CO2 (central dot) 67N2 gas mixture flowing at 0.44 cm/s at temperatures between 1300 and 1450 C in fused quartz furnace tubes at I atm total pressure. The SiC was oxidized to form solid SiO2. At less than or = 1350 C, the SiO2 was in turn volatilized. Volatilization kinetics were consistent with the thermodynamic predictions based on SiO formation. These two simultaneous reactions resulted in overall paralinear kinetics. A curve fitting technique was used to determine the linear and parabolic rate constants from the paralinear kinetic data. Volatilization of the protective SiO2 scale resulted in accelerated consumption of SiC. Recession rates under conditions more representative of actual combustors were estimated from the furnace data.

  6. Synthesis of carbon nanotubes from palm oil on stacking and non-stacking substrate by thermal-CVD method

    NASA Astrophysics Data System (ADS)

    Robaiah, M.; Rusop, M.; Abdullah, S.; Khusaimi, Z.; Azhan, H.; Fadzlinatul, M. Y.; Salifairus, M. J.; Asli, N. A.

    2018-05-01

    Palm oil has been used as the carbon source to synthesize carbon nanotubes (CNTs) on silicon substrates using the thermal chemical vapor deposition (CVD) method. Meanwhile, silicon has been applied using two techniques, which are stacked technique and non-stacked technique. The CNTs were grown at the constant time of 30 minutes with various synthesis temperatures of 750 °C, 850 °C and 950 °C. The CNTs were characterized using micro-Raman spectroscopy and field emission scanning electron microscopy (FESEM). It was found that the density, growth rate, diameter and length of the CNTs produced were affected by the synthesis temperature. Moreover, the structure slightly changes were observed between CNTs obtained in SS and NSS. The synthesize temperature of 750 °C was considered as the suitable temperature for the production of CNTs due to low ID/IG ratio, which for stacked is 0.89 and non-stacked are 0.90. The possible explanation for the different morphology of the produced CNTs was also discussed.

  7. Investigation of laser ablation of CVD diamond film

    NASA Astrophysics Data System (ADS)

    Chao, Choung-Lii; Chou, W. C.; Ma, Kung-Jen; Chen, Ta-Tung; Liu, Y. M.; Kuo, Y. S.; Chen, Ying-Tung

    2005-04-01

    Diamond, having many advanced physical and mechanical properties, is one of the most important materials used in the mechanical, telecommunication and optoelectronic industry. However, high hardness value and extreme brittleness have made diamond extremely difficult to be machined by conventional mechanical grinding and polishing. In the present study, the microwave CVD method was employed to produce epitaxial diamond films on silicon single crystal. Laser ablation experiments were then conducted on the obtained diamond films. The underlying material removal mechanisms, microstructure of the machined surface and related machining conditions were also investigated. It was found that during the laser ablation, peaks of the diamond grains were removed mainly by the photo-thermal effects introduced by excimer laser. The diamond structures of the protruded diamond grains were transformed by the laser photonic energy into graphite, amorphous diamond and amorphous carbon which were removed by the subsequent laser shots. As the protruding peaks gradually removed from the surface the removal rate decreased. Surface roughness (Ra) was improved from above 1μm to around 0.1μm in few minutes time in this study. However, a scanning technique would be required if a large area was to be polished by laser and, as a consequence, it could be very time consuming.

  8. Convection and chemistry effects in CVD: A 3-D analysis for silicon deposition

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Kuczmarski, M. A.; Tsui, P.; Chait, A.

    1989-01-01

    The computational fluid dynamics code FLUENT has been adopted to simulate the entire rectangular-channel-like (3-D) geometry of an experimental CVD reactor designed for Si deposition. The code incorporated the effects of both homogeneous (gas phase) and heterogeneous (surface) chemistry with finite reaction rates of important species existing in silane dissociation. The experiments were designed to elucidate the effects of gravitationally-induced buoyancy-driven convection flows on the quality of the grown Si films. This goal is accomplished by contrasting the results obtained from a carrier gas mixture of H2/Ar with the ones obtained from the same molar mixture ratio of H2/He, without any accompanying change in the chemistry. Computationally, these cases are simulated in the terrestrial gravitational field and in the absence of gravity. The numerical results compare favorably with experiments. Powerful computational tools provide invaluable insights into the complex physicochemical phenomena taking place in CVD reactors. Such information is essential for the improved design and optimization of future CVD reactors.

  9. Antimicrobial properties of uncapped silver nanoparticles synthesized by DC arc thermal plasma technique.

    PubMed

    Shinde, Manish; Patil, Rajendra; Karmakar, Soumen; Bhoraskar, Sudha; Rane, Sunit; Gade, Wasudev; Amalnerkar, Dinesh

    2012-02-01

    We, herein, report the antimicrobial properties of uncapped silver nanoparticles for a Gram positive model organism, Bacillus subtilis. Uncapped silver nanoparticles have been prepared using less-explored DC arc thermal plasma technique by considering its large scale generation capability. It is observed that the resultant nanoparticles show size as well as optical property dependent antimicrobial effect.

  10. Are drivers with CVD more at risk for motor vehicle crashes? Study of men aged 45 to 70.

    PubMed Central

    Guibert, R.; Potvin, L.; Ciampi, A.; Loiselle, J.; Philibert, L.; Franco, E. D.

    1998-01-01

    OBJECTIVE: To examine whether male drivers aged 45 to 70 years suffering from cardiovascular disease (CVD) are more likely to be involved in motor vehicle crashes (MVC) that are reported to the police. DESIGN: Population-based case-control study. SETTING: Data on drivers' ages and medical conditions were compiled from the Societé de l'assurance automobile du Québec's (SAAQ) computerized files. A questionnaire was mailed to all subjects to collect additional information on annual distances driven and various driving behaviours. PARTICIPANTS: Age-stratified population-based random sample. Subjects were 2504 drivers involved in MVCs during a 6-month period; controls were 2520 drivers not involved in crashes. MAIN OUTCOME MEASURES: Proportion of drivers with CVD involved in MVCs. RESULTS: Response rate to the questionnaire was 35.5%. Analysis of the SAAQ files' entire sample of 5024 drivers showed that drivers suffering from CVD were less likely to be involved in MVCs (odds ratio [OR] 0.82, 95% confidence interval [CI] 0.67 to 0.99) than drivers without CVD. Although the estimate of risk remains unchanged when adjusted for age, it becomes statistically insignificant. It also remains unchanged and statistically insignificant when adjusted for yearly distance driven and driver behaviour, as shown by responses to the questionnaire. Drivers suffering from CVD drove significantly less each year (8900 km) than drivers without medical conditions (13,000 km). CONCLUSION: This study shows no increased risk of motor vehicle crashes for drivers suffering from CVD. PMID:9585850

  11. CVD SiC deformable mirror with monolithic cooling channels.

    PubMed

    Ahn, Kyohoon; Rhee, Hyug-Gyo; Yang, Ho-Soon; Kihm, Hagyong

    2018-04-16

    We propose a novel deformable mirror (DM) for adaptive optics in high power laser applications. The mirror is made of a Silicon carbide (SiC) faceplate, and cooling channels are embedded monolithically inside the faceplate with the chemical vapor desposition (CVD) method. The faceplate is 200 mm in diameter and 3 mm in thickness, and is actuated by 137 stack-type piezoelectric transducers arranged in a square grid. We also propose a new actuator influence function optimized for modelling our DM, which has a relatively stiffer faceplate and a higher coupling ratio compared with other DMs having thin faceplates. The cooling capability and optical performance of the DM are verified by simulations and actual experiments with a heat source. The DM is proved to operate at 1 kHz without the coolant flow and 100 Hz with the coolant flow, and the residual errors after compensation are less than 30 nm rms (root-mean-square). This paper presents the design, fabrication, and optical performance of the CVD SiC DM.

  12. Fabrication of highly conductive graphene/ITO transparent bi-film through CVD and organic additives-free sol-gel techniques.

    PubMed

    Hemasiri, Bastian Waduge Naveen Harindu; Kim, Jae-Kwan; Lee, Ji-Myon

    2017-12-19

    Indium tin oxide (ITO) still remains as the main candidate for high-performance optoelectronic devices, but there is a vital requirement in the development of sol-gel based synthesizing techniques with regards to green environment and higher conductivity. Graphene/ITO transparent bi-film was synthesized by a two-step process: 10 wt. % tin-doped ITO thin films were produced by an environmentally friendly aqueous sol-gel spin coating technique with economical salts of In(NO 3 ) 3 .H 2 O and SnCl 4 , without using organic additives, on surface free energy enhanced (from 53.826 to 97.698 mJm -2 ) glass substrate by oxygen plasma treatment, which facilitated void-free continuous ITO film due to high surface wetting. The chemical vapor deposited monolayer graphene was transferred onto the synthesized ITO to enhance its electrical properties and it was capable of reducing sheet resistance over 12% while preserving the bi-film surface smoother. The ITO films contain the In 2 O 3 phase only and exhibit the polycrystalline nature of cubic structure with 14.35 ± 0.5 nm crystallite size. The graphene/ITO bi-film exhibits reproducible optical transparency with 88.66% transmittance at 550 nm wavelength, and electrical conductivity with sheet resistance of 117 Ω/sq which is much lower than that of individual sol-gel derived ITO film.

  13. Chemical reactivity of CVC and CVD SiC with UO 2 at high temperatures

    DOE PAGES

    Silva, Chinthaka M.; Katoh, Yutai; Voit, Stewart L.; ...

    2015-02-11

    Two types of silicon carbide (SiC) synthesized using two different vapor deposition processes were embedded in UO 2 pellets and evaluated for their potential chemical reaction with UO 2. While minor reactivity between chemical-vapor-composited (CVC) SiC and UO 2 was observed at comparatively low temperatures of 1100 and 1300 C, chemical-vapor-deposited (CVD) SiC did not show any such reactivity, according to microstructural investigations. But, both CVD and CVC SiCs showed some reaction with UO 2 at a higher temperature (1500 C). Elemental maps supported by phase maps obtained using electron backscatter diffraction indicated that CVC SiC was more reactive thanmore » CVD SiC at 1500 C. Moreover, this investigation indicated the formation of uranium carbides and uranium silicide chemical phases such as UC, USi 2, and U 3Si 2 as a result of SiC reaction with UO 2.« less

  14. Dietary patterns and the risk of CVD and all-cause mortality in older British men.

    PubMed

    Atkins, Janice L; Whincup, Peter H; Morris, Richard W; Lennon, Lucy T; Papacosta, Olia; Wannamethee, S Goya

    2016-10-01

    Dietary patterns are a major risk factor for cardiovascular morbidity and mortality; however, few studies have examined this relationship in older adults. We examined prospective associations between dietary patterns and the risk of CVD and all-cause mortality in 3226 older British men, aged 60-79 years and free from CVD at baseline, from the British Regional Heart Study. Baseline FFQ data were used to generate thirty-four food groups. Principal component analysis identified dietary patterns that were categorised into quartiles, with higher quartiles representing higher adherence to the dietary pattern. Cox proportional hazards examined associations between dietary patterns and risk of all-cause mortality and cardiovascular outcomes. We identified three interpretable dietary patterns: 'high fat/low fibre' (high in red meat, meat products, white bread, fried potato, eggs), 'prudent' (high in poultry, fish, fruits, vegetables, legumes, pasta, rice, wholemeal bread, eggs, olive oil) and 'high sugar' (high in biscuits, puddings, chocolates, sweets, sweet spreads, breakfast cereals). During 11 years of follow-up, 899 deaths, 316 CVD-related deaths, 569 CVD events and 301 CHD events occurred. The 'high-fat/low-fibre' dietary pattern was associated with an increased risk of all-cause mortality only, after adjustment for confounders (highest v. lowest quartile; hazard ratio 1·44; 95 % CI 1·13, 1·84). Adherence to a 'high-sugar' diet was associated with a borderline significant trend for an increased risk of CVD and CHD events. The 'prudent' diet did not show a significant trend with cardiovascular outcomes or mortality. Avoiding 'high-fat/low-fibre' and 'high-sugar' dietary components may reduce the risk of cardiovascular events and all-cause mortality in older adults.

  15. Echocardiographic Techniques of Deformation Imaging in the Evaluation of Maternal Cardiovascular System in Patients with Complicated Pregnancies.

    PubMed

    Visentin, Silvia; Palermo, Chiara; Camerin, Martina; Daliento, Luciano; Muraru, Denisa; Cosmi, Erich; Badano, Luigi P

    2017-01-01

    Cardiovascular diseases (CVD) represent the leading cause of maternal mortality and morbidity. Knowledge of CVD in women is constantly evolving and data are emerging that female-specific risk factors as complications of pregnancy are conditions associated with an increased risk for the long-term development of CVD. Echocardiography is a safe and effective imaging technique indicated in symptomatic or asymptomatic pregnant women with congenital heart diseases who require close monitoring of cardiac function. Deformation imaging is an echocardiographic technique used to assess myocardial function by measuring the actual deformation of the myocardium through the cardiac cycle. Speckle-tracking echocardiography (STE) is a two-dimensional (2D) technique which has been found to be more accurate than tissue Doppler to assess both left ventricular (LV) and right ventricular (RV) myocardial function. The use of 2D STE however might present some technical issues due to the tomographic nature of the technique and the motion in the three-dimensional space of the myocardial speckles. This has promoted the use of 3D STE to track the motion of the speckles in the 3D space. This review will focus on the clinical value of the new echocardiographic techniques of deformation imaging used to assess the maternal cardiovascular system in complicated pregnancies.

  16. Technique for fabrication of ultrathin foils in cylindrical geometry for liner-plasma implosion experiments with sub-megaampere currents

    NASA Astrophysics Data System (ADS)

    Yager-Elorriaga, D. A.; Steiner, A. M.; Patel, S. G.; Jordan, N. M.; Lau, Y. Y.; Gilgenbach, R. M.

    2015-11-01

    In this work, we describe a technique for fabricating ultrathin foils in cylindrical geometry for liner-plasma implosion experiments using sub-MA currents. Liners are formed by wrapping a 400 nm, rectangular strip of aluminum foil around a dumbbell-shaped support structure with a non-conducting center rod, so that the liner dimensions are 1 cm in height, 6.55 mm in diameter, and 400 nm in thickness. The liner-plasmas are imploded by discharging ˜600 kA with ˜200 ns rise time using a 1 MA linear transformer driver, and the resulting implosions are imaged four times per shot using laser-shadowgraphy at 532 nm. This technique enables the study of plasma implosion physics, including the magneto Rayleigh-Taylor, sausage, and kink instabilities on initially solid, imploding metallic liners with university-scale pulsed power machines.

  17. CVD Rhenium Engines for Solar-Thermal Propulsion Systems

    NASA Technical Reports Server (NTRS)

    Williams, Brian E.; Fortini, Arthur J.; Tuffias, Robert H.; Duffy, Andrew J.; Tucker, Stephen P.

    1999-01-01

    Solar-thermal upper-stage propulsion systems have the potential to provide specific impulse approaching 900 seconds, with 760 seconds already demonstrated in ground testing. Such performance levels offer a 100% increase in payload capability compared to state-of-the-art chemical upper-stage systems, at lower cost. Although alternatives such as electric propulsion offer even greater performance, the 6- to 18- month orbital transfer time is a far greater deviation from the state of the art than the one to two months required for solar propulsion. Rhenium metal is the only material that is capable of withstanding the predicted thermal, mechanical, and chemical environment of a solar-thermal propulsion device. Chemical vapor deposition (CVD) is the most well-established and cost-effective process for the fabrication of complex rhenium structures. CVD rhenium engines have been successfully constructed for the Air Force ISUS program (bimodal thrust/electricity) and the NASA Shooting Star program (thrust only), as well as under an Air Force SBIR project (thrust only). The bimodal engine represents a more long-term and versatile approach to solar-thermal propulsion, while the thrust-only engines provide a potentially lower weight/lower cost and more near-term replacement for current upper-stage propulsion systems.

  18. A Novel Femtosecond-gated, High-resolution, Frequency-shifted Shearing Interferometry Technique for Probing Pre-plasma Expansion in Ultra-intense Laser Experiments

    DTIC Science & Technology

    2014-07-17

    frequency-shifted shearing interferometry technique for probing pre-plasma expansion in ultra-intense laser experimentsa) Ultra-intense laser -matter...interaction experiments (>1018 W/cm2) with dense targets are highly sensitive to the effect of laser “noise” (in the form of pre-pulses) preceding the...interferometry technique for probing pre- plasma expansion in ultra-intense laser experimentsa) Report Title Ultra-intense laser -matter interaction

  19. Comparative study of passively Q-switched c-cut Nd:YVO4/Nd:YAG lasers based on CVD graphene and controlled operation

    NASA Astrophysics Data System (ADS)

    Jia, Fuqiang; Liu, Pei; Li, Kang; Chen, Hao; Cheng, Yongjie; Cai, Zhiping; Copner, Nigel

    2017-07-01

    In this paper, a comparative study of passively Q-switched c-cut Nd:YVO4 and Nd:YAG lasers using four different layers CVD graphene as saturable absorber are demonstrated experimentally for the first time. Moreover, it is successful to accurately control the frequency of repetition rates of the CVD graphern passively Q-switched lasers by pulsed pump. The impacts of laser materials, layers of CVD graphene and cavity length on output parameters are investigated intensively as well, the result shows that the c-cut Nd:YVO4 is a promising laser media compared with Nd:YAG for passively Q-switched lasers based on CVD graphene, as it has better performances in pulse width, pulse energy and peak power. A useful and cost-effective way to generate stable pulsed lasers by CVD graphene or other novel saturable materials are demonstrated.

  20. A new tritium monitor design based on plasma source ion implantation technique

    NASA Astrophysics Data System (ADS)

    Nassar, Rafat Mohammad

    Tritium is an important isotope of hydrogen. The availability of tritium in our environment is manifest through both natural and artificial sources. Consequently, the requirement for tritium handling and usage will continue to increase in the future. An important future contributor is nuclear fusion power plants and facilities. Essential safety regulations and procedures require effective monitoring and measurements of tritium concentrations in workplaces. The unique characteristics of tritium impose an important role on the criteria for its detection and measurement. As tritium decays by the emission of soft beta particles, maximum 18 keV, it cannot be readily detected by commonly used detectors. Specially built monitors are required. Additional complications occur due to the presence of other radioactive isotopes or ambient radiation fields and because of the high diffusivity of tritium. When it is in oxidized form it is 25000 times more hazardous biologically than when in elemental form. Therefore, contamination of the monitor is expected and compound specific monitors are important. A summary is given of the various well known methods of detecting tritium-in-air. This covers the direct as well as the indirect measuring techniques, although each has been continually improved and further developed, nevertheless, each has its own limitations. Ionization chambers cannot discriminate against airborne P emitters. Proportional counters have a narrow operating range, 3-4 decades, and have poor performance in relatively high humid environments and require a dry counting gas. Liquid scintillation counters are sensitive, but inspection of the sample is slow and they produce chemical liquid waste. A new way to improve the sensitivity of detecting tritium with plastic scintillators has been developed. The technique is based on a non-line-of-sight implantation of tritium ions into a 20 mum plastic scintillator using a plasma source ion implantation (PSII) technique, This

  1. Plasma CXCL10, sCD163 and sCD14 Levels Have Distinct Associations with Antiretroviral Treatment and Cardiovascular Disease Risk Factors

    PubMed Central

    Castley, Alison; Williams, Leah; James, Ian; Guelfi, George; Berry, Cassandra; Nolan, David

    2016-01-01

    We investigate the associations of three established plasma biomarkers in the context of HIV and treatment-related variables including a comprehensive cardiovascular disease risk assessment, within a large ambulatory HIV cohort. Patients were recruited in 2010 to form the Royal Perth Hospital HIV/CVD risk cohort. Plasma sCD14, sCD163 and CXCL10 levels were measured in 475 consecutive patients with documented CVD risk (age, ethnicity, gender, smoking, blood pressure, BMI, fasting metabolic profile) and HIV treatment history including immunological/virological outcomes. The biomarkers assessed showed distinct associations with virological response: CXCL10 strongly correlated with HIV-1 RNA (p<0.001), sCD163 was significantly reduced among ‘aviraemic’ patients only (p = 0.02), while sCD14 was unaffected by virological status under 10,000 copies/mL (p>0.2). Associations between higher sCD163 and protease inhibitor therapy (p = 0.05) and lower sCD14 with integrase inhibitor therapy (p = 0.02) were observed. Levels of sCD163 were also associated with CVD risk factors (age, ethnicity, HDL, BMI), with a favourable influence of Framingham score <10% (p = 0.04). Soluble CD14 levels were higher among smokers (p = 0.002), with no effect of other CVD risk factors, except age (p = 0.045). Our findings confirm CXCL10, sCD163 and sCD14 have distinct associations with different aspects of HIV infection and treatment. Levels of CXCL10 correlated with routinely monitored variables, sCD163 levels reflect a deeper level of virological suppression and influence of CVD risk factors, while sCD14 levels were not associated with routinely monitored variables, with evidence of specific effects of smoking and integrase inhibitor therapy warranting further investigation. PMID:27355513

  2. The Type of Fat Ingested at Breakfast Influences the Plasma Lipid Profile of Postmenopausal Women

    PubMed Central

    Morillas-Ruiz, J. M.; Delgado-Alarcon, J. M.; Rubio-Perez, J. M.; Albaladejo Oton, M. D.

    2014-01-01

    To assess whether the type of fat ingested at breakfast can modify the plasma lipid profile and other cardiovascular risk variables in postmenopausal women at risk of cardiovascular disease, a longitudinal, randomized, and crossover study was carried out with postmenopausal women at risk of CVD. They were randomly assigned to eat each type of breakfast during one month: 6 study periods (breakfast with the same composition plus butter/margarine/virgin olive oil) separated by two washout periods. On the first and last days of each study period, weight, arterial blood pressure, heart rate, and body mass index were recorded in fasting conditions and a blood sample was collected to measure plasma lipid profile. When comparing final values to baseline values, we only found out statistically significant differences on plasma lipid profiles. Butter-based breakfast increased total cholesterol and HDL, while margarine-based breakfast decreased total cholesterol and LDL and increased HDL. After the olive oil-based breakfast intake, a tendency towards a decrease of total cholesterol and LDL levels and an increase of HDL levels was observed. No statistically significant differences were observed in triglycerides levels, BMI, and arterial pressure in any breakfast type. The margarine-based breakfast was the only one which significantly increased the percentage of volunteers with optimal lipid profiles. The polyunsaturated fat at breakfast has improved the plasma lipid profile in the analyzed sample population, suggesting that PUFA-based breakfast can be advisable in women at risk of CVD. PMID:25136625

  3. Controlled growth of CNT in mesoporous AAO through optimized conditions for membrane preparation and CVD operation

    NASA Astrophysics Data System (ADS)

    Ciambelli, P.; Arurault, L.; Sarno, M.; Fontorbes, S.; Leone, C.; Datas, L.; Sannino, D.; Lenormand, P.; Le Blond Du Plouy, S.

    2011-07-01

    Anodic aluminium oxide (RAAO) membranes with a mesoporous structure were prepared under strictly controlling experimental process conditions, and physically and chemically characterized by a wide range of experimental techniques. Commercial anodic aluminium oxide (CAAO) membranes were also investigated for comparison. We demonstrated that RAAO membranes have lower content of both water and phosphorus and showed better porosity shape than CAAO. The RAAO membranes were used for template growth of carbon nanotubes (CNT) inside its pores by ethylene chemical vapour deposition (CVD) in the absence of a catalyst. A composite material, containing one nanotube for each channel, having the same length as the membrane thickness and an external diameter close to the diameter of the membrane holes, was obtained. Yield, selectivity and quality of CNTs in terms of diameter, length and arrangement (i.e. number of tubes for each channel) were optimized by investigating the effect of changing the experimental conditions for the CVD process. We showed that upon thermal treatment RAAO membranes were made up of crystallized allotropic alumina phases, which govern the subsequent CNT growth, because of their catalytic activity, likely due to their Lewis acidity. The strict control of experimental conditions for membrane preparation and CNT growth allowed us to enhance the carbon structural order, which is a critical requisite for CNT application as a substitute for copper in novel nano-interconnects.

  4. Controlled growth of CNT in mesoporous AAO through optimized conditions for membrane preparation and CVD operation.

    PubMed

    Ciambelli, P; Arurault, L; Sarno, M; Fontorbes, S; Leone, C; Datas, L; Sannino, D; Lenormand, P; Du Plouy, S Le Blond

    2011-07-01

    Anodic aluminium oxide (RAAO) membranes with a mesoporous structure were prepared under strictly controlling experimental process conditions, and physically and chemically characterized by a wide range of experimental techniques. Commercial anodic aluminium oxide (CAAO) membranes were also investigated for comparison. We demonstrated that RAAO membranes have lower content of both water and phosphorus and showed better porosity shape than CAAO. The RAAO membranes were used for template growth of carbon nanotubes (CNT) inside its pores by ethylene chemical vapour deposition (CVD) in the absence of a catalyst. A composite material, containing one nanotube for each channel, having the same length as the membrane thickness and an external diameter close to the diameter of the membrane holes, was obtained. Yield, selectivity and quality of CNTs in terms of diameter, length and arrangement (i.e. number of tubes for each channel) were optimized by investigating the effect of changing the experimental conditions for the CVD process. We showed that upon thermal treatment RAAO membranes were made up of crystallized allotropic alumina phases, which govern the subsequent CNT growth, because of their catalytic activity, likely due to their Lewis acidity. The strict control of experimental conditions for membrane preparation and CNT growth allowed us to enhance the carbon structural order, which is a critical requisite for CNT application as a substitute for copper in novel nano-interconnects.

  5. A Conceptual Framework for Barriers to the Recruitment and Retention of Rural CVD Participants in Behavior Intervention Trials.

    PubMed

    Young, Lufei; Montgomery, Melody; Barnason, Sue; Schmidt, Cindy; Do, Van

    2015-08-01

    Rural residents diagnosed with cardiovascular disease (CVD) or with CVD-related risks are underrepresented in behavioral intervention trials based on an extensive review of published studies. The low participation rate of rural residents weakens both the internal and external validity of published studies. Moreover, compared to urban residents, limited research exists to describe the unique barriers that limit the participation of rural residents in behavioral intervention trials. The purpose of this review is to identify a conceptual framework (CF) underpinning common barriers faced by rural CVD patients to enroll in behavioral intervention trials. We conducted a literature review using several electronic databases to obtain a representative sample of research articles, synthesized the evidence, and developed a CF to explain the barriers that may affect the research participation rate of rural residents with CVD or related risks. We found our evidence-based CF well explained the barriers for rural CVD patients to take part in behavioral intervention trials. Besides contextual factors (i.e. patient, community and research levels), other common factors impacting rural patients' intent to enroll are lack of awareness and understanding about behavioral trials, limited support from their healthcare providers and social circles, unfavorable attitudes, and the lack of opportunity to participating research. The findings demonstrate the evidence-based model consisting of interlinked multi-level factors may help our understanding of the barriers encountered by rural CVD patients participating interventions to promote behavioral change. The implication for researchers is that identifying and developing strategies to overcome the barriers precedes conducting studies in rural communities.

  6. Low-temperature growth of nitrogen-doped carbon nanofibers by acetonitrile catalytic CVD using Ni-based catalysts

    NASA Astrophysics Data System (ADS)

    Iwasaki, Tomohiro; Makino, Yuri; Fukukawa, Makoto; Nakamura, Hideya; Watano, Satoru

    2016-11-01

    To synthesize nitrogen-doped carbon nanofibers (N-CNFs) at high growth rates and low temperatures less than 673 K, nickel species (metallic nickel and nickel oxide) supported on alumina particles were used as the catalysts for an acetonitrile catalytic chemical vapor deposition (CVD) process. The nickel:alumina mass ratio in the catalysts was fixed at 0.05:1. The catalyst precursors were prepared from various nickel salts (nitrate, chloride, sulfate, acetate, and lactate) and then calcined at 1073 K for 1 h in oxidative (air), reductive (hydrogen-containing argon), or inert (pure argon) atmospheres to activate the nickel-based catalysts. The effects of precursors and calcination atmosphere on the catalyst activity at low temperatures were studied. We found that the catalysts derived from nickel nitrate had relatively small crystallite sizes of nickel species and provided N-CNFs at high growth rates of 57 ± 4 g-CNF/g-Ni/h at 673 K in the CVD process using 10 vol% hydrogen-containing argon as the carrier gas of acetonitrile vapor, which were approximately 4 times larger than that of a conventional CVD process. The obtained results reveal that nitrate ions in the catalyst precursor and hydrogen in the carrier gas can contribute effectively to the activation of catalysts in low-temperature CVD. The fiber diameter and nitrogen content of N-CNFs synthesized at high growth rates were several tens of nanometers and 3.5 ± 0.3 at.%, respectively. Our catalysts and CVD process may lead to cost reductions in the production of N-CNFs.

  7. Thin CVD-diamond RF Pill-Box vacuum windows for LHCD systems

    NASA Astrophysics Data System (ADS)

    Ravera, G. L.; Ceccuzzi, S.; Cardinali, A.; Cesario, R.; Mirizzi, F.; Schettini, G.; Tuccillo, A. A.

    2014-02-01

    The preliminary assessment of a Lower Hybrid Current Drive (LHCD) system for the DEMOnstration power plant (DEMO) is mainly focused on the R&D needs of the less conventional RF components of the Main Transmission Line (MTL) and of the launcher. 500 kW, CW klystrons will be used to deliver the RF power to independent Passive Active Multijunction (PAM) launcher modules at 5 GHz. This paper describes the criteria followed to investigate the optimum solution for the RF window used as vacuum barrier between the MTL and the launcher, an open issue in the LHCD system for ITER too. The best candidate, capable of withstanding a power level of, or above, 0.5 MW in CW operation and to satisfy the electrical and thermonuclear requirements, is a Pill-Box assembly, based on a thin single disk of CVD-diamond as dielectric, water cooled at the edge. A thickness of 3 mm, much shorter than half a wavelength of the TE°11 mode in the dielectric as in the conventional window (unfeasible and too expensive with CVD-diamond at these frequencies), is sufficient to limit the exerted stress at the edge under the fracture stress for a maximum pressure applied of 0.9 MPa. In this paper the simulation results of conventional and thin CVD-diamond vacuum windows are presented comparing S-parameters, losses and electric fields in both matching condition and with VSWR = 2, using WR284 and WR229 as input/output rectangular waveguide.

  8. Observation of twinning in diamond CVD films

    NASA Astrophysics Data System (ADS)

    Marciniak, W.; Fabisiak, K.; Orzeszko, S.; Rozploch, F.

    1992-10-01

    Diamond particles prepared by dc-glow-discharge enhanced HF-CVD hybrid method, from a mixture of acetone vapor and hydrogen gas have been examined by TEM, RHEED and dark field method of observation. Results suggest the presence of twinned diamond particles, which can be reconstructed by a sequence of twinning operations. Contrary to the 'stick model' of the lattice, very common five-fold symmetry of diamond microcrystals may be obtained by applying a number of edge dislocations rather than the continuous deformation of many tetrahedral C-C bonds.

  9. Direct Simulation Monte Carlo Simulations of Low Pressure Semiconductor Plasma Processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gochberg, L. A.; Ozawa, T.; Deng, H.

    2008-12-31

    The two widely used plasma deposition tools for semiconductor processing are Ionized Metal Physical Vapor Deposition (IMPVD) of metals using either planar or hollow cathode magnetrons (HCM), and inductively-coupled plasma (ICP) deposition of dielectrics in High Density Plasma Chemical Vapor Deposition (HDP-CVD) reactors. In these systems, the injected neutral gas flows are generally in the transonic to supersonic flow regime. The Hybrid Plasma Equipment Model (HPEM) has been developed and is strategically and beneficially applied to the design of these tools and their processes. For the most part, the model uses continuum-based techniques, and thus, as pressures decrease below 10more » mTorr, the continuum approaches in the model become questionable. Modifications have been previously made to the HPEM to significantly improve its accuracy in this pressure regime. In particular, the Ion Monte Carlo Simulation (IMCS) was added, wherein a Monte Carlo simulation is used to obtain ion and neutral velocity distributions in much the same way as in direct simulation Monte Carlo (DSMC). As a further refinement, this work presents the first steps towards the adaptation of full DSMC calculations to replace part of the flow module within the HPEM. Six species (Ar, Cu, Ar*, Cu*, Ar{sup +}, and Cu{sup +}) are modeled in DSMC. To couple SMILE as a module to the HPEM, source functions for species, momentum and energy from plasma sources will be provided by the HPEM. The DSMC module will then compute a quasi-converged flow field that will provide neutral and ion species densities, momenta and temperatures. In this work, the HPEM results for a hollow cathode magnetron (HCM) IMPVD process using the Boltzmann distribution are compared with DSMC results using portions of those HPEM computations as an initial condition.« less

  10. Preparation and properties of CVD-graphene/AgNWs hybrid transparent electrodes for the application of flexible optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Wang, Xue-yan; Bao, Jun; Li, Lu; Cui, Shao-li; Du, Xiao-qing

    2017-10-01

    The flexible electrodes based on CVD-graphene/ AgNWs hybrid transparent films were prepared by the vacuum filtration and substrate transferring method, and several performances of the films including sheet resistance, optical transmittance, work function, surface roughness and flexibility were further researched. The results suggested that the hybrid films which were obtained by vacuum filtration and substrate transferring method have the advantages such as uniform distribution of AgNWs, high work function, low roughness and small sheet resistance and good flexibility. The sheet resistance of the hybrid films would decrease with the increasing of the concentration of AgNWs, while the surface roughness would increase and the optical transmittance at 550nm of the films decrease linearly. Organic light emitting devices (OLED) devices based on CVD-graphene/AgNWs hybrid films were fabricated, and characteristics of voltage-current density, luminance, current efficiency were tested. It's found that CVD-graphene/AgNWs hybrid films were better than CVD-graphene films when they were used as anodes for organic light emitting devices. It can be seen that CVD-graphene/AgNWs hybrid transparent films have great potential in applications of flexible electrodes, and are of great significance for promoting the development of organic light emitting devices.

  11. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    NASA Astrophysics Data System (ADS)

    Meier, D.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Manfredi, P. F.; Marshall, R. D.; Mishina, M.; Le Normand, F.; Pan, L. S.; Palmieri, V. G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-04-01

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/ c and 500 Mev protons up to a fluence of 5×10 15 p/cm 2. We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1×10 15 p/cm 2 and decreases by ≈40% at 5×10 15 p/cm 2. Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/ c and 500 MeV protons up to at least 1×10 15p/cm 2 without signal loss.

  12. Plasma Radiofrequency Discharges as Cleaning Technique for the Removal of C-W Coatings

    NASA Astrophysics Data System (ADS)

    Cremona, A.; Vassallo, E.; Caniello, R.; Ghezzi, F.; Grosso, G.; Laguardia, L.

    2013-06-01

    Erosion of materials by chemical and physical sputtering is one of the most concern of plasma wall interaction in tokamaks. In divertor ITER-like tokamaks, where carbon and tungsten are planned to be used, hydrogenated C-W mixed compounds are expected to form by erosion, transport and re-deposition processes. The selection of these materials as divertor components involves lifetime and safety issues due to tritium retention in carbon co-deposits. In this paper a cleaning technique based on RF (13.56 MHz) capacitively coupled H2/Ar plasmas has been used to remove C-W mixed materials from test specimens. The dependence of the removal rate on the H2/Ar ratio and on the plasma pressure has been investigated by X-ray photoelectron spectroscopy, atomic force microscopy, profilometry as regards the solid phase and by Langmuir probe and optical emission spectroscopy as regards the plasma phase. The best result has been obtained with a H2/Ar ratio of 10/90 at a pressure of 1 Pa. An explanation based on a synergistic effect between physical sputtering due to energetic ions and chemical etching due to radicals, together with the pressure dependence of the ion energy distribution function, is given.

  13. Co-morbid depression is associated with poor work outcomes in persons with cardiovascular disease (CVD): A large, nationally representative survey in the Australian population

    PubMed Central

    2012-01-01

    Background Co-morbid major depressive disorder (MDD) and cardiovascular disease (CVD) is associated with poor clinical and psychological outcomes. However, the full extent of the burden of, and interaction between, this co-morbidity on important vocational outcomes remains less clear, particularly at the population level. We examine the association of co-morbid MDD with work outcomes in persons with and without CVD. Methods This study utilised cross-sectional, population-based data from the 2007 Australian National Survey of Mental Health and Wellbeing (n = 8841) to compare work outcomes of individuals with diagnostically-defined MDD and CVD, MDD but not CVD, CVD but not MDD, with a reference group of "healthy" Australians. Workforce participation was defined as being in full- or part-time employment. Work functioning was measured using a WHO Disability Assessment Schedule item. Absenteeism was assessed using the 'days out of role' item. Results Of the four groups, those with co-morbid MDD and CVD were least likely to report workforce participation (adj OR:0.4, 95% CI: 0.3-0.6). Those with MDD only (adj OR:0.8, 95% CI:0.7-0.9) and CVD only (adj OR:0.8, 95% CI: 0.6-0.9) also reported significantly reduced odds of participation. Employed individuals with co-morbid MDD and CVD were 8 times as likely to experience impairments in work functioning (adj OR:8.1, 95% CI: 3.8- 17.3) compared with the reference group. MDD was associated with a four-fold increase in impaired functioning. Further, individuals with co-morbid MDD and CVD reported greatest likelihood of workplace absenteeism (adj. OR:3.0, 95% CI: 1.4-6.6). Simultaneous exposure to MDD and CVD conferred an even greater likelihood of poorer work functioning. Conclusions Co-morbid MDD and CVD is associated with significantly poorer work outcomes. Specifically, the effects of these conditions on work functioning are synergistic. The development of specialised treatment programs for those with co-morbid MDD and CVD is

  14. Novel 3D Tissue Engineered Bone Model, Biomimetic Nanomaterials, and Cold Atmospheric Plasma Technique for Biomedical Applications

    NASA Astrophysics Data System (ADS)

    Wang, Mian

    This thesis research is consist of four chapters, including biomimetic three-dimensional tissue engineered nanostructured bone model for breast cancer bone metastasis study (Chapter one), cold atmospheric plasma for selectively ablating metastatic breast cancer (Chapter two), design of biomimetic and bioactive cold plasma modified nanostructured scaffolds for enhanced osteogenic differentiation of bone marrow derived mesenchymal stem cells (Chapter three), and enhanced osteoblast and mesenchymal stem cell functions on titanium with hydrothermally treated nanocrystalline hydroxyapatite/magnetically treated carbon nanotubes for orthopedic applications (Chapter four). All the thesis research is focused on nanomaterials and the use of cold plasma technique for various biomedical applications.

  15. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  16. Technique for fabrication of ultrathin foils in cylindrical geometry for liner-plasma implosion experiments with sub-megaampere currents

    DOE PAGES

    Yager-Elorriaga, D. A.; Steiner, A. M.; Patel, S. G.; ...

    2015-11-19

    In this study, we describe a technique for fabricating ultrathin foils in cylindrical geometry for liner-plasma implosion experiments using sub-MA currents. Liners are formed by wrapping a 400 nm, rectangular strip of aluminum foil around a dumbbell-shaped support structure with a non-conducting center rod, so that the liner dimensions are 1 cm in height, 6.55 mm in diameter, and 400 nm in thickness. The liner-plasmas are imploded by discharging ~600 kA with ~200 ns rise time using a 1 MA linear transformer driver, and the resulting implosions are imaged four times per shot using laser-shadowgraphy at 532 nm. As amore » result, this technique enables the study of plasma implosion physics, including the magneto Rayleigh-Taylor, sausage, and kink instabilities on initially solid, imploding metallic liners with university-scale pulsed power machines.« less

  17. Technique for fabrication of ultrathin foils in cylindrical geometry for liner-plasma implosion experiments with sub-megaampere currents

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yager-Elorriaga, D. A.; Steiner, A. M.; Patel, S. G.

    In this study, we describe a technique for fabricating ultrathin foils in cylindrical geometry for liner-plasma implosion experiments using sub-MA currents. Liners are formed by wrapping a 400 nm, rectangular strip of aluminum foil around a dumbbell-shaped support structure with a non-conducting center rod, so that the liner dimensions are 1 cm in height, 6.55 mm in diameter, and 400 nm in thickness. The liner-plasmas are imploded by discharging ~600 kA with ~200 ns rise time using a 1 MA linear transformer driver, and the resulting implosions are imaged four times per shot using laser-shadowgraphy at 532 nm. As amore » result, this technique enables the study of plasma implosion physics, including the magneto Rayleigh-Taylor, sausage, and kink instabilities on initially solid, imploding metallic liners with university-scale pulsed power machines.« less

  18. The plasma free amino acid dose-response technique: A proposed methodology for determining lysine relative bioavailability of rumen-protected lysine supplements.

    PubMed

    Whitehouse, N L; Schwab, C G; Brito, A F

    2017-12-01

    Estimates of Lys bioavailability of rumen-protected Lys (RP-Lys) supplements are often obtained using in vitro or 2-step in situ techniques, with little to no data determining efficacy and bioavailability in vivo. The objective of this study was to further evaluate and refine the use of the plasma free AA dose-response technique as a method for determining Lys relative bioavailability of RP-Lys supplements. Thirteen dose-response Latin square studies using 87 lactating, ruminally cannulated multiparous Holstein cows (days in milk from 55 to 315 and milk yield from 12 to 62 kg/d at the start of the studies) were conducted to measure the relative bioavailability of RP-Lys supplements. Intestinal (1 study) and abomasal (12 studies) infusions of Lys ranged from 0 to 84 g/d, and experimental periods ranged from 4 to 21 d. Basal diets were formulated to be adequate in metabolizable Met, but varied in predicted metabolizable Lys (5.04 to 6.81% of metabolizable protein). One to 4 daily blood samples were taken from the coccygeal vessels for 1 to 3 consecutive days in each period. Plasma Lys concentration in cows assigned to the control treatment (0 g/d Lys) ranged from 1.83 to 5.21% of total plasma AA, whereas that from cows duodenally or abomasally infused with Lys ranged from 2.53 to 7.51% of total plasma AA. Results from studies involving more than 2 amounts of infused Lys confirmed linearity of response. The following variables were regressed against the plasma Lys dose-response slopes generated from the Lys infusion treatments to examine their effects on the magnitude of the slopes: plasma Lys concentration of the control diet, plasma Lys concentration at the greatest amount of infused Lys, net energy of lactation and metabolizable protein balances, metabolizable protein supply, days in milk, milk yield, milk concentrations of fat, true protein, and lactose, milk true protein yield, and dry matter intake. The variable having the greatest effect on the magnitude of the

  19. VOx effectively doping CVD-graphene for transparent conductive films

    NASA Astrophysics Data System (ADS)

    Ji, Qinghua; Shi, Liangjing; Zhang, Qinghong; Wang, Weiqi; Zheng, Huifeng; Zhang, Yuzhi; Liu, Yangqiao; Sun, Jing

    2016-11-01

    Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VOx doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86-90%. The optimized VOx-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VOx can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VOx species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VOx doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  20. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon.

    PubMed

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca I

    2017-03-06

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C.

  1. AuCl3 doping-induced conductive unstability for CVD-grown graphene on glass substrate

    NASA Astrophysics Data System (ADS)

    Wang, Jiaqing; Liu, Xianming; Cao, Xueying; Zhang, Peng; Lei, Xiaohua; Chen, Weimin

    2017-09-01

    Graphene is a candidate material for next-generation high performance transparent conducting film (TCF) to replace indium tin oxide (ITO) materials. However, the sheet resistance of large area graphene obtained by the chemical vapor deposition (CVD) method is higher than other kinds of TCFs. The main strategies for improving the electrical conductivity of graphene films have been based on various doping treatments. AuCl3 is one of the most effective dopants. In this paper, we investigate the influence of AuCl3 doping on the conductive stability of CVD-grown graphene. Large area graphene film synthesized by CVD and transferred to glass substrates is taken as experimental sample. AuCl3 in nitromethane is used to dope the graphene films to improve the electrical conductivity. Another sample without doping is prepared for comparison. The resistances of graphene under periodic visible light irradiation with and without AuCl3 doping are measured. Results show that the resistances for all samples increase exponentially under lighting, while decrease slowly in an exponential form as well after the light is switched off. The relative resistance changes for undoped and doped samples are compared under 445nm light irradiation with 40mW/cm2, 60mW/cm2, 80mW/cm2, 100mW/cm2 in atmosphere and vacuum. The change rate and degree for doped graphene are greater than that of undoped graphene. It is evident from the experimental data that AuCl3 doping may induce conductive unstability for CVD-grown graphene on glass substrate.

  2. Higher Plasma Phospholipid n–3 PUFAs, but Lower n–6 PUFAs, Are Associated with Lower Pulse Wave Velocity among Older Adults123

    PubMed Central

    Reinders, Ilse; Murphy, Rachel A; Song, Xiaoling; Mitchell, Gary F; Visser, Marjolein; Cotch, Mary Frances; Garcia, Melissa E; Launer, Lenore J; Eiriksdottir, Gudny; Gudnason, Vilmundur; Harris, Tamara B; Brouwer, Ingeborg A

    2015-01-01

    Background: Higher intake of polyunsaturated fatty acids (PUFAs) and higher circulating PUFAs are associated with lower cardiovascular disease (CVD) risk. The positive influence of PUFAs might be via lowering arterial stiffness, resulting in a better CVD risk profile; however, studies investigating circulating PUFAs in relation to arterial stiffness in a general population are limited. Objective: We investigated the associations of plasma phospholipid n–3 (ω-3) and n–6 PUFAs and fish oil intake with arterial stiffness. Methods: We used data from a subgroup of the Age, Gene/Environment Susceptibility–Reykjavik (AGES-Reykjavik) Study (n = 501, 75.0 ± 4.96 y, 46% men), a population-based study of community-dwelling older adults. Plasma phospholipid PUFAs were measured by GC at baseline, and fish oil intake was assessed at 3 time points: early life (ages 14–19 y), midlife (ages 40–50 y), and late life (ages 66–96 y, AGES-Reykjavik baseline) with the use of a validated food-frequency questionnaire. Arterial stiffness was determined as carotid–femoral pulse wave velocity (cf-PWV) with the use of an electrocardiogram after a mean follow-up of 5.2 ± 0.3 y. Regression coefficients (95% CIs), adjusted for demographics, follow-up time, risk factors, cholesterol, triglycerides, and serum vitamin D, were calculated by linear regression per SD increment in PUFAs. Results: Plasma total n–3 PUFAs, eicosapentaenoic acid, and docosahexaenoic acid were associated with lower cf-PWV [β (95% CI): −0.036 (−0.064, −0.008); −0.031 (−0.059, −0.003); −0.036 (−0.064, −0.009), respectively]. In contrast, plasma total n–6 PUFAs and linoleic acid were associated with higher cf-PWV [0.035 (0.009, 0.061) and 0.034 (0.008, 0.059)]. Regular fish oil consumption at early-, mid-, and late-life was not associated with cf-PWV. Conclusions: Our results show a positive association between plasma n–6 PUFAs and arterial stiffness, and suggest that higher

  3. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    NASA Astrophysics Data System (ADS)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-02-01

    The thermoluminescent (TL) response of Chemical Vapour Deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters.

  4. Mirror Langmuir probe: a technique for real-time measurement of magnetized plasma conditions using a single Langmuir electrode.

    PubMed

    LaBombard, B; Lyons, L

    2007-07-01

    A new method for the real-time evaluation of the conditions in a magnetized plasma is described. The technique employs an electronic "mirror Langmuir probe" (MLP), constructed from bipolar rf transistors and associated high-bandwidth electronics. Utilizing a three-state bias wave form and active feedback control, the mirror probe's I-V characteristic is continuously adjusted to be a scaled replica of the "actual" Langmuir electrode immersed in a plasma. Real-time high-bandwidth measurements of the plasma's electron temperature, ion saturation current, and floating potential can thereby be obtained using only a single electrode. Initial tests of a prototype MLP system are reported, proving the concept. Fast-switching metal-oxide-semiconductor field-effect transistors produce the required three-state voltage bias wave form, completing a full cycle in under 1 mus. Real-time outputs of electron temperature, ion saturation current, and floating potential are demonstrated, which accurately track an independent computation of these values from digitally stored I-V characteristics. The MLP technique represents a significant improvement over existing real-time methods, eliminating the need for multiple electrodes and sampling all three plasma parameters at a single spatial location.

  5. The effects of a 12-week worksite physical activity intervention on anthropometric indices, blood pressure indices, and plasma biomarkers of cardiovascular disease risk among university employees.

    PubMed

    Corbett, Duane B; Fennell, Curtis; Peroutky, Kylene; Kingsley, J Derek; Glickman, Ellen L

    2018-01-29

    To determine the effectiveness of a low-cost 12-week worksite physical activity intervention targeting a goal of 10,000 steps per day on reducing anthropometric indices, blood pressure indices, and plasma biomarkers of cardiovascular disease (CVD) risk among the employees of a major university. Fifty university employees (n = 43 female, n = 7 male; mean age = 48 ± 10 years) participated in the 12-week physical activity intervention (60 min, 3 day/week). Each session included both aerobic (cardiorespiratory endurance) and muscle-strengthening (resistance) physical activity using existing university facilities and equipment. Anthropometric indices, blood pressure indices, and plasma biomarkers of CVD risk assessed included those for obesity (body mass index), hypertension (systolic blood pressure, SBP; diastolic blood pressure, DBP), dyslipidemia (high-density lipoprotein, HDL; low-density lipoprotein, LDL; total serum cholesterol), and prediabetes (impaired fasting glucose, IFG). Steps per day were assessed using a wrist-worn activity monitor. Participants were given the goal of 10,000 steps per day and categorized as either compliers (≥ 10,000 steps per day on average) or non-compliers (< 10,000 steps per day on average) based on their ability to achieve this goal. Overall, 34% of participants at baseline were already at an elevated risk of CVD due to age. On average, 28% of participants adhered to the goal of 10,000 steps per day. After 12-weeks, participants in both groups (compliers and non-compliers) had lower BMI scores (p < 0.001), lower HDL scores (p < 0.034), and higher IFG scores (p < 0.001). The non-compliers had a greater reduction of BMI scores than the compliers (p = 0.003). Participants at risk for CVD had greater reductions than those not at risk for several risk factors, including SBP (p = 0.020), DBP (p = 0.028), IFG (p = 0.002), LDL (p = 0.006), and total serum cholesterol (p = 0.009). While the physical activity

  6. Greater flavonoid intake is associated with improved CVD risk factors in US adults.

    PubMed

    Kim, Kijoon; Vance, Terrence M; Chun, Ock K

    2016-04-01

    Epidemiological studies have reported that diets high in flavonoids are associated with a reduced risk of CVD. However, evidence on the association of dietary flavonoid intake with CVD risk factors is still scarce. The present study aimed to investigate the association of dietary flavonoid intake with CVD risk factors among US adults in the National Health and Nutrition Examination Survey (NHANES) 2007-2012. A total of 4042 US adults aged 19 years and older from the NHANES 2007-2012 participated in this cross-sectional, population-based study. Intakes of total and individual flavonoids were estimated from 2-d 24-h diet recall data by matching with the expanded US Department of Agriculture flavonoid, isoflavone and proanthocyanidin databases. After adjusting for covariates, increased HDL-cholesterol was associated with higher total flavonoid intake (0·54 % change). TAG and TAG:HDL-cholesterol ratio were inversely associated with anthocyanidin (-1·25 % change for TAG; -1·60 % change for TAG:HDL-cholesterol ratio) and total flavonoid intakes (-1·31 % change for TAG; -1·83 % change for TAG:HDL-cholesterol ratio), respectively. Insulin and homoeostasis model assessment for insulin resistance (HOMA-IR) were inversely associated with flavone (for insulin, -3·18 % change; 95 % CI -5·85, -0·44; for HOMA-IR, -3·10 % change; 95 % CI -5·93, -0·19) and isoflavone intakes (for insulin, -3·11 % change; 95 % CI -5·46, -0·70; for HOMA-IR, -4·01 % change; 95 % CI -6·67, -1·27). BMI was negatively associated with anthocyanidin intake (-0·60 % change). This study showed that higher flavonoid intake was associated with improved CVD risk factors. Further research is warranted to confirm the findings from this study as these associations were moderate in strength.

  7. High T(sub c) superconductors fabricated by plasma aerosol mist deposition technique

    NASA Technical Reports Server (NTRS)

    Wang, X. W.; Vuong, K. D.; Leone, A.; Shen, C. Q.; Williams, J.; Coy, M.

    1995-01-01

    We report new results on high T(sub c) superconductors fabricated by a plasma aerosol mist deposition technique, in atmospheric environment. Materials fabricated are YBaCuO, BiPbSrCaCuO, BaCaCuO precursor films for TlBaCaCuO, and other buffers such as YSZ. Depending on processing conditions, sizes of crystallites and/or particles are between dozens of nano-meters and several micrometers. Superconductive properties and other material characteristics can also be tailored.

  8. Single laser based pump-probe technique to study plasma shielding during nanosecond laser ablation of copper thin films

    NASA Astrophysics Data System (ADS)

    Nammi, Srinagalakshmi; Vasa, Nilesh J.; Gurusamy, Balaganesan; Mathur, Anil C.

    2017-09-01

    A plasma shielding phenomenon and its influence on micromachining is studied experimentally and theoretically for laser wavelengths of 355 nm, 532 nm and 1064 nm. A time resolved pump-probe technique is proposed and demonstrated by splitting a single nanosecond Nd3+:YAG laser into an ablation laser (pump laser) and a probe laser to understand the influence of plasma shielding on laser ablation of copper (Cu) clad on polyimide thin films. The proposed nanosecond pump-probe technique allows simultaneous measurement of the absorption characteristics of plasma produced during Cu film ablation by the pump laser. Experimental measurements of the probe intensity distinctly show that the absorption by the ablated plume increases with increase in the pump intensity, as a result of plasma shielding. Theoretical estimation of the intensity of the transmitted pump beam based on the thermo-temporal modeling is in qualitative agreement with the pump-probe based experimental measurements. The theoretical estimate of the depth attained for a single pulse with high pump intensity value on a Cu thin film is limited by the plasma shielding of the incident laser beam, similar to that observed experimentally. Further, the depth of micro-channels produced shows a similar trend for all three wavelengths, however, the channel depth achieved is lesser at the wavelength of 1064 nm.

  9. CVD of silicon carbide on structural fibers - Microstructure and composition

    NASA Technical Reports Server (NTRS)

    Veitch, Lisa C.; Terepka, Francis M.; Gokoglu, Suleyman A.

    1992-01-01

    Structural fibers are currently being considered as reinforcements for intermetallic and ceramic materials. Some of these fibers, however, are easily degraded in a high temperature oxidative environment. Therefore, coatings are needed to protect the fibers from environmental attack. Silicon carbide (SiC) was chemically vapor deposited (CVD) on Textron's SCS6 fibers. Fiber temperatures ranging from 1350 to 1500 C were studied. Silane (SiH4) and propane (C2H8) were used for the source gases and different concentrations of these source gases were studied. Deposition rates were determined for each group of fibers at different temperatures. Less variation in deposition rates were observed for the dilute source gas experiments than the concentrated source gas experiments. A careful analysis was performed on the stoichiometry of the CVD SiC coating using electron microprobe. Microstructures for the different conditions were compared. At 1350 C, the microstructures were similar; however, at higher temperatures, the microstructure for the more concentrated source gas group were porous and columnar in comparison to the cross sections taken from the same area for the dilute source gas group.

  10. CVD of silicon carbide on structural fibers: Microstructure and composition

    NASA Technical Reports Server (NTRS)

    Veitch, Lisa C.; Terepka, Francis M.; Gokoglu, Suleyman A.

    1992-01-01

    Structural fibers are currently being considered as reinforcements for intermetallic and ceramic materials. Some of these fibers, however, are easily degraded in a high temperature oxidative environment. Therefore, coatings are needed to protect the fibers from environmental attack. Silicon carbide (SiC) was chemically vapor deposited (CVD) on Textron's SCS6 fibers. Fiber temperatures ranging from 1350 to 1500 C were studied. Silane (SiH4) and propane (C2H8) were used for the source gases and different concentrations of these source gases were studied. Deposition rates were determined for each group of fibers at different temperatures. Less variation in deposition rates were observed for the dilute source gas experiments than the concentrated source gas experiments. A careful analysis was performed on the stoichiometry of the CVD SiC coating using electron microprobe. Microstructures for the different conditions were compared. At 1350 C, the microstructures were similar; however, at higher temperatures, the microstructure for the more concentrated source gas group were porous and columnar in comparison to the cross sections taken from the same area for the dilute source gas group.

  11. Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Mengdi, E-mail: M.Yang@utwente.nl; Aarnink, Antonius A. I.; Kovalgin, Alexey Y.

    2016-01-15

    In this work, the authors developed hot-wire assisted atomic layer deposition (HWALD) to deposit tungsten (W) with a tungsten filament heated up to 1700–2000 °C. Atomic hydrogen (at-H) was generated by dissociation of molecular hydrogen (H{sub 2}), which reacted with WF{sub 6} at the substrate to deposit W. The growth behavior was monitored in real time by an in situ spectroscopic ellipsometer. In this work, the authors compare samples with tungsten grown by either HWALD or chemical vapor deposition (CVD) in terms of growth kinetics and properties. For CVD, the samples were made in a mixture of WF{sub 6} and molecularmore » or atomic hydrogen. Resistivity of the WF{sub 6}-H{sub 2} CVD layers was 20 μΩ·cm, whereas for the WF{sub 6}-at-H-CVD layers, it was 28 μΩ·cm. Interestingly, the resistivity was as high as 100 μΩ·cm for the HWALD films, although the tungsten films were 99% pure according to x-ray photoelectron spectroscopy. X-ray diffraction reveals that the HWALD W was crystallized as β-W, whereas both CVD films were in the α-W phase.« less

  12. Which population groups are most unaware of CVD risks associated with sitting time?

    PubMed

    Duncan, Mitch J; Gilson, Nicholas; Vandelanotte, Corneel

    2014-08-01

    Prolonged sitting is an emerging risk factor for poor health yet few studies have examined awareness of the risks associated with sitting behaviours. This study identifies the population subgroups with the highest levels of unawareness regarding the cardiovascular disease (CVD) risks associated with sitting behaviours. Adults (n=1256) living in Queensland, Australia completed a telephone-based survey in 2011, analysis conducted in 2013. The survey assessed participant's socio-demographic characteristics, physical activity, sitting behaviours and awareness of CVD risks associated with three sitting behaviours: 1) sitting for prolonged periods, 2), sitting for prolonged periods whilst also engaging in regular physical activity, and 3) breaking up periods of prolonged sitting with short activity breaks. Population sub-groups with the highest levels of unawareness were identified based on socio-demographic and behavioural characteristics using signal detection analysis. Unawareness ranged from 23.3% to 67.0%. Age was the most important variable in differentiating awareness levels; younger adults had higher levels of unawareness. Body mass index, physical activity, TV viewing, employment status and time spent at work also identified population sub-groups. Unawareness of CVD risk for prolonged sitting was moderately high overall. Younger adults had high levels of unawareness on all of the outcomes examined. Copyright © 2014 Elsevier Inc. All rights reserved.

  13. The training and fieldwork experiences of community health workers conducting population-based, noninvasive screening for CVD in LMIC.

    PubMed

    Abrahams-Gessel, Shafika; Denman, Catalina A; Montano, Carlos Mendoza; Gaziano, Thomas A; Levitt, Naomi; Rivera-Andrade, Alvaro; Carrasco, Diana Munguía; Zulu, Jabu; Khanam, Masuma Akter; Puoane, Thandi

    2015-03-01

    Cardiovascular disease (CVD) is on the rise in low- and middle-income countries and is proving difficult to combat due to the emphasis on improving outcomes in maternal and child health and infectious diseases against a backdrop of severe human resource and infrastructure constraints. Effective task-sharing from physicians or nurses to community health workers (CHW) to conduct population-based screening for persons at risk has the potential to mitigate the impact of CVD on vulnerable populations. CHW in Bangladesh, Guatemala, Mexico, and South Africa were trained to conduct noninvasive population-based screening for persons at high risk for CVD. This study sought to quantitatively assess the performance of CHW during training and to qualitatively capture their training and fieldwork experiences while conducting noninvasive screening for CVD risk in their communities. Written tests were used to assess CHW's acquisition of content knowledge during training, and focus group discussions were conducted to capture their training and fieldwork experiences. Training was effective at increasing the CHW's content knowledge of CVD, and this knowledge was largely retained up to 6 months after the completion of fieldwork. Common themes that need to be addressed when designing task-sharing with CHW in chronic diseases are identified, including language, respect, and compensation. The importance of having intimate knowledge of the community receiving services from design to implementation is underscored. Effective training for screening for CVD in community settings should have a strong didactic core that is supplemented with culture-specific adaptations in the delivery of instruction. The incorporation of expert and intimate knowledge of the communities themselves is critical, from the design to implementation phases of training. Challenges such as role definition, defining career paths, and providing adequate remuneration must be addressed. Copyright © 2015 World Heart

  14. Influence of CVD diamond tips and Er:YAG laser irradiation on bonding of different adhesive systems to dentin.

    PubMed

    da Silva, Melissa Aline; Di Nicolo, Rebeca; Barcellos, Daphne Camara; Batista, Graziela Ribeiro; Pucci, Cesar Rogerio; Rocha Gomes Torres, Carlos; Borges, Alessandra Bühler

    2013-01-01

    The aim of this study was to compare the microtensile bond strength of three adhesive systems, using different methods of dentin preparation. A hundred and eight bovine teeth were used. The dentin from buccal face was exposed and prepared with three different methods, divided in 3 groups: Group 1 (DT)- diamond tip on a high-speed handpiece; Group 2 (CVD)-CVD tip on a ultrasonic handpiece; Group 3 (LA)-Er: YAG laser. The teeth were divided into 3 subgroups, according adhesive systems used: Subgroup 1-Adper Single Bond Plus/3M ESPE (SB) total-etch adhesive; Subgroup 2-Adper Scotchbond SE/3M ESPE (AS) selfetching adhesive; Subgroup 3-Clearfil SE Bond/Kuraray (CS) selfetching adhesive. Blocks of composite (Filtek Z250-3M ESPE) 4 mm high were built up and specimens were stored in deionized water for 24 hours at 37°C. Serial mesiodistal and buccolingual cuts were made and stick-like specimens were obtained, with transversal section of 1.0 mm(2). The samples were submitted to microtensile test at 1 mm/min and load of 10 kg in a universal testing machine. Data (MPa) were subjected to ANOVA and Tukey's tests (p < 0.05). Surface treatment with Diamond or CVD tips associated with Clearfil SE Bond adhesive produced significantly lower bond strength values compared to other groups. Surface treatment with Er: YAG laser associated with Single Bond Plus or Clearfil SE Bond adhesives and surface treatment with CVD tip associated with Adper Scotchbond SE adhesive produced significantly lower bond strength values compared to surface treatment with diamond or CVD tips associated with Single Bond Plus or Adper Scotchbond SE adhesives. Interactions between laser and the CVD tip technologies and the different adhesive systems can produce a satisfactory bonding strength result, so that these associations may be beneficial and enhance the clinical outcomes.

  15. The CRDS method application for study of the gas-phase processes in the hot CVD diamond thin film.

    NASA Astrophysics Data System (ADS)

    Buzaianumakarov, Vladimir; Hidalgo, Arturo; Morell, Gerardo; Weiner, Brad; Buzaianu, Madalina

    2006-03-01

    For detailed analysis of problem related to the hot CVD carbon-containing nano-material growing, we have to detect different intermediate species forming during the growing process as well as investigate dependences of concentrations of these species on different experimental parameters (concentrations of the CJH4, H2S stable chemical compounds and distance from the filament system to the substrate surface). In the present study, the HS and CS radicals were detected using the Cavity Ring Down Spectroscopic (CRDS) method in the hot CVD diamond thin film for the CH4(0.4 %) + H2 mixture doped by H2S (400 ppm). The absolute absorption density spectra of the HS and CS radicals were obtained as a function of different experimental parameters. This study proofs that the HS and CS radicals are an intermediate, which forms during the hot filament CVD process. The kinetics approach was developed for detailed analysis of the experimental data obtained. The kinetics scheme includes homogenous and heterogenous processes as well as processes of the chemical species transport in the CVD chamber.

  16. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon

    PubMed Central

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca i

    2017-01-01

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C. PMID:28262840

  17. Is There a Dose-Response Relationship between Tea Consumption and All-Cause, CVD, and Cancer Mortality?

    PubMed

    Yan, Yi; Sui, Xuemei; Yao, Bin; Lavie, Carl J; Blair, Steven N

    2017-01-01

    A small change in tea consumption at population level could have large impact on public health. However, the health benefits of tea intake among Americans are inconclusive. To evaluate the association between tea consumption and all-causes, cardiovascular disease (CVD) and cancer mortality in the Aerobics Center Longitudinal study (ACLS). 11808 participants (20-82 years) initially free of CVD and cancers enrolled in the ACLS and were followed for mortality. Participants provided baseline self-report of tea consumption (cups/day). During a median follow-up of 16 years, 842 participants died. Of others, 250 died from CVD, and 345 died from cancer, respectively. A Cox proportional hazard model was used to produce hazard ratio (HR) and 95% confidence interval (CI). Compared with participants consuming no tea, tea drinkers had a survival advantage ( Log-2 = 10.2, df = 3, P = 0.017); however, the multivariate hazard ratios (HRs) of all-cause mortality for those drinking 1-7, 8-14, and >14 cups/week were 0.95 (95% CI, 0.81-1.12), 1.00 (95% CI, 0.82-1.22), and 0.98 (95% CI, 0.76-1.25), respectively (P for linear trend = 0.83). The multivariate HR were 1.16 (95% CI, 0.86-1.56), 1.22 (95% CI, 0.85-1.76), and 0.94 (95% CI, 0.56-1.54) for CVD mortality (P for linear trend = 0.47), and 0.97 (95% CI, 0.75-1.25), 0.85 (95% CI, 0.60-1.16), and 0.94 (95% CI, 0.64-1.38) for cancer mortality (P for trend = 0.62). There were week or null relationships between tea consumption and mortality due to all-cause, CVD disease or cancer were observed in ACLS.

  18. Visualization by discharge illumination technique and modification by plasma actuator of rarefied Mach 2 airflow around a cylinder

    NASA Astrophysics Data System (ADS)

    Leger, L.; Sellam, M.; Barbosa, E.; Depussay, E.

    2013-06-01

    The use of plasma actuators for flow control has received considerable attention in recent years. This kind of device seems to be an appropriate means of raising abilities in flow control thanks to total electric control, no moving parts and a fast response time. The experimental work presented here shows, firstly, the non-intrusive character of the visualization of the density field of an airflow around a cylinder obtained using a plasma luminescence technique. Experiments are made in a continuous supersonic wind tunnel. The static pressure in the flow is 8 Pa, the mean free path is about 0.3 mm and the airflow velocity is 510 m s-1. Pressure measurements obtained by means of glass Pitot tube without the visualization discharge are proposed. Measured and simulated pressure profiles are in good agreement in the region near the cylinder. There is good correlation between numerical simulations of the supersonic flow field, analytical model predictions and experimental flow visualizations obtained by a plasma luminescence technique. Consequently, we show that the plasma luminescence technique is non-intrusive. Secondly, the effect of a dc discharge on a supersonic rarefied air flow around a cylinder is studied. An electrode is flush mounted on the cylinder. Stagnation pressure profiles are examined for different electrode positions on the cylinder. A shock wave modification depending on the electrode location is observed. The discharge placed at the upstream stagnation point induces an upstream shift of the bow shock, whereas a modification of the shock wave shape is observed when it is placed at 45° or 90°.

  19. Comparative analyses of plasma probe diagnostics techniques

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Godyak, V. A.; Alexandrovich, B. M.

    The subject of this paper is a comparative analysis of the plasma parameters inferred from the classical Langmuir probe procedure, from different theories of the ion current to the probe, and from measured electron energy distribution function (EEDF) obtained by double differentiation of the probe characteristic. We concluded that the plasma parameters inferred from the classical Langmuir procedure can be subjected to significant inaccuracy due to the non-Maxwellian EEDF, uncertainty of locating the plasma potential, and the arbitrariness of the ion current approximation. The plasma densities derived from the ion part of the probe characteristics diverge by as much asmore » an order of magnitude from the density calculated according to Langmuir procedure or calculated as corresponding integral of the measured EEDF. The electron temperature extracted from the ion part is always subjected to uncertainty. Such inaccuracy is attributed to modification of the EEDF for fast electrons due to inelastic electron collisions, and to deficiencies in the existing ion current theories; i.e., unrealistic assumptions about Maxwellian EEDFs, underestimation of the ion collisions and the ion ambipolar drift, and discounting deformation of the one-dimensional structure of the region perturbed by the probe. We concluded that EEDF measurement is the single reliable probe diagnostics for the basic research and industrial applications of highly non-equilibrium gas discharge plasmas. Examples of EEDF measurements point up importance of examining the probe current derivatives in real time and reiterate significance of the equipment technical characteristics, such as high energy resolution and wide dynamic range.« less

  20. Comparative analyses of plasma probe diagnostics techniques

    NASA Astrophysics Data System (ADS)

    Godyak, V. A.; Alexandrovich, B. M.

    2015-12-01

    The subject of this paper is a comparative analysis of the plasma parameters inferred from the classical Langmuir probe procedure, from different theories of the ion current to the probe, and from measured electron energy distribution function (EEDF) obtained by double differentiation of the probe characteristic. We concluded that the plasma parameters inferred from the classical Langmuir procedure can be subjected to significant inaccuracy due to the non-Maxwellian EEDF, uncertainty of locating the plasma potential, and the arbitrariness of the ion current approximation. The plasma densities derived from the ion part of the probe characteristics diverge by as much as an order of magnitude from the density calculated according to Langmuir procedure or calculated as corresponding integral of the measured EEDF. The electron temperature extracted from the ion part is always subjected to uncertainty. Such inaccuracy is attributed to modification of the EEDF for fast electrons due to inelastic electron collisions, and to deficiencies in the existing ion current theories; i.e., unrealistic assumptions about Maxwellian EEDFs, underestimation of the ion collisions and the ion ambipolar drift, and discounting deformation of the one-dimensional structure of the region perturbed by the probe. We concluded that EEDF measurement is the single reliable probe diagnostics for the basic research and industrial applications of highly non-equilibrium gas discharge plasmas. Examples of EEDF measurements point up importance of examining the probe current derivatives in real time and reiterate significance of the equipment technical characteristics, such as high energy resolution and wide dynamic range.

  1. Spray CVD for Making Solar-Cell Absorber Layers

    NASA Technical Reports Server (NTRS)

    Banger, Kulbinder K.; Harris, Jerry; Jin, Michael H.; Hepp, Aloysius

    2007-01-01

    Spray chemical vapor deposition (spray CVD) processes of a special type have been investigated for use in making CuInS2 absorber layers of thin-film solar photovoltaic cells from either of two subclasses of precursor compounds: [(PBu3) 2Cu(SEt)2In(SEt)2] or [(PPh3)2Cu(SEt)2 In(SEt)2]. The CuInS2 films produced in the experiments have been characterized by x-ray diffraction, scanning electron microscopy, energy-dispersive spectroscopy, and four-point-probe electrical tests.

  2. Under-utilisation of preventive medication in patients with cardiovascular disease is greatest in younger age groups (PREDICT-CVD 15).

    PubMed

    Mehta, Suneela; Wells, Sue; Riddell, Tania; Kerr, Andrew; Pylypchuk, Romana; Marshall, Roger; Ameratunga, Shanthi; Chan, Wing Cheuk; Thornley, Simon; Crengle, Sue; Harrison, Jeff; Drury, Paul; Elley, C Raina; Bell, Fionna; Jackson, Rod

    2011-06-01

    Blood pressure-lowering (BPL) and lipid-lowering (LL) medications together reduce estimated absolute five-year cardiovascular disease (CVD) risk by >40%. International studies indicate that the proportion of people with CVD receiving pharmacotherapy increases with advancing age. To compare BPL and LL medications, by sociodemographic characteristics, for patients with known CVD in primary care settings. The study population included patients aged 35-74 with known CVD assessed in primary care from July 2006 to October 2009 using a web-based computerised decision support system (PREDICT) for risk assessment and management. Clinical data linked anonymously to national sociodemographic and pharmaceutical dispensing databases. Differences in dispensing BPL and LL medications in six months before first PREDICT assessment was analysed according to age, sex, ethnicity and deprivation. Of 7622 people with CVD, 1625 <55 years old, 2862 were women and 4609 lived in deprived areas (NZDep quintiles 4/5). The study population included 4249 European, 1556 Maori, 1151 Pacific and 329 Indian peoples. BPL medications were dispensed to 81%, LL medications to 73%, both BPL and LL medications to 67%, and 87% received either class of medication. Compared with people aged 65-75, people aged 35-44 were 30-40% less likely and those aged 45-54 were 10-15% less likely to be dispensed BPL, LL medications or both. There were minimal differences in likelihood of dispensing according to sex, ethnicity or deprivation. BPL and LL medications are under-utilised in patients with known CVD in New Zealand. Only two-thirds of patients in this cohort are on both. Younger patients are considerably less likely to be on recommended medications.

  3. Utilization of plasmas for graphene synthesis

    NASA Astrophysics Data System (ADS)

    Shashurin, Alexey; Keidar, Michael

    2013-10-01

    Graphene is a one-atom-thick planar sheet of carbon atoms that are densely packed in a honeycomb crystal lattice. Grapheen has tremendous range of potential applications ranging from high-speed transistors to electrochemical energy storage devices and biochemical sensors. Methods of graphene synthesis include mechanical exfoliation, epitaxial growth on SiC, CVD and colloidal suspensions. In this work the utilization of plasmas in synthesis process is considered. Types of carbonaceous structures produced by the anodic arc and regions of their synthesis were studied. Ultimate role of substrate temperature and transformations occurring with various carbonaceous structures generated in plasma discharge were considered. Formation of graphene film on copper substrate was detected at temperatures around the copper melting point. The film was consisted of several layers graphene flakes having typical sizes of about 200 nm. Time required for crystallization of graphene on externally heated substrates was determined. This work was supported by National Science Foundation (NSF Grant No. CBET-1249213).

  4. Normal fasting plasma glucose predicts type 2 diabetes and cardiovascular disease in elderly population in Taiwan.

    PubMed

    Huang, C-L; Chang, H-W; Chang, J-B; Chen, J-H; Lin, J-D; Wu, C-Z; Pei, D; Hung, Y-J; Lee, C-H; Chen, Y-L; Hsieh, C-H

    2016-08-01

    Hyperglycemia increases prevalence of metabolic syndrome (MetS), type 2 diabetes (T2D) and cardiovascular disease (CVD). But the role of normoglycemia on the development of T2D and CVD in elderly population remains unclear. To determine an optimal cut-off for fasting plasma glucose (FPG) to predict MetS and subsequent risk of T2D and CVD in an elderly Taiwanese population with normal FPG levels. Two stages included cross-sectional (Stage 1) and prospective (Stage 2) cohort study. In Stage 1 18 287 subjects aged  ≥60 years were enrolled; of these, 5039 without T2D and CVD advanced to Stage 2 and a mean follow-up of 3.8 years. MetS components were analysed, and in Stage 1, FPG cut-offs for MetS risk were calculated using receiver operating characteristic (ROC) curve analyses. In Stage 2, subjects without T2D and CVD in Stage 1 were classified into high-FPG and low-FPG groups based on cut-offs, and sex specific differences in incidence for T2D and CVD were calculated. ROC curve analysis gave an optimal FPG cut-off for MetS of 93 mg/dl and 92 mg/dl for males and females, respectively. The high-FPG group had a 1.599- and 1.353-fold higher chance of developing T2D compared with the low-FPG group for males and females, respectively (95% CI: 1.606-2.721 and 1.000-1.831, P  =  0.015 and 0.05). The high-FPG group had a 1.24-fold higher chance of developing CVD for females (95% CI: 1.015-1.515, P  =  0.035); however, there was no difference for males. Our results suggest that FPG within the normal range was associated with MetS, and elderly subjects with high normal levels have a higher incidence of developing T2D for both sexes, and CVD for females, over the short-term. © The Author 2015. Published by Oxford University Press on behalf of the Association of Physicians. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  5. Effect of growth temperature and precursor concentration on synthesis of CVD-graphene from camphor

    NASA Astrophysics Data System (ADS)

    Rajaram, Narasimman; Patel, Biren; Ray, Abhijit; Mukhopadhyay, Indrajit

    2018-05-01

    Here, we have synthesized CVD-graphene from camphor by using atmospheric pressure (AP)-CVD system on Cu foil. We have studied the effect of growth temperature and camphor concentration by using scanning electron microscopy (SEM) and Raman spectroscopy. The domain size of the graphene is increasing with an increase in the temperature and camphor quantity. The complete coverage of graphene on the Cu foil achieved at 1020 °C. Higher camphor quantity leads to growth of multilayer graphene. The graphene is transferred by PMMA-assisted method onto the glass substrate. The sheet resistance and transmittance of the graphene are 1.5 kohm/sq and 92.7%, respectively.

  6. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  7. Navy Bean and Rice Bran Intake Alters the Plasma Metabolome of Children at Risk for Cardiovascular Disease.

    PubMed

    Li, Katherine J; Borresen, Erica C; Jenkins-Puccetti, NaNet; Luckasen, Gary; Ryan, Elizabeth P

    2017-01-01

    Abnormal cholesterol in childhood predicts cardiovascular disease (CVD) risk in adulthood. Navy beans and rice bran have demonstrated efficacy in regulating blood lipids in adults and children; however, their effects on modulating the child plasma metabolome has not been investigated and warrants investigation. A pilot, randomized-controlled, clinical trial was conducted in 38 children (10 ± 0.8 years old) with abnormal cholesterol. Participants consumed a snack for 4 weeks containing either: no navy bean or rice bran (control); 17.5 g/day cooked navy bean powder; 15 g/day heat-stabilized rice bran; or 9 g/day navy beans and 8 g/day rice bran. Plasma metabolites were extracted using 80% methanol for global, non-targeted metabolic profiling via ultra-high performance liquid-chromatography tandem mass spectrometry. Differences in plasma metabolite levels after 4 weeks of dietary intervention compared to control and baseline were analyzed using analysis of variance and Welch's t -tests ( p  ≤ 0.05). Navy bean and/or rice bran consumption influenced 71 plasma compounds compared to control ( p  ≤ 0.05), with lipids representing 46% of the total plasma metabolome. Significant changes were determined for 18 plasma lipids in the navy bean group and 10 plasma lipids for the rice bran group compared to control, and 48 lipids in the navy bean group and 40 in the rice bran group compared to baseline. These results support the hypothesis that consumption of these foods impact blood lipid metabolism with implications for reducing CVD risk in children. Complementary and distinct lipid pathways were affected by the diet groups, including acylcarnitines and lysolipids (navy bean), sphingolipids (rice bran), and phospholipids (navy bean + rice bran). Navy bean consumption decreased free fatty acids associated with metabolic diseases (palmitate and arachidonate) and increased the relative abundance of endogenous anti-inflammatory lipids

  8. Navy Bean and Rice Bran Intake Alters the Plasma Metabolome of Children at Risk for Cardiovascular Disease

    PubMed Central

    Li, Katherine J.; Borresen, Erica C.; Jenkins-Puccetti, NaNet; Luckasen, Gary; Ryan, Elizabeth P.

    2018-01-01

    Abnormal cholesterol in childhood predicts cardiovascular disease (CVD) risk in adulthood. Navy beans and rice bran have demonstrated efficacy in regulating blood lipids in adults and children; however, their effects on modulating the child plasma metabolome has not been investigated and warrants investigation. A pilot, randomized-controlled, clinical trial was conducted in 38 children (10 ± 0.8 years old) with abnormal cholesterol. Participants consumed a snack for 4 weeks containing either: no navy bean or rice bran (control); 17.5 g/day cooked navy bean powder; 15 g/day heat-stabilized rice bran; or 9 g/day navy beans and 8 g/day rice bran. Plasma metabolites were extracted using 80% methanol for global, non-targeted metabolic profiling via ultra-high performance liquid-chromatography tandem mass spectrometry. Differences in plasma metabolite levels after 4 weeks of dietary intervention compared to control and baseline were analyzed using analysis of variance and Welch’s t-tests (p ≤ 0.05). Navy bean and/or rice bran consumption influenced 71 plasma compounds compared to control (p ≤ 0.05), with lipids representing 46% of the total plasma metabolome. Significant changes were determined for 18 plasma lipids in the navy bean group and 10 plasma lipids for the rice bran group compared to control, and 48 lipids in the navy bean group and 40 in the rice bran group compared to baseline. These results support the hypothesis that consumption of these foods impact blood lipid metabolism with implications for reducing CVD risk in children. Complementary and distinct lipid pathways were affected by the diet groups, including acylcarnitines and lysolipids (navy bean), sphingolipids (rice bran), and phospholipids (navy bean + rice bran). Navy bean consumption decreased free fatty acids associated with metabolic diseases (palmitate and arachidonate) and increased the relative abundance of endogenous anti-inflammatory lipids

  9. Synthesis and spectroscopic characterization of gold nanoparticles via plasma-liquid interaction technique

    NASA Astrophysics Data System (ADS)

    Khatoon, N.; Yasin, H. M.; Younus, M.; Ahmed, W.; Rehman, N. U.; Zakaullah, M.; Iqbal, M. Zafar

    2018-01-01

    Fabrication of non-functionalized gold nanoparticles is interesting owing to their potential applications in sensing and biomedicine. We report on the synthesis of surfactant-free gold nanoparticles (AuNPs) by Plasma-Liquid Interaction (PLI) technique, using micro-atmospheric pressure D.C. plasma. The effects of discharge parameters, such as discharge current, precursor concentration and gas flow rates on the structure and morphology of AuNPs have been investigated. Optical Emission Spectroscopy (OES) was employed to estimate the UV radiation intensity and OH radical density. Scanning electron microscopy (SEM) and ultraviolet-visible (UV-Vis) optical spectroscopy were employed to study the morphology and structure of AuNPs. The normalized intensities of UV radiation and OH radical density found to increase with increase in discharge current. We observed that the particle size can be tuned by controlling any of the following parameters: intensity of the UV radiation, OH radical density, and concentration of the Au precursor. Interestingly, we found that addition of 1% Ar in the feedstock gas results in formation of relatively uniform size distribution of nanoparticles. The surfactant-free AuNPs, due to their bare-surface, exhibit excellent surface-enhanced Raman scattering (SERS) properties. The SERS study of Rhodamine 6G using AuNPs as substrates, shows significant Raman enhancement and fluorescence quenching, which makes our technique a potentially powerful route to detection of trace amounts of dangerous explosives and other materials.

  10. Process in manufacturing high efficiency AlGaAs/GaAs solar cells by MO-CVD

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Chang, K. I.; Tandon, J.

    1984-01-01

    Manufacturing technology for mass producing high efficiency GaAs solar cells is discussed. A progress using a high throughput MO-CVD reactor to produce high efficiency GaAs solar cells is discussed. Thickness and doping concentration uniformity of metal oxide chemical vapor deposition (MO-CVD) GaAs and AlGaAs layer growth are discussed. In addition, new tooling designs are given which increase the throughput of solar cell processing. To date, 2cm x 2cm AlGaAs/GaAs solar cells with efficiency up to 16.5% were produced. In order to meet throughput goals for mass producing GaAs solar cells, a large MO-CVD system (Cambridge Instrument Model MR-200) with a susceptor which was initially capable of processing 20 wafers (up to 75 mm diameter) during a single growth run was installed. In the MR-200, the sequencing of the gases and the heating power are controlled by a microprocessor-based programmable control console. Hence, operator errors can be reduced, leading to a more reproducible production sequence.

  11. Large Area CVD MoS2 RF transistors with GHz performance

    NASA Astrophysics Data System (ADS)

    Nagavalli Yogeesh, Maruthi; Sanne, Atresh; Park, Saungeun; Akinwade, Deji; Banerjee, Sanjay

    Molybdenum disulfide (MoS2) is a 2D semiconductor in the family of transition metal dichalcogenides (TMDs). Its single layer direct bandgap of 1.8 eV allows for high ION/IOFF metal-oxide semiconducting field-effect transistors (FETs). More relevant for radio frequency (RF) wireless applications, theoretical studies predict MoS2 to have saturation velocities, vsat >3×106 cm/s. Facilitated by cm-scale CVD MoS2, here we design and fabricate both top-gated and embedded gate short channel MoS2 RF transistors, and provide a systematic comparison of channel length scaling, extrinsic doping from oxygen-deficient dielectrics, and a gate-first gate-last process flow. The intrinsic fT (fmax) obtained from the embedded gate transistors shows 3X (2X) improvement over top-gated CVD MoS2 RF FETs, and the largest high-field saturation velocity, vsat = 1.88 ×106 cm/s, in MoS2 reported so far. The gate-first approach, offers enhancement mode operation, ION/IOFF ratio of 10, 8< and the highest reported transconductance (gm) of 70 μS/ μm. By manipulating the interfacial oxygen vacancies in atomic layer deposited (ALD) HfO2-x we are able to achieve 2X current density over stoichiometric Al2O3. We demonstrate a common-source (CS) amplifier with voltage gain of 14 dB and an active frequency mixer with conversion gain of -15 dB. Our results of gigahertz frequency performance as well as analog circuit operation show that large area CVD MoS2 may be suitable for industrial-scale electronic applications.

  12. Spin transport studies in encapsulated CVD graphene

    NASA Astrophysics Data System (ADS)

    Avsar, Ahmet; You Tan, Jun; Ho, Yuda; Koon, Gavin; Oezyilmaz, Barbaros

    2013-03-01

    Spin transport studies in exfoliated graphene on SiO2/Si substrates have shown spin relaxation times that are orders of magnitude shorter than the theoretical predictions. Similar to the charge transport case, the underlying substrate is expected to be the limiting factor. The recent work Zomer, P. J. et al. shows that spin transport over lengths up to 20um is possible in high mobility exfoliated graphene devices on boron nitride (BN) substrates. Here we discuss our initial attempts to repeat such spin transport experiments with CVD graphene on BN substrates. The effect of encapsulation of such devices with an extra BN layer will be also discussed.

  13. The Deflection Plate Analyzer: A Technique for Space Plasma Measurements Under Highly Disturbed Conditions

    NASA Technical Reports Server (NTRS)

    Wright, Kenneth H., Jr.; Dutton, Ken; Martinez, Nelson; Smith, Dennis; Stone, Nobie H.

    2004-01-01

    A technique has been developed to measure the characteristics of space plasmas under highly disturbed conditions; e.g., non-Maxwellian plasmas with strong drifting populations and plasmas contaminated by spacecraft outgassing. The present method is an extension of the capabilities of the Differential Ion Flux Probe (DIFP) to include a mass measurement that does not include either high voltage or contamination sensitive devices such as channeltron electron multipliers or microchannel plates. This reduces the complexity and expense of instrument fabrication, testing, and integration of flight hardware as compared to classical mass analyzers. The new instrument design is called the Deflection Plate Analyzer (DPA) and can deconvolve multiple ion streams and analyze each stream for ion flux intensity (density), velocity (including direction of motion), mass, and temperature (or energy distribution). The basic functionality of the DPA is discussed. The performance characteristics of a flight instrument as built for an electrodynamic tether mission, the Propulsive Small Expendable Deployer System (ProSEDS), and the instrument s role in measuring key experimental conditions are also discussed.

  14. The Deflection Plate Analyzer: A Technique for Space Plasma Measurements Under Highly Disturbed Conditions

    NASA Technical Reports Server (NTRS)

    Wright, Kenneth H., Jr.; Dutton, Ken; Martinez, Nelson; Smith, Dennis; Stone, Nobie H.

    2003-01-01

    A technique has been developed to measure the characteristics of space plasmas under highly disturbed conditions; e.g., non-Maxwellian plasmas with strong drifting populations and plasmas contaminated by spacecraft outgassing. The present method is an extension of the capabilities of the Differential Ion Flux Probe (DIFP) to include a mass measurement that does not include either high voltage or contamination sensitive devices such as channeltron electron multipliers or microchannel plates. This reduces the complexity and expense of instrument fabrication, testing, and integration of flight hardware as compared to classical mass analyzers. The new instrument design is called the Deflection Plate Analyzer (DPA) and can deconvolve multiple ion streams and analyze each stream for ion flux intensity (density), velocity (including direction of motion), mass, and temperature (or energy distribution). The basic functionality of the DPA is discussed. The performance characteristics of a flight instrument as built for an electrodynamic tether mission, the Propulsive Small Expendable Deployer System (ProSEDS), and the instrument s role in measuring key experimental conditions are also discussed.

  15. The role of plasma triglyceride/high-density lipoprotein cholesterol ratio to predict cardiovascular outcomes in chronic kidney disease.

    PubMed

    Sonmez, Alper; Yilmaz, Mahmut Ilker; Saglam, Mutlu; Unal, Hilmi Umut; Gok, Mahmut; Cetinkaya, Hakki; Karaman, Murat; Haymana, Cem; Eyileten, Tayfun; Oguz, Yusuf; Vural, Abdulgaffar; Rizzo, Manfredi; Toth, Peter P

    2015-04-16

    Cardiovascular disease (CVD) risk is substantially increased in subjects with chronic kidney disease (CKD). The Triglycerides (TG) to High-Density Lipoprotein Cholesterol (HDL-C) ratio is an indirect measure of insulin resistance and an independent predictor of cardiovascular risk. No study to date has been performed to evaluate whether the TG/HDL-C ratio predicts CVD risk in patients with CKD. A total of 197 patients (age 53±12 years) with CKD Stages 1 to 5, were enrolled in this longitudinal, observational, retrospective study. TG/HDL-C ratio, HOMA-IR indexes, serum asymmetric dimethyl arginine (ADMA), high sensitivity C-reactive protein (CRP), parathyroid hormone (PTH), calcium, phosphorous, estimated glomerular filtration rate (eGFR), and albumin levels were measured. Flow mediated vasodilatation (FMD) of the brachial artery was assessed by using high-resolution ultrasonography. A total of 11 cardiovascular (CV) deaths and 43 nonfatal CV events were registered in a mean follow-up period of 30 (range 9 to 35) months. Subjects with TG/HDL-C ratios above the median values (>3.29) had significantly higher plasma ADMA, PTH, and phosphorous levels (p=0.04, p=0.02, p=0.01 respectively) and lower eGFR and FMD values (p=0.03, p<0.001 respectively). The TG/HDL-C ratio was an independent determinant of FMD (β=-0.25 p=0.02) along with TG, HDL-C, hsCRP, serum albumin, phosphate levels, systolic blood pressure, PTH, eGFR and the presence of diabetes mellitus. The TG/HDL-C ratio was also a significant independent determinant of cardiovascular outcomes [HR: 1.36 (1.11-1.67) (p=0.003)] along with plasma ADMA levels [HR: 1.31 (1.13-1.52) (p<0.001)] and a history of diabetes mellitus [HR: 4.82 (2.80-8.37) (p<0.001)]. This study demonstrates that the elevated TG/HDL-C ratio predicts poor CVD outcome in subjects with CKD. Being a simple, inexpensive, and reproducible marker of CVD risk, the TG/HDL-C ratio may emerge as a novel and reliable indicator among the many well

  16. Room Temperature Sensing Achieved by GaAs Nanowires and oCVD Polymer Coating.

    PubMed

    Wang, Xiaoxue; Ermez, Sema; Goktas, Hilal; Gradečak, Silvija; Gleason, Karen

    2017-06-01

    Novel structures comprised of GaAs nanowire arrays conformally coated with conducting polymers (poly(3,4-ethylenedioxythiophene) (PEDOT) or poly(3,4-ethylenedioxythiophene-co-3-thiophene acetic acid) display both sensitivity and selectivity to a variety of volatile organic chemicals. A key feature is room temperature operation, so that neither a heater nor the power it would consume, is required. It is a distinct difference from traditional metal oxide sensors, which typically require elevated operational temperature. The GaAs nanowires are prepared directly via self-seeded metal-organic chemical deposition, and conducting polymers are deposited on GaAs nanowires using oxidative chemical vapor deposition (oCVD). The range of thickness for the oCVD layer is between 100 and 200 nm, which is controlled by changing the deposition time. X-ray diffraction analysis indicates an edge-on alignment of the crystalline structure of the PEDOT coating layer on GaAs nanowires. In addition, the positive correlation between the improvement of sensitivity and the increasing nanowire density is demonstrated. Furthermore, the effect of different oCVD coating materials is studied. The sensing mechanism is also discussed with studies considering both nanowire density and polymer types. Overall, the novel structure exhibits good sensitivity and selectivity in gas sensing, and provides a promising platform for future sensor design. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Projected Impact of a Sodium Consumption Reduction Initiative in Argentina: An Analysis from the CVD Policy Model – Argentina

    PubMed Central

    Konfino, Jonatan; Mekonnen, Tekeshe A.; Coxson, Pamela G.; Ferrante, Daniel; Bibbins-Domingo, Kirsten

    2013-01-01

    Background Cardiovascular disease (CVD) is the leading cause of death in adults in Argentina. Sodium reduction policies targeting processed foods were implemented in 2011 in Argentina, but the impact has not been evaluated. The aims of this study are to use Argentina-specific data on sodium excretion and project the impact of Argentina’s sodium reduction policies under two scenarios - the 2-year intervention currently being undertaken or a more persistent 10 year sodium reduction strategy. Methods We used Argentina-specific data on sodium excretion by sex and projected the impact of the current strategy on sodium consumption and blood pressure decrease. We assessed the projected impact of sodium reduction policies on CVD using the Cardiovascular Disease (CVD) Policy Model, adapted to Argentina, modeling two alternative policy scenarios over the next decade. Results Our study finds that the initiative to reduce sodium consumption currently in place in Argentina will have substantial impact on CVD over the next 10 years. Under the current proposed policy of 2-year sodium reduction, the mean sodium consumption is projected to decrease by 319–387 mg/day. This decrease is expected to translate into an absolute reduction of systolic blood pressure from 0.93 mmHg to 1.81 mmHg. This would avert about 19,000 all-cause mortality, 13,000 total myocardial infarctions, and 10,000 total strokes over the next decade. A more persistent sodium reduction strategy would yield even greater CVD benefits. Conclusion The impact of the Argentinean initiative would be effective in substantially reducing mortality and morbidity from CVD. This paper provides evidence-based support to continue implementing strategies to reduce sodium consumption at a population level. PMID:24040085

  18. Nanocrystalline diamond micro-anvil grown on single crystal diamond as a generator of ultra-high pressures

    DOE PAGES

    Samudrala, Gopi K.; Moore, Samuel L.; Velisavljevic, Nenad; ...

    2016-09-29

    By combining mask-less lithography and chemical vapor deposition (CVD) techniques, a novel two-stage diamond anvil has been fabricated. A nanocrystalline diamond (NCD) micro-anvil 30 μm in diameter was grown at the center of a [100]-oriented, diamond anvil by utilizing microwave plasma CVD method. The NCD micro-anvil has a diamond grain size of 115 nm and micro-focused Raman and X-ray Photoelectron spectroscopy analysis indicate sp3-bonded diamond content of 72%. Lastly, these CVD grown NCD micro-anvils were tested in an opposed anvil configuration and the transition metals osmium and tungsten were compressed to high pressures of 264 GPa in a diamond anvilmore » cell.« less

  19. Plasma fatty acid profile in depressive disorder resembles insulin resistance state.

    PubMed

    Vareka, Tomas; Vecka, Marek; Jirak, Roman; Tvrzicka, Eva; Macasek, Jaroslav; Zak, Ales; Zeman, Miroslav

    2012-01-01

    Depressive disorder is related to an increased risk of type 2 diabetes mellitus (DM2) and cardiovascular disease (CVD). Insulin resistance (IR), connected with altered fatty acid (FA) composition, namely with decreased proportion of polyunsaturated FA could participate in these associations. The aim of the study was to investigate the composition of FA in plasma cholesterol esters (CE) and phosphatidylcholine (PC) as well as indices of insulin resistance and oxidative stress in the patients with depressive disorder. Parameters of lipid and glucose homeostasis, concentrations of FA in plasma cholesteryl esters (CE) and phosphatidylcholine (PC) and conjugated dienes in LDL were investigated in a group of 47 patients (9M/38F) with depression and compared with 47 control persons (16M/31F). Delta-9 desaturase (D9D) and D6D desaturase were estimated as product to precursor fatty acid ratios. In depressive patients increased concentrations of palmitoleic acid and total monounsaturated FA with decreased proportion of total polyunsaturated FA n-6 (PUFA n-6) (all p<0.05) in CE were found, while in PC increased proportion of saturated FA was observed (p<0.05). Moreover, index of D6D activity was significantly increased in PC and CE (p<0.05). Concomitantly, in depressive patients higher levels of plasma triacylglycerols (p<0.05), conjugated dienes in LDL (p<0.001) and HOMA index of IR (p<0.05) were found. Esterified FA composition of depressive patients revealed changes, similar to those, usually observed in insulin resistance. Dysregulation of FA could participate in the pathogenesis of depression and be associated with an increased risk of CVD and DM2.

  20. Wafer-scalable high-performance CVD graphene devices and analog circuits

    NASA Astrophysics Data System (ADS)

    Tao, Li; Lee, Jongho; Li, Huifeng; Piner, Richard; Ruoff, Rodney; Akinwande, Deji

    2013-03-01

    Graphene field effect transistors (GFETs) will serve as an essential component for functional modules like amplifier and frequency doublers in analog circuits. The performance of these modules is directly related to the mobility of charge carriers in GFETs, which per this study has been greatly improved. Low-field electrostatic measurements show field mobility values up to 12k cm2/Vs at ambient conditions with our newly developed scalable CVD graphene. For both hole and electron transport, fabricated GFETs offer substantial amplification for small and large signals at quasi-static frequencies limited only by external capacitances at high-frequencies. GFETs biased at the peak transconductance point featured high small-signal gain with eventual output power compression similar to conventional transistor amplifiers. GFETs operating around the Dirac voltage afforded positive conversion gain for the first time, to our knowledge, in experimental graphene frequency doublers. This work suggests a realistic prospect for high performance linear and non-linear analog circuits based on the unique electron-hole symmetry and fast transport now accessible in wafer-scalable CVD graphene. *Support from NSF CAREER award (ECCS-1150034) and the W. M. Keck Foundation are appreicated.

  1. Associations between pre-diabetes, by three different diagnostic criteria, and incident CVD differ in South Asians and Europeans

    PubMed Central

    Eastwood, Sophie V; Tillin, Therese; Sattar, Naveed; Forouhi, Nita G; Hughes, Alun D; Chaturvedi, Nish

    2016-01-01

    Objective We examined longitudinal associations between pre-diabetes and cardiovascular disease (CVD) (coronary heart disease (CHD) and stroke) in Europeans and South Asians. Research design and methods UK cohort study of 1,336 Europeans and 1,139 South Asians, aged 40-69 years at baseline (1988-91). Assessment included blood pressure, blood tests, anthropometry and questionnaires. Pre-diabetes was determined by OGTT or HbA1c, using either International Expert Committee (IEC, HbA1c 6.0-6.5% (42-48 mmol/mol)) or American Diabetes Association (ADA, HbA1c 5.7-6.5% (39-48 mmol/mol)) cut-points. Incident CHD and stroke were established at 20 years from death certification, hospital admission, primary care record review and participant report. Results Compared to normoglycaemic individuals, IEC-defined pre-diabetes was related to both CHD and CVD risk in Europeans but not South Asians (sub-hazards ratio[95% CI]: CHD;1.68[1.19,2.37] vs. 0.99[0.74,1.33], ethnicity interaction p=0.008, CVD; 1.49[1.08,2.07] vs. 1.03[0.79,1.36], ethnicity interaction p=0.04). Conversely, IEC-defined pre-diabetes was associated with stroke risk in South Asians but not Europeans (1.75 [1.04,2.93] vs. 0.85[0.45,1.64], ethnicity interaction p=0.11). Risks were adjusted for age, sex, smoking, total/HDL-cholesterol ratio, waist/hip ratio, systolic blood pressure and anti-hypertensive use. . Associations were weaker for OGTT or ADA-defined pre-diabetes. Conversion from pre-diabetes to diabetes was greater in South Asians, but accounting for time to conversion did not account for these ethnic differences. Conclusions Associations between pre-diabetes and CVD differed by pre-diabetes diagnostic criterion, type of CVD and ethnicity, with associations being present for overall CVD in Europeans but not South Asians. Substantiation of these findings and investigation of potential explanations are required. PMID:26486189

  2. Sublimation-assisted graphene transfer technique based on small polyaromatic hydrocarbons

    NASA Astrophysics Data System (ADS)

    Chen, Mingguang; Stekovic, Dejan; Li, Wangxiang; Arkook, Bassim; Haddon, Robert C.; Bekyarova, Elena

    2017-06-01

    Advances in the chemical vapor deposition (CVD) growth of graphene have made this material a very attractive candidate for a number of applications including transparent conductors, electronics, optoeletronics, biomedical devices and energy storage. The CVD method requires transfer of graphene on a desired substrate and this is most commonly accomplished with polymers. The removal of polymer carriers is achieved with organic solvents or thermal treatment which makes this approach inappropriate for application to plastic thin films such as polyethylene terephthalate substrates. An ultraclean graphene transfer method under mild conditions is highly desired. In this article, we report a naphthalene-assisted graphene transfer technique which provides a reliable route to residue-free transfer of graphene to both hard and flexible substrates. The quality of the transferred graphene was characterized with atomic force microscopy, scanning electron microscopy, and Raman spectroscopy. Field effect transistors, based on the naphthalene-transfered graphene, were fabricated and characterized. This work has the potential to broaden the applications of CVD graphene in fields where ultraclean graphene and mild graphene transfer conditions are required.

  3. Synthesis of SiV-diamond particulates via the microwave plasma chemical deposition of ultrananocrystalline diamond on soda-lime glass fibers

    NASA Astrophysics Data System (ADS)

    Kunuku, Srinivasu; Chen, Yen-Chun; Yeh, Chien-Jui; Chang, Wen-Hao; Manoharan, Divinah; Leou, Keh-Chyang; Lin, I.-Nan

    2016-10-01

    We report the synthesis of silicon-vacancy (SiV) incorporated spherical shaped ultrananocrystalline diamond (SiV-UNCD) particulates (size ∼1 μm) with bright luminescence at 738 nm. For this purpose, different granular structured polycrystalline diamond films and particulates were synthesized by using three different kinds of growth plasma conditions on the three types of substrate materials in the microwave plasma enhanced CVD process. The grain size dependent photoluminescence properties of nitrogen vacancy (NV) and SiV color centers have been investigated for different granular structured diamond samples. The luminescence of NV center and the associated phonon sidebands, which are usually observed in microcrystalline diamond and nanocrystalline diamond films, were effectively suppressed in UNCD films and UNCD particulates. Micron sized SiV-UNCD particulates with bright SiV emission has been attained by transfer of SiV-UNCD clusters on soda-lime glass fibers to inverted pyramidal cavities fabricated on Si substrates by the simple crushing of UNCD/soda-lime glass fibers in deionized water and ultrasonication. Such a plasma enhanced CVD process for synthesizing SiV-UNCD particulates with suppressed NV emission is simple and robust to attain the bright SiV-UNCD particulates to employ in practical applications.

  4. On the estimation of the current density in space plasmas: Multi- versus single-point techniques

    NASA Astrophysics Data System (ADS)

    Perri, Silvia; Valentini, Francesco; Sorriso-Valvo, Luca; Reda, Antonio; Malara, Francesco

    2017-06-01

    Thanks to multi-spacecraft mission, it has recently been possible to directly estimate the current density in space plasmas, by using magnetic field time series from four satellites flying in a quasi perfect tetrahedron configuration. The technique developed, commonly called ;curlometer; permits a good estimation of the current density when the magnetic field time series vary linearly in space. This approximation is generally valid for small spacecraft separation. The recent space missions Cluster and Magnetospheric Multiscale (MMS) have provided high resolution measurements with inter-spacecraft separation up to 100 km and 10 km, respectively. The former scale corresponds to the proton gyroradius/ion skin depth in ;typical; solar wind conditions, while the latter to sub-proton scale. However, some works have highlighted an underestimation of the current density via the curlometer technique with respect to the current computed directly from the velocity distribution functions, measured at sub-proton scales resolution with MMS. In this paper we explore the limit of the curlometer technique studying synthetic data sets associated to a cluster of four artificial satellites allowed to fly in a static turbulent field, spanning a wide range of relative separation. This study tries to address the relative importance of measuring plasma moments at very high resolution from a single spacecraft with respect to the multi-spacecraft missions in the current density evaluation.

  5. Material removal characteristics of orthogonal velocity polishing tool for efficient fabrication of CVD SiC mirror surfaces

    NASA Astrophysics Data System (ADS)

    Seo, Hyunju; Han, Jeong-Yeol; Kim, Sug-Whan; Seong, Sehyun; Yoon, Siyoung; Lee, Kyungmook; Lee, Haengbok

    2015-09-01

    Today, CVD SiC mirrors are readily available in the market. However, it is well known to the community that the key surface fabrication processes and, in particular, the material removal characteristics of the CVD SiC mirror surface varies sensitively depending on the shop floor polishing and figuring variables. We investigated the material removal characteristics of CVD SiC mirror surfaces using a new and patented polishing tool called orthogonal velocity tool (OVT) that employs two orthogonal velocity fields generated simultaneously during polishing and figuring machine runs. We built an in-house OVT machine and its operating principle allows for generation of pseudo Gaussian shapes of material removal from the target surface. The shapes are very similar to the tool influence functions (TIFs) of other polishing machine such as IRP series polishing machines from Zeeko. Using two CVD SiC mirrors of 150 mm in diameter and flat surface, we ran trial material removal experiments over the machine run parameter ranges from 12.901 to 25.867 psi in pressure, 0.086 m/sec to 0.147 m/sec in tool linear velocity, and 5 to 15 sec in dwell time. An in-house developed data analysis program was used to obtain a number of Gaussian shaped TIFs and the resulting material removal coefficient varies from 3.35 to 9.46 um/psi hour m/sec with the mean value to 5.90 ± 1.26(standard deviation). We report the technical details of the new OVT machine, of the data analysis program, of the experiments and the results together with the implications to the future development of the OVT machine and process for large CVD SiC mirror surfaces.

  6. High temperature UF6 RF plasma experiments applicable to uranium plasma core reactors

    NASA Technical Reports Server (NTRS)

    Roman, W. C.

    1979-01-01

    An investigation was conducted using a 1.2 MW RF induction heater facility to aid in developing the technology necessary for designing a self critical fissioning uranium plasma core reactor. Pure, high temperature uranium hexafluoride (UF6) was injected into an argon fluid mechanically confined, steady state, RF heated plasma while employing different exhaust systems and diagnostic techniques to simulate and investigate some potential characteristics of uranium plasma core nuclear reactors. The development of techniques and equipment for fluid mechanical confinement of RF heated uranium plasmas with a high density of uranium vapor within the plasma, while simultaneously minimizing deposition of uranium and uranium compounds on the test chamber peripheral wall, endwall surfaces, and primary exhaust ducts, is discussed. The material tests and handling techniques suitable for use with high temperature, high pressure, gaseous UF6 are described and the development of complementary diagnostic instrumentation and measurement techniques to characterize the uranium plasma, effluent exhaust gases, and residue deposited on the test chamber and exhaust system components is reported.

  7. Coffee consumption is not associated with prevalent subclinical cardiovascular disease (CVD) or the risk of CVD events, in nonalcoholic fatty liver disease: Results from the multi-ethnic study of atherosclerosis

    USDA-ARS?s Scientific Manuscript database

    Atherosclerosis and its clinical sequelae represent the leading cause of mortality among patients with nonalcoholic fatty liver disease (NAFLD). While epidemiologic data support the hepatoprotective benefits of coffee in NAFLD, whether coffee improves NAFLD-associated Cardiovascular Disease (CVD) ri...

  8. Parallel Comparison of N-Linked Glycopeptide Enrichment Techniques Reveals Extensive Glycoproteomic Analysis of Plasma Enabled by SAX-ERLIC.

    PubMed

    Totten, Sarah M; Feasley, Christa L; Bermudez, Abel; Pitteri, Sharon J

    2017-03-03

    Protein glycosylation is of increasing interest due to its important roles in protein function and aberrant expression with disease. Characterizing protein glycosylation remains analytically challenging due to its low abundance, ion suppression issues, and microheterogeneity at glycosylation sites, especially in complex samples such as human plasma. In this study, the utility of three common N-linked glycopeptide enrichment techniques is compared using human plasma. By analysis on an LTQ-Orbitrap Elite mass spectrometer, electrostatic repulsion hydrophilic interaction liquid chromatography using strong anion exchange solid-phase extraction (SAX-ERLIC) provided the most extensive N-linked glycopeptide enrichment when compared with multilectin affinity chromatography (M-LAC) and Sepharose-HILIC enrichments. SAX-ERLIC enrichment yielded 191 unique glycoforms across 72 glycosylation sites from 48 glycoproteins, which is more than double that detected using other enrichment techniques. The greatest glycoform diversity was observed in SAX-ERLIC enrichment, with no apparent bias toward specific glycan types. SAX-ERLIC enrichments were additionally analyzed by an Orbitrap Fusion Lumos mass spectrometer to maximize glycopeptide identifications for a more comprehensive assessment of protein glycosylation. In these experiments, 829 unique glycoforms were identified across 208 glycosylation sites from 95 plasma glycoproteins, a significant improvement from the initial method comparison and one of the most extensive site-specific glycosylation analysis in immunodepleted human plasma to date. Data are available via ProteomeXchange with identifier PXD005655.

  9. Aluminum Coating Influence on Nitride Layer Performance Deposited by MO-CVD in Fluidized Bed on Austenitic Stainless Steel Substrate

    NASA Astrophysics Data System (ADS)

    Găluşcă, D. G.; Perju, M. C.; Nejneru, C.; Burduhos Nergiş, D. D.; Lăzărescu, I. E.

    2018-06-01

    The modification of surface properties by duplex treatments, involving the overlapping of two surface treatment techniques, has been established as an intelligent solution to create new applications for the substrate metallic material. There are driveline components operating under very tough wear and corrosion conditions, with high temperature and humidity variations. Such components are usually made of high Cr and Ni stainless steel and for the hardening of surfaces it is recommended a thermo chemical treatment. Since stainless steels, especially austenitic stainless steels, are difficult to nitride, experimental studies focus on increasing the depth of the nitride layer and surface hardness. Achieving the goal involves changing active layer chemical composition by introducing aluminum in the surface layer. In order to find a solution, a new surface treatment technique is produced by combining aluminum thin films by MO-CVD in a fluidized bed using a triisobutylaluminum precursor with a thermo chemical nitriding treatment.

  10. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    PubMed

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  11. Study of the operating parameters of a helicon plasma discharge source using PIC-MCC simulation technique

    NASA Astrophysics Data System (ADS)

    Jaafarian, Rokhsare; Ganjovi, Alireza; Etaati, Gholamreza

    2018-01-01

    In this work, a Particle in Cell-Monte Carlo Collision simulation technique is used to study the operating parameters of a typical helicon plasma source. These parameters mainly include the gas pressure, externally applied static magnetic field, the length and radius of the helicon antenna, and the frequency and voltage amplitude of the applied RF power on the helicon antenna. It is shown that, while the strong radial gradient of the formed plasma density in the proximity of the plasma surface is substantially proportional to the energy absorption from the existing Trivelpiece-Gould (TG) modes, the observed high electron temperature in the helicon source at lower static magnetic fields is significant evidence for the energy absorption from the helicon modes. Furthermore, it is found that, at higher gas pressures, both the plasma electron density and temperature are reduced. Besides, it is shown that, at higher static magnetic fields, owing to the enhancement of the energy absorption by the plasma charged species, the plasma electron density is linearly increased. Moreover, it is seen that, at the higher spatial dimensions of the antenna, both the plasma electron density and temperature are reduced. Additionally, while, for the applied frequencies of 13.56 MHz and 27.12 MHz on the helicon antenna, the TG modes appear, for the applied frequency of 18.12 MHz on the helicon antenna, the existence of helicon modes is proved. Moreover, by increasing the applied voltage amplitude on the antenna, the generation of mono-energetic electrons is more probable.

  12. Plasma filtering techniques for nuclear waste remediation

    DOE PAGES

    Gueroult, Renaud; Hobbs, David T.; Fisch, Nathaniel J.

    2015-04-24

    Nuclear waste cleanup is challenged by the handling of feed stocks that are both unknown and complex. Plasma filtering, operating on dissociated elements, offers advantages over chemical methods in processing such wastes. The costs incurred by plasma mass filtering for nuclear waste pretreatment, before ultimate disposal, are similar to those for chemical pretreatment. However, significant savings might be achieved in minimizing the waste mass. As a result, this advantage may be realized over a large range of chemical waste compositions, thereby addressing the heterogeneity of legacy nuclear waste.

  13. Plasma filtering techniques for nuclear waste remediation.

    PubMed

    Gueroult, Renaud; Hobbs, David T; Fisch, Nathaniel J

    2015-10-30

    Nuclear waste cleanup is challenged by the handling of feed stocks that are both unknown and complex. Plasma filtering, operating on dissociated elements, offers advantages over chemical methods in processing such wastes. The costs incurred by plasma mass filtering for nuclear waste pretreatment, before ultimate disposal, are similar to those for chemical pretreatment. However, significant savings might be achieved in minimizing the waste mass. This advantage may be realized over a large range of chemical waste compositions, thereby addressing the heterogeneity of legacy nuclear waste. Copyright © 2015 Elsevier B.V. All rights reserved.

  14. Carbon Nanotubes/Nanofibers by Plasma Enhanced Chemical Vapour Deposition

    NASA Technical Reports Server (NTRS)

    Teo, K. B. K.; Hash, D. B.; Bell, M. S.; Chhowalla, M.; Cruden, B. A.; Amaratunga, G. A. J.; Meyyappan, M.; Milne, W. I.

    2005-01-01

    Plasma enhanced chemical vapour deposition (PECVD) has been recently used for the production of vertically aligned carbon nanotubedfibers (CN) directly on substrates. These structures are potentially important technologically as electron field emitters (e.g. microguns, microwave amplifiers, displays), nanoelectrodes for sensors, filter media, superhydrophobic surfaces and thermal interface materials for microelectronics. A parametric study on the growth of CN grown by glow discharge dc-PECVD is presented. In this technique, a substrate containing thin film Ni catalyst is exposed to C2H2 and NH3 gases at 700 C. Without plasma, this process is essentially thermal CVD which produces curly spaghetti-like CN as seen in Fig. 1 (a). With the plasma generated by biasing the substrate at -6OOV, we observed that the CN align vertically during growth as shown in Fig. l(b), and that the magnitude of the applied substrate bias affects the degree of alignment. The thickness of the thin film Ni catalyst was found to determine the average diameter and inversely the length of the CN. The yield and density of the CN were controlled by the use of different diffusion barrier materials under the Ni catalyst. Patterned CN growth [Fig. l(c)], with la variation in CN diameter of 4.1% and 6.3% respectively, is achieved by lithographically defining the Ni thin film prior to growth. The shape of the structures could be varied from very straight nanotube-like to conical tip-like nanofibers by increasing the ratio of C2H2 in the gas flow. Due to the plasma decomposition of C2H2, amorphous carbon (a-C) is an undesirable byproduct which could coat the substrate during CN growth. Using a combination of depth profiled Auger electron spectroscopy to study the substrate and in-situ mass spectroscopy to examine gas phase neutrals and ions, the optimal conditions for a-C free growth of CN is determined.

  15. The APOA4 T347S variant is associated with reduced plasma TAOS in subjects with diabetes mellitus and cardiovascular disease.

    PubMed

    Wong, Wai-Man R; Stephens, Jeffrey W; Acharya, Jayshree; Hurel, Steven J; Humphries, Steve E; Talmud, Philippa J

    2004-08-01

    Apolipoprotein A-IV (apoA-IV) has been postulated to be antiatherogenic. Transgenic APOA4/Apoe-/- mice are protected against atherosclerosis, with plasma apoA-IV displaying antioxidant activity in vitro. In humans, there is an inverse relationship between apoA-IV levels and risk of coronary heart disease (CHD). Furthermore, the APOA4 T347S rare allele has been associated with increased risk of CHD and reduced apoA-IV levels. Reduced total antioxidant status (TAOS) due to increased oxidative stress is implicated in the process of atherogenesis. Thus, this study aimed to examine the association between the APOA4 T347S variant and TAOS in diabetic patients with (n = 196) or without (n = 509) cardiovascular disease (CVD). A higher percentage of CVD patients were present in the lowest quartile of TAOS, compared with the rest (P = 0.04). Overall, there was no association between genotype and TAOS. However, in patients with CVD, homozygotes for the S347 allele had significantly lower TAOS compared with TT and TS subjects (31.2 +/- 9.89% and 42.5 +/- 13.04% TAOS, respectively; P = 0.0024), an effect that was not seen in the patients without CVD. This study offers direct support for an antioxidant capacity of apoA-IV, thus providing some explanation for the antiatherogenic role of apoA-IV and the higher CVD risk in S347 homozygotes. Copyright 2004 American Society for Biochemistry and Molecular Biology, Inc.

  16. Fasting plasma glucose levels and coronary artery calcification in subjects with impaired fasting glucose.

    PubMed

    Eun, Young-Mi; Kang, Sung-Goo; Song, Sang-Wook

    2016-01-01

    Prediabetes is associated with an increased risk of cardiovascular disease (CVD). While the association of impaired glucose tolerance with CVD has been shown in many studies, the relationship between impaired fasting glucose (IFG) and CVD remains unclear. The purpose of this study was to compare the coronary artery calcium (CAC) scores of participants with normal fasting glucose versus those with IFG, according to fasting plasma glucose (FPG) levels, and to assess whether differences in CAC scores were independent of important confounders. Retrospective study. Health Promotion Center of the University Hospital (Gyeonggi-do, South Korea), during the period 2010-2014. Participants were enrolled from the general population who visited for a medical check-up. CAC was assessed in asymptomatic individuals by multidetector computed tomography. Anthropometric parameters and metabolic profiles were also recorded. Subjects were divided into four fasting glucose groups. Participants with a history of CVD or diabetes mellitus were excluded. Correlation between FPG and CAC scores, CAC score categories, and association between CAC score and FPG categories. Of 1112 participants, 346 (34.2%) had a CAC score > 0. FPG values in the IFG patients were positively but weakly correlated with CAC scores (r=0.099, P=.001). The incidence of CAC differed according to FPG level (P < .001) and in Kruskal-Wallis test the mean CAC score differed by FPG group (P < .001). After adjustment for other factors in a multiple logistic regression analysis, those subjects with FPG >=110 mg/dL had a significantly higher risk of CAC than did subjects with normal fasting glucose (110.

  17. Revealing the microscopic CVD growth mechanism of MoSe2 and the role of hydrogen gas during the growth procedure.

    PubMed

    Wang, Hulian; Zhu, Dancheng; Jiang, Feng; Zhao, Pei; Wang, Hongtao; Zhang, Ze; Chen, Xin; Jin, Chuanhong

    2018-08-03

    Understanding the microscopic mechanisms for the nucleation and growth of two-dimensional molybdenum diselenide (2D MoSe 2 ) via chemical vapor deposition (CVD) is crucial towards the precisely controlled growth of the 2D material. In this work, we employed a joint use of transmission electron microscopy and CVD, in which the 2D MoSe 2 were directly grown on a graphene membrane based on grids, that enables the microstructural characterization of as-grown MoSe 2 flakes. We further explore the role of hydrogen gas and find: in an argon ambient, the primary products are few-layer MoSe 2 flakes, along with MoO x nanoparticles; while with the introduction of H 2 , single-layer MoSe 2 became the dominant product during the CVD growth. Quantitative analysis of the effects of H 2 flow rate on the flake sizes, and areal coverage was also given. Nevertheless, we further illuminated the evolution of shape morphology and edge structures of single-layer MoSe 2 , and proposed the associated growth routes during a typical CVD process.

  18. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  19. Dietary fried fish intake increases risk of CVD: the REasons for Geographic And Racial Differences in Stroke (REGARDS) study.

    PubMed

    Nahab, Fadi; Pearson, Keith; Frankel, Michael R; Ard, Jamy; Safford, Monika M; Kleindorfer, Dawn; Howard, Virginia J; Judd, Suzanne

    2016-12-01

    The objective of the present study was to examine the relationship of dietary fried fish consumption and risk of cardiovascular events and all-cause mortality. Prospective cohort study among participants of the REasons for Geographic And Racial Differences in Stroke (REGARDS) study who resided in the USA. The primary outcome measures included the hazard ratios (HR) of incident CVD including first incident fatal or non-fatal ischaemic stroke or myocardial infarction and all-cause mortality, based on cumulative average fish consumption ascertained at baseline. Participants (n 16 479) were enrolled between 2003 and 2007, completed the self-administered Block98 FFQ and were free of CVD at baseline. There were 700 cardiovascular events over a mean follow-up of 5·1 years. After adjustment for sociodemographic variables, health behaviours and other CVD risk factors, participants eating ≥2 servings fried fish/week (v. <1 serving/month) were at a significantly increased risk of cardiovascular events (HR=1·63; 95 % CI 1·11, 2·40). Intake of non-fried fish was not associated with risk of incident CVD. There was no association found with dietary fried or non-fried fish intake and cardiovascular or all-cause mortality. Fried fish intake of two or more servings per week is associated with an increased risk of cardiovascular events. Given the increased intake of fried fish in the stroke belt and among African Americans, these data suggest that dietary fried fish intake may contribute to geographic and racial disparities in CVD.

  20. Temporal-spatial measurement of electron relaxation time in femtosecond laser induced plasma using two-color pump-probe imaging technique

    NASA Astrophysics Data System (ADS)

    Pan, Changji; Jiang, Lan; Wang, Qingsong; Sun, Jingya; Wang, Guoyan; Lu, Yongfeng

    2018-05-01

    The femtosecond (fs) laser is a powerful tool to study ultrafast plasma dynamics, especially electron relaxation in strong ionization of dielectrics. Herein, temporal-spatial evolution of femtosecond laser induced plasma in fused silica was investigated using a two-color pump-probe technique (i.e., 400 nm and 800 nm, respectively). We demonstrated that when ionized electron density is lower than the critical density, free electron relaxation time is inversely proportional to electron density, which can be explained by the electron-ion scattering regime. In addition, electron density evolution within plasma was analyzed in an early stage (first 800 fs) of the laser-material interaction.

  1. Synthesis of ultrafine Si3N4 powder in RF-RF plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sato, Michitaka; Nishio, Hiroaki

    1991-10-01

    A newly designed plasma-CVD apparatus mounted with the RF-RF type plasma torch was introduced to synthesize ultrafine powders of silicon nitride (Si3N4). The RF-RF plasma system (the combination of a main (lower) and controlling (upper) RF plasma) improved the stability of simple RF plasma and solved the impurity problem of dc-RF hybrid plasma. The reaction of SiCl4 and NH3, which were radially injected into the tail flames of the upper and lower plasmas, respectively, yielded near-stoichiometric amorphous powders of Si3N4. The nitrogen content in the products largely depended on the flow rate of the quenching gas, a mixture of NH3more » (reactant) and H2. The oxygen content and metal impurities are 2-3 wt pct and less than 200 ppm, respectively. The powder particles had an average diameter of about 15 nm with a narrow size distribution, and showed extreme air sensitivity. Conspicuous crystallazation and particle growth occurred when heated at temperatures above 1400 C. These results suggested that the RF-RF system was a potential reactor for the synthesis of ultrafine powders with excellent sinterability at relatively low temperatures. 9 refs.« less

  2. Acetylene-sourced CVD-synthesised catalytically active graphene for electrochemical biosensing.

    PubMed

    Osikoya, Adeniyi Olugbenga; Parlak, Onur; Murugan, N Arul; Dikio, Ezekiel Dixon; Moloto, Harry; Uzun, Lokman; Turner, Anthony Pf; Tiwari, Ashutosh

    2017-03-15

    In this study, we have demonstrated the use of chemical vapour deposition (CVD) grown-graphene to develop a highly-ordered graphene-enzyme electrode for electrochemical biosensing. The graphene sheets were deposited on 1.00mm thick copper sheet at 850°C using acetylene (C 2 H 2 ) as carbon source in an argon (Ar) and nitrogen (N 2 ) atmosphere. An anionic surfactant was used to increase wettability and hydrophilicity of graphene; thereby facilitating the assembly of biomolecules on the electrode surface. Meanwhile, the theoretical calculations confirmed the successful modification of hydrophobic nature of graphene through the anionic surface assembly, which allowed high-ordered immobilisation of glucose oxidase (GOx) on the graphene. The electrochemical sensing activities of the graphene-electrode was explored as a model for bioelectrocatalysis. The bioelectrode exhibited a linear response to glucose concentration ranging from 0.2 to 9.8mM, with sensitivity of 0.087µA/µM/cm 2 and a detection limit of 0.12µM (S/N=3). This work sets the stage for the use of acetylene-sourced CVD-grown graphene as a fundamental building block in the fabrication of electrochemical biosensors and other bioelectronic devices. Copyright © 2016 Elsevier B.V. All rights reserved.

  3. The Oxidation of CVD Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynchGiao N.

    1997-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 100 and 500 hours at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic laws are discussed. Oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants typically found in combustion environments: oxygen and water vapor.

  4. A comparative analysis of cardiovascular disease risk profiles of five Pacific ethnic groups assessed in New Zealand primary care practice: PREDICT CVD-13.

    PubMed

    Grey, Corina; Wells, Sue; Riddell, Tania; Pylypchuk, Romana; Marshall, Roger; Drury, Paul; Elley, Raina; Ameratunga, Shanthi; Gentles, Dudley; Erick-Peletiy, Stephanie; Bell, Fionna; Kerr, Andrew; Jackson, Rod

    2010-11-05

    Data on the cardiovascular disease risk profiles of Pacific peoples in New Zealand is usually aggregated and treated as a single entity. Little is known about the comparability or otherwise of cardiovascular disease (CVD) risk between different Pacific groups. To compare CVD risk profiles for the main Pacific ethnic groups assessed in New Zealand primary care practice to determine if it is reasonable to aggregate these data, or if significant differences exist. A web-based clinical decision support system for CVD risk assessment and management (PREDICT) has been implemented in primary care practices in nine PHOs throughout Auckland and Northland since 2002, covering approximately 65% of the population of these regions. Between 2002 and January 2009, baseline CVD risk assessments were carried out on 11,642 patients aged 35-74 years identifying with one or more Pacific ethnic groups (4933 Samoans, 1724 Tongans, 1366 Cook Island Maori, 880 Niueans, 1341 Fijians and 1398 people identified as Other Pacific or Pacific Not Further Defined). Fijians were subsequently excluded from the analyses because of a probable misclassification error that appears to combine Fijian Indians with ethnic Fijians. Prevalences of smoking, diabetes and prior history of CVD, as well as mean total cholesterol/HDL ratio, systolic and diastolic blood pressures, and Framingham 5-year CVD risk were calculated for each Pacific group. Age-adjusted risk ratios and mean differences stratified by gender were calculated using Samoans as the reference group. Cook Island women were almost 60% more likely to smoke than Samoan women. While Tongan men had the highest proportion of smoking (29%) among Pacific men, Tongan women had the lowest smoking proportion (10%) among Pacific women. Tongan women and Niuean men and women had a higher burden of diabetes than other Pacific ethnic groups, which were 20-30% higher than their Samoan counterparts. Niuean men and women had lower blood pressure levels than all

  5. Growth of InAs NWs with controlled morphology by CVD

    NASA Astrophysics Data System (ADS)

    Huang, Y. S.; Li, M.; Wang, J.; Xing, Y.; Xu, H. Q.

    2017-06-01

    We report on the growth of single crystal InAs NWs on Si/SiOx substrates by chemical vapor deposition (CVD). By adjusting growth parameters, the diameters, morphology, length and the proportion of superlattice ZB InAs NWs (NWs) can be controlled on a Si/SiOx substrate. Our work provides a low-cost route to grow and phase-engineer single crystal InAs NWs for a wide range of potential applications.

  6. Parameterisation of radiation effects on CVD diamond for proton irradiation

    NASA Astrophysics Data System (ADS)

    Hartjes, F.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-08-01

    The paper reviews measurements of the radiation hardness of CVD diamond for 24 GeV/c proton irradiation at fluences up to 5 ∗10 15 protons/cm 2. The results not only show radiation damage but also an annealing effect that is dominant at levels around 10 15 protons/cm 2. A model describing both effects is introduced, enabling a prediction of the distribution curve of the charge signal for other levels.

  7. Association between breast-feeding and anthropometry and CVD risk factor status in adolescence and young adulthood: the Young Hearts Project, Northern Ireland.

    PubMed

    Holmes, Valerie A; Cardwell, Chris; McKinley, Michelle C; Young, Ian S; Murray, Liam J; Boreham, Colin A; Woodside, Jayne V

    2010-06-01

    To examine the association between breast-feeding and blood pressure, anthropometry and plasma lipid profile in both adolescence and young adulthood. Longitudinal study of biological and behavioural risk factors for CVD. The Young Hearts Project, Northern Ireland. Schoolchildren aged 12 years and 15 years who participated in a cross-sectional study of lifestyle and health, and who were followed up as young adults aged 20-25 years. There was no significant difference in height, weight, BMI, skinfold thickness measurements, blood pressure or plasma lipid profile in adolescents who had been breast-fed compared with those who had not been breast-fed. However, by the time these adolescents had reached adulthood, those who had been breast-fed were significantly taller than those who had not been breast-fed (standing height, P = 0.013; leg length, P = 0.035). Specifically, the breast-fed group was on average taller by 1.7 cm (95 % CI 0.4, 3.0 cm) and had longer legs by 1.0 cm (95 % CI 0.1, 1.9 cm). There was no significant difference in other anthropometric measures, blood pressure or plasma lipid profile in adults who had been breast-fed compared with those who had not been breast-fed. Compared with those who had not been breast-fed, individuals who had been breast-fed were taller in adulthood. Given the known association of increased adult height with improved life expectancy, the results from the present study support a beneficial effect of breast-feeding.

  8. Dose-dependent consumption of farmed Atlantic salmon (Salmo salar) increases plasma phospholipid n-3 fatty acids differentially

    PubMed Central

    Raatz, Susan K.; Rosenberger, Thad A.; Johnson, LuAnn K.; Wolters, William W.; Burr, Gary S; Picklo, Matthew J.

    2013-01-01

    Enhanced omega-3 fatty acid (n-3) intake benefits cardiovascular disease (CVD) risk reduction. Increasing consumption at a population level may be better addressed by diet than through supplementation. However, limited data are available on the effect of the dose response to fish intake on plasma levels of n-3 fatty acids. To compare the effects of different doses of farmed Atlantic salmon on plasma phospholipid fatty acid (PLFA) proportions and CVD risk biomarkers (glucose, insulin, HOMAIR, hsCRP, and IL-6) in healthy subjects we performed a randomized 3-period cross-over designed trial (4 wk treatment, 4-8 wk washout) to compare the effects of twice/wk consumption of farmed Atlantic salmon at doses of 90, 180, and 270 g in 19 apparently healthy men and women with a mean age of aged 40-65 years and a BMI between 25-34.9 kg/m2. All study visits were conducted at the USDA, ARS Grand Forks Human Nutrition Research Center. EPA and total n-3 were increased (p<0.05) by all treatments in a dose response manner, with total n-3 of 8.03 ± 0.26 and 9.21 ± 0.26 % for 180 and 270 g doses, respectively. Linoleic acid did not change in response to treatment while arachidonic acid (P<0.05) and total omega-6 fatty acids (n-6) decreased dose dependently (<0.0001). The addition of farmed Atlantic salmon to the diet twice/wk for 4 wk at portions of 180g and 270g modifies PLFA proportions of n-3 and n-6 in a level associated with decreased risk for CVD. PMID:23351633

  9. The impact of a point-of-care testing device on CVD risk assessment completion in New Zealand primary-care practice: A cluster randomised controlled trial and qualitative investigation.

    PubMed

    Wells, Sue; Rafter, Natasha; Kenealy, Timothy; Herd, Geoff; Eggleton, Kyle; Lightfoot, Rose; Arcus, Kim; Wadham, Angela; Jiang, Yannan; Bullen, Chris

    2017-01-01

    To assess the effect of a point of care (POC) device for testing lipids and HbA1c in addition to testing by community laboratory facilities (usual practice) on the completion of cardiovascular disease (CVD) risk assessments in general practice. We conducted a pragmatic, cluster randomised controlled trial in 20 New Zealand general practices stratified by size and rurality and randomised to POC device plus usual practice or usual practice alone (controls). Patients aged 35-79 years were eligible if they met national guideline criteria for CVD risk assessment. Data on CVD risk assessments were aggregated using a web-based decision support programme common to each practice. Data entered into the on-line CVD risk assessment form could be saved pending blood test results. The primary outcome was the proportion of completed CVD risk assessments. Qualitative data on practice processes for CVD risk assessment and feasibility of POC testing were collected at the end of the study by interviews and questionnaire. The POC testing was supported by a comprehensive quality assurance programme. A CVD risk assessment entry was recorded for 7421 patients in 10 POC practices and 6217 patients in 10 control practices; 99.5% of CVD risk assessments had complete data in both groups (adjusted odds ratio 1.02 [95%CI 0.61-1.69]). There were major external influences that affected the trial: including a national performance target for CVD risk assessment and changes to CVD guidelines. All practices had invested in systems and dedicated staff time to identify and follow up patients to completion. However, the POC device was viewed by most as an additional tool rather than as an opportunity to review practice work flow and leverage the immediate test results for patient education and CVD risk management discussions. Shortly after commencement, the trial was halted due to a change in the HbA1c test assay performance. The trial restarted after the manufacturing issue was rectified but this

  10. CVD-diamond-based position sensitive photoconductive detector for high-flux x-rays and gamma rays.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shu, D.

    1999-04-19

    A position-sensitive photoconductive detector (PSPCD) using insulating-type CVD diamond as its substrate material has been developed at the Advanced Photon Source (APS). Several different configurations, including a quadrant pattern for a x-ray-transmitting beam position monitor (TBPM) and 1-D and 2-D arrays for PSPCD beam profilers, have been developed. Tests on different PSPCD devices with high-heat-flux undulator white x-ray beam, as well as with gamma-ray beams from {sup 60}Co sources have been done at the APS and National Institute of Standards and Technology (NIST). It was proven that the insulating-type CVD diamond can be used to make a hard x-ray andmore » gamma-ray position-sensitive detector that acts as a solid-state ion chamber. These detectors are based on the photoconductivity principle. A total of eleven of these TBPMs have been installed on the APS front ends for commissioning use. The linear array PSPCD beam profiler has been routinely used for direct measurements of the undulator white beam profile. More tests with hard x-rays and gamma rays are planned for the CVD-diamond 2-D imaging PSPCD. Potential applications include a high-dose-rate beam profiler for fourth-generation synchrotrons radiation facilities, such as free-electron lasers.« less

  11. Circulating Vitamin K Is Inversely Associated with Incident Cardiovascular Disease Risk among Those Treated for Hypertension in the Health, Aging, and Body Composition Study (Health ABC).

    PubMed

    Shea, M Kyla; Booth, Sarah L; Weiner, Daniel E; Brinkley, Tina E; Kanaya, Alka M; Murphy, Rachel A; Simonsick, Eleanor M; Wassel, Christina L; Vermeer, Cees; Kritchevsky, Stephen B

    2017-05-01

    Background: A role for vitamin K in coronary artery calcification (CAC), a subclinical manifestation of cardiovascular disease (CVD), has been proposed because vitamin K-dependent proteins, including the calcification inhibitor matrix Gla protein (MGP), are present in vascular tissue. Observational studies found that low circulating phylloquinone (vitamin K-1) was associated with increased CAC progression, especially in persons treated for hypertension. It is unknown whether hypertension treatment modifies this putative role of vitamin K in clinical CVD risk. Objective: We determined the association between vitamin K status and incident clinical CVD in older adults in the Health ABC (Health, Aging, and Body Composition Study) and whether the association differed by hypertension treatment status. Methods: Plasma phylloquinone was measured in 1061 participants free of CVD (70-79 y of age, 58% women, 39% black). Plasma uncarboxylated MGP [(dp)ucMGP] was measured in a subset of 635 participants. Multivariate Cox models estimated the HR for incident CVD over 12.1 follow-up years. Effect modification by hypertension was tested with the use of interaction terms. Results: Neither low plasma phylloquinone (<0.2 nmol/L) nor elevated (dp)ucMGP (≥574 pmol/L) was significantly associated with incident CVD [respective HRs (95% CIs): 1.27 (0.75, 2.13) and 1.02 (0.72, 1.45)]. In participants treated for hypertension ( n = 489; 135 events), low plasma phylloquinone was associated with higher CVD risk overall (HR: 2.94; 95% CI: 1.41, 6.13). In those with untreated hypertension ( n = 153; 48 events) and without hypertension ( n = 418; 92 events), low plasma phylloquinone was not associated with incident CVD. The association between high (dp)ucMGP did not differ by hypertension treatment status ( P -interaction = 0.72). Conclusions: Vitamin K status was not significantly associated with CVD risk overall, but low plasma phylloquinone was associated with a higher CVD risk in older

  12. CVD diamond detectors for ionizing radiation

    NASA Astrophysics Data System (ADS)

    Friedl, M.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-10-01

    In future HEP accelerators, such as the LHC (CERN), detectors and electronics in the vertex region of the experiments will suffer from extreme radiation. Thus radiation hardness is required for both detectors and electronics to survive in this harsh environment. CVD diamond, which is investigated by the RD42 Collaboration at CERN, can meet these requirements. Samples of up to 2×4 cm2 have been grown and refined for better charge collection properties, which are measured with a β source or in a testbeam. A large number of diamond samples has been irradiated with hadrons to fluences of up to 5×10 15 cm-2 to study the effects of radiation. Both strip and pixel detectors were prepared in various geometries. Samples with strip metallization have been tested with both slow and fast readout electronics, and the first diamond pixel detector proved fully functional with LHC electronics.

  13. Significance of vapor phase chemical reactions on CVD rates predicted by chemically frozen and local thermochemical equilibrium boundary layer theories

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1988-01-01

    This paper investigates the role played by vapor-phase chemical reactions on CVD rates by comparing the results of two extreme theories developed to predict CVD mass transport rates in the absence of interfacial kinetic barrier: one based on chemically frozen boundary layer and the other based on local thermochemical equilibrium. Both theories consider laminar convective-diffusion boundary layers at high Reynolds numbers and include thermal (Soret) diffusion and variable property effects. As an example, Na2SO4 deposition was studied. It was found that gas phase reactions have no important role on Na2SO4 deposition rates and on the predictions of the theories. The implications of the predictions of the two theories to other CVD systems are discussed.

  14. Development of tungsten armor and bonding to copper for plasma-interactive components

    NASA Astrophysics Data System (ADS)

    Smid, I.; Akiba, M.; Vieider, G.; Plöchl, L.

    1998-10-01

    For the highest sputtering threshold of all possible candidates, tungsten will be the most likely armor material in highly loaded plasma-interactive components of commercially relevant fusion reactors. The development of new materials, as well as joining and coating techniques are needed to find the best balance in plasma compatibility, lifetime, reliability, neutron irradiation resistance, and safety. Further important issues for selection are availability, costs of machining and production, etc. Tungsten doped with lanthanum oxide is a commercially available W grade for electrodes, designed for low electron work function, higher recrystallization temperature, reduced secondary grain growth, and machinability at relatively low costs. W-Re and related tungsten base alloys are preferred for application at high temperatures, when high strength, high thermal shock and recrystallization resistance are required. Due to the high costs and limited global availability of Re, however, the amount of such alloys in a commercial reactor should be kept low. Newly measured material properties up to high temperatures are presented for lanthanated and W-Re alloys, and the impact on fusion application is discussed. Recently developed coatings of chemical vapor deposited tungsten (CVD-W) on copper substrates have proven to be resistant to repeated thermal and shock loading. Layers of more than 5 mm, as required for the International Thermonuclear Experimental Reactor (ITER), became available. Vacuum plasma sprayed tungsten (VPS-W) in particular is attractive for its lower costs, and the potential of in situ repair. However, the advantage of sacrificial plasma-interactive tungsten coatings in long-term fusion devices has yet to be demonstrated. A durable and reliable joining of bulk tungsten to copper is needed to achieve an acceptable component lifetime in a fusion environment. The material properties of the copper alloys proposed for ITER, and their impact on the quality of bonding

  15. Paralinear Oxidation of CVD SiC in Water Vapor

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Hann, Raiford E., Jr.

    1997-01-01

    The oxidation kinetics of CVD SiC were monitored by thermogravimetric analysis (TGA) in a 50% H2O/50% O2 gas mixture flowing at 4.4 cm/s for temperatures between 1200 and 1400 C. Paralinear weight change kinetics were observed as the water vapor oxidized the SiC and simultaneously volatilized the silica scale. The long-term degradation rate of SiC is determined by the volatility of the silica scale. Rapid SiC surface recession rates were estimated from these data for actual aircraft engine combustor conditions.

  16. Plasma and erythrocyte membrane phospholipids and fatty acids in Italian general population and hemodialysis patients.

    PubMed

    Dessì, Mariarita; Noce, Annalisa; Bertucci, Pierfrancesco; Noce, Gianluca; Rizza, Stefano; De Stefano, Alessandro; Manca di Villahermosa, Simone; Bernardini, Sergio; De Lorenzo, Antonino; Di Daniele, Nicola

    2014-03-21

    Dyslipidemia and abnormal phospholipid metabolism are frequent in uremic patients and increase their risk of cardiovascular disease (CVD): ω-3 polyunsaturated fatty acids (PUFAs) may reduce this risk in the general population. In this study we compared the plasma and erythrocyte cell membrane composition of PUFAs in a group of Caucasian hemodialysis (HD) patients and in a control group of healthy subjects and evaluated the erythrocyte/cell membrane fatty acid ratio as a marker of the dietary intake of phospholipids. The relationship between ω-3 and ω-6 fatty acids and the possible differences in PUFAs concentrations were also investigated. After obtaining a fully informed consent, a total of ninety-nine HD patients and 160 non uremic control subjects from "Tor Vergata" University Hospital were enrolled into the study. None of them took antioxidant drugs or dietary supplements for at least 90 days prior to the observation. Blood samples were analysed by gas-chromatographic coupled to a mass spectrometric detector.The daily intake of total calories, proteins, lipids and carbohydrates is significantly lower in HD patients than in controls (p < 0.001). Most plasma and erythrocyte PUFA were also reduced significantly in HD patients (p < 0.001). Our results suggest that many classes of PUFAs are lacking in HD patients, due to the removal of nutrients during the dialysis and to persistent malnutrition. A dietary treatment addressed to increase plasma ω-3 PUFAs and to optimize ω-6/ω-3 ratio may exert a protective action and reduce the risk of CVD in HD patient.

  17. Quercetin Lowers Plasma Triglycerides Accompanied by White Adipose Tissue Browning in Diet-Induced Obese Mice.

    PubMed

    Kuipers, Eline N; Dam, Andrea D van; Held, Ntsiki M; Mol, Isabel M; Houtkooper, Riekelt H; Rensen, Patrick C N; Boon, Mariëtte R

    2018-06-16

    Obesity and dyslipidemia are major risk factors for the development of cardiovascular diseases (CVD). Quercetin, a natural flavonoid, lowers plasma triglycerides (TG) in human intervention studies, and its intake is associated with lower CVD risk. The aim of this study was to elucidate the mechanism by which quercetin lowers plasma TG levels in diet-induced obesity. C57Bl/6J mice received a high-fat diet (45% of calories derived from fat) with or without quercetin (0.1% w / w ) for 12 weeks. Quercetin decreased plasma TG levels from nine weeks onwards (−19%, p < 0.05), without affecting food intake, body composition, or energy expenditure. Mechanistically, quercetin did not reduce intestinal fatty acid (FA) absorption. Rather, quercetin induced a slight reduction in liver Apob expression (−13%, p < 0.05), which suggests decreased very-low density lipoprotein-TG production. Interestingly, quercetin also markedly increased the uptake of [³H]oleate, which was derived from glycerol tri[³H]oleate-labeled lipoprotein-like particles by subcutaneous white adipose tissue (sWAT, +60%, p < 0.05). Furthermore, quercetin also markedly increased mRNA expression of Ucp1 (+229%, p < 0.05) and Elovl3 (+138%, p < 0.05), specifically in sWAT. Accordingly, only quercetin-treated animals showed uncoupling protein-1 protein-positive cells in sWAT, which is fully compatible with increased browning. Taken together, the TG-lowering effect of quercetin may, at least in part, be due to increased TG-derived FA uptake by sWAT as a consequence of browning.

  18. The integration of epigenetics and genetics in nutrition research for CVD risk factors

    USDA-ARS?s Scientific Manuscript database

    There is increasing evidence documenting gene-by-environment (G x E) interactions for CVD related traits. However, the underlying mechanisms are still unclear. DNA methylation may represent one of such potential mechanisms. The objective of this review paper is to summarise the current evidence supp...

  19. Intervention Trials with the Mediterranean Diet in Cardiovascular Prevention: Understanding Potential Mechanisms through Metabolomic Profiling.

    PubMed

    Martínez-González, Miguel Á; Ruiz-Canela, Miguel; Hruby, Adela; Liang, Liming; Trichopoulou, Antonia; Hu, Frank B

    2016-03-09

    Large observational epidemiologic studies and randomized trials support the benefits of a Mediterranean dietary pattern on cardiovascular disease (CVD). Mechanisms postulated to mediate these benefits include the reduction of low-grade inflammation, increased adiponectin concentrations, decreased blood coagulation, enhanced endothelial function, lower oxidative stress, lower concentrations of oxidized LDL, and improved apolipoprotein profiles. However, the metabolic pathways through which the Mediterranean diet influences CVD risk remain largely unknown. Investigating specific mechanisms in the context of a large intervention trial with the use of high-throughput metabolomic profiling will provide more solid public health messages and may help to identify key molecular targets for more effective prevention and management of CVD. Although metabolomics is not without its limitations, the techniques allow for an assessment of thousands of metabolites, providing wide-ranging profiling of small molecules related to biological status. Specific candidate plasma metabolites that may be associated with CVD include branched-chain and aromatic amino acids; the glutamine-to-glutamate ratio; some short- to medium-chain acylcarnitines; gut flora metabolites (choline, betaine, and trimethylamine N-oxide); urea cycle metabolites (citrulline and ornithine); and specific lipid subclasses. In addition to targeted metabolites, the role of a large number of untargeted metabolites should also be assessed. Large intervention trials with the use of food patterns for the prevention of CVD provide an unparalleled opportunity to examine the effects of these interventions on plasma concentrations of specific metabolites and determine whether such changes mediate the benefits of the dietary interventions on CVD risk. © 2016 American Society for Nutrition.

  20. Zero end-digit preference in recorded blood pressure and its impact on classification of patients for pharmacologic management in primary care - PREDICT-CVD-6.

    PubMed

    Broad, Joanna; Wells, Sue; Marshall, Roger; Jackson, Rod

    2007-11-01

    Most blood pressure recordings end with a zero end-digit despite guidelines recommending measurement to the nearest 2 mmHg. The impact of rounding on management of cardiovascular disease (CVD) risk is unknown. To document the use of rounding to zero end-digit and assess its potential impact on eligibility for pharmacologic management of CVD risk. Cross-sectional study. A total of 23,676 patients having opportunistic CVD risk assessment in primary care practices in New Zealand. To simulate rounding in practice, for patients with systolic blood pressures recorded without a zero end-digit, a second blood pressure measure was generated by arithmetically rounding to the nearest zero end-digit. A 10-year Framingham CVD risk score was estimated using actual and rounded blood pressures. Eligibility for pharmacologic treatment was then determined using the Joint British Societies' JBS2 and the British Hypertension Society BHS-IV guidelines based on actual and rounded blood pressure values. Zero end-digits were recorded in 64% of systolic and 62% of diastolic blood pressures. When eligibility for drug treatment was based only on a Framingham 10year CVD risk threshold of 20% or more, rounding misclassified one in 41 of all those patients subject to this error. Under the two guidelines which use different combinations of CVD risk and blood pressure thresholds, one in 19 would be misclassified under JBS2 and one in 12 under the BHS-IV guidelines mostly towards increased treatment. Zero end-digit preference significantly increases a patient's likelihood of being classified as eligible for drug treatment. Guidelines that base treatment decisions primarily on absolute CVD risk are less susceptible to these errors.

  1. Highly photostable NV centre ensembles in CVD diamond produced by using N2O as the doping gas

    NASA Astrophysics Data System (ADS)

    Tallaire, A.; Mayer, L.; Brinza, O.; Pinault-Thaury, M. A.; Debuisschert, T.; Achard, J.

    2017-10-01

    High density Nitrogen-Vacancy (NV) centre ensembles incorporated in plasma assisted chemical vapour deposition (CVD) diamond are crucial to the development of more efficient sensing devices that use the properties of luminescent defects. Achieving high NV doping with N2 as the dopant gas source during diamond growth is, however, plagued by the formation of macroscopic and point defects that quench luminescence. Moreover, such NVs are found to exhibit poor photostability under high laser powers. Although this effect can be harnessed to locally and durably switch off NV luminescence for data storage, it is usually undesirable for most applications. In this work, the use of N2O as an alternative doping source is proposed. Much higher amounts of the doping gas can be added without significantly generating defects, which allows the incorporation of perfectly photostable and higher density NV ensembles. This effect is believed to be related to the lower dissociation energy of the N2O molecule together with the beneficial effect of the presence of a low and controlled amount of oxygen near the growing surface.

  2. Heparin free coating on PLA membranes for enhanced hemocompatibility via iCVD

    NASA Astrophysics Data System (ADS)

    Wang, Hui; Shi, Xiao; Gao, Ailin; Lin, Haibo; Chen, Yongliang; Ye, Yumin; He, Jidong; Liu, Fu; Deng, Gang

    2018-03-01

    In the present work, we report one-step immobilization of nano-heparin coating on PLA membranes via initiated chemical vapor deposition (iCVD) for enhanced hemocompatibility. The nano-coating introduced onto the membrane surface via the crosslinking of P(MAA-EGDA) was confirmed by the FTIR, SEM and weight measurement respectively. The negative carboxyl groups could form the hydration interaction with the protein and platelets and electrostatic interaction with amide groups of thrombin by the mediation of antithrombin, which is similar but different with heparin. The P(MAA-EGDA) coated membranes showed suppressed platelet adhesion and prolonged clotting time (APTTs increased to 59 s, PTs increased to 20.4 s, TTs increased to 17.5 s, and the FIBs declined by 30 mg/dL). Moreover, the complement activation tests demonstrated the formation of C3a and C5a was inhibited. All results demonstrated that the nano-coating of P(MAA-EGDA) via iCVD significantly enhanced the hemocompatibility of PLA membranes, which is also applicable for various membranes.

  3. Plasma characterization using ultraviolet Thomson scattering from ion-acoustic and electron plasma waves (invited).

    PubMed

    Follett, R K; Delettrez, J A; Edgell, D H; Henchen, R J; Katz, J; Myatt, J F; Froula, D H

    2016-11-01

    Collective Thomson scattering is a technique for measuring the plasma conditions in laser-plasma experiments. Simultaneous measurements of ion-acoustic and electron plasma-wave spectra were obtained using a 263.25-nm Thomson-scattering probe beam. A fully reflective collection system was used to record light scattered from electron plasma waves at electron densities greater than 10 21 cm -3 , which produced scattering peaks near 200 nm. An accurate analysis of the experimental Thomson-scattering spectra required accounting for plasma gradients, instrument sensitivity, optical effects, and background radiation. Practical techniques for including these effects when fitting Thomson-scattering spectra are presented and applied to the measured spectra to show the improvements in plasma characterization.

  4. Luminescence and conductivity studies on CVD diamond exposed to UV light

    NASA Astrophysics Data System (ADS)

    Bizzarri, A.; Bogani, F.; Bruzzi, M.; Sciortino, S.

    1999-04-01

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination from bound states rather than due to radiative free to bound transitions, as generally assumed in TL theory. The TSC signal is likely to arise from impurity band rather than from free carriers conduction.

  5. Surface Modification of Graphene Oxides by Plasma Techniques and Their Application for Environmental Pollution Cleanup.

    PubMed

    Wang, Xiangxue; Fan, Qiaohui; Chen, Zhongshan; Wang, Qi; Li, Jiaxing; Hobiny, Aatef; Alsaedi, Ahmed; Wang, Xiangke

    2016-02-01

    Graphene oxides (GOs) have come under intense multidisciplinary study because of their unique physicochemical properties and possible applications. The large amount of oxygen-containing functional groups on GOs leads to a high sorption capacity for the removal of various kinds of organic and inorganic pollutants from aqueous solutions in environmental pollution cleanup. However, the lack of selectivity results in difficulty in the selective removal of target pollutants from aqueous solutions in the presence of other coexisting pollutants. Herein, the surface grafting of GOs with special oxygen-containing functional groups using low-temperature plasma techniques and the application of the surface-modified GOs for the efficient removal of organic and inorganic pollutants in environmental pollution are reviewed. This paper gives an account of our research on the application of GO-based nanomaterials in environmental pollution cleanup, including: (1) the synthesis and surface grafting of functional groups on GOs, summarizing various types of low-temperature plasma techniques for the synthesis of graphene/GOs; and (2) the application of graphene/GOs and their composites for the efficient removal of organic and inorganic pollutants from aqueous solutions, including the interaction mechanism according to recently published results. © 2015 The Chemical Society of Japan & Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Premature menopause linked to CVD and osteoporosis.

    PubMed

    Park, Claire; Overton, Caroline

    2010-03-01

    Premature menopause affects 1% of women under the age of 40, the usual age of the menopause is 51. Most women will present with irregular periods or no periods at all with or without climacteric symptoms. Around 10% of women present with primary amenorrhoea. A careful history and examination are required. It is important to ask specifically about previous chemotherapy or radiotherapy and to look for signs of androgen excess e.g. polycystic ovarian syndrome, adrenal problems e.g. galactorrhoea and thyroid goitres. Once pregnancy has been excluded, a progestagen challenge test can be performed in primary care. Norethisterone 5 mg tds po for ten days or alternatively medroxyprogesterone acetate 10 mg daily for ten days is prescribed. A withdrawal bleed within a few days of stopping the norethisterone indicates the presence of oestrogen and bleeding more than a few drops is considered a positive withdrawal bleed. The absence of a bleed indicates low levels of oestrogen, putting the woman at risk of CVD and osteoporosis. FSH levels above 30 IU/l are an indicator that the ovaries are failing and the menopause is approaching or has occurred. It should be remembered that FSH levels fluctuate during the month and from one month to the next, so a minimum of two measurements should be made at least four to six weeks apart. The presence of a bleed should not exclude premature menopause as part of the differential diagnosis as there can be varying and unpredictable ovarian function remaining. The progestagen challenge test should not be used alone, but in conjunction with FSH, LH and oestradiol. There is no treatment for premature menopause. Women desiring pregnancy should be referred to a fertility clinic and discussion of egg donation. Women not wishing to become pregnant should be prescribed HRT until the age of 50 to control symptoms of oestrogen deficiency and reduce the risks of osteoporosis and CVD.

  7. N-Doped Graphene with Low Intrinsic Defect Densities via a Solid Source Doping Technique.

    PubMed

    Liu, Bo; Yang, Chia-Ming; Liu, Zhiwei; Lai, Chao-Sung

    2017-09-30

    N-doped graphene with low intrinsic defect densities was obtained by combining a solid source doping technique and chemical vapor deposition (CVD). The solid source for N-doping was embedded into the copper substrate by NH₃ plasma immersion. During the treatment, NH₃ plasma radicals not only flattened the Cu substrate such that the root-mean-square roughness value gradually decreased from 51.9 nm to 15.5 nm but also enhanced the nitrogen content in the Cu substrate. The smooth surface of copper enables good control of graphene growth and the decoupling of height fluctuations and ripple effects, which compensate for the Coulomb scattering by nitrogen incorporation. On the other hand, the nitrogen atoms on the pre-treated Cu surface enable nitrogen incorporation with low defect densities, causing less damage to the graphene structure during the process. Most incorporated nitrogen atoms are found in the pyrrolic configuration, with the nitrogen fraction ranging from 1.64% to 3.05%, while the samples exhibit low defect densities, as revealed by Raman spectroscopy. In the top-gated graphene transistor measurement, N-doped graphene exhibits n-type behavior, and the obtained carrier mobilities are greater than 1100 cm²·V -1 ·s -1 . In this study, an efficient and minimally damaging n-doping approach was proposed for graphene nanoelectronic applications.

  8. An accurate automated technique for quasi-optics measurement of the microwave diagnostics for fusion plasma

    NASA Astrophysics Data System (ADS)

    Hu, Jianqiang; Liu, Ahdi; Zhou, Chu; Zhang, Xiaohui; Wang, Mingyuan; Zhang, Jin; Feng, Xi; Li, Hong; Xie, Jinlin; Liu, Wandong; Yu, Changxuan

    2017-08-01

    A new integrated technique for fast and accurate measurement of the quasi-optics, especially for the microwave/millimeter wave diagnostic systems of fusion plasma, has been developed. Using the LabVIEW-based comprehensive scanning system, we can realize not only automatic but also fast and accurate measurement, which will help to eliminate the effects of temperature drift and standing wave/multi-reflection. With the Matlab-based asymmetric two-dimensional Gaussian fitting method, all the desired parameters of the microwave beam can be obtained. This technique can be used in the design and testing of microwave diagnostic systems such as reflectometers and the electron cyclotron emission imaging diagnostic systems of the Experimental Advanced Superconducting Tokamak.

  9. Enhanced B doping in CVD-grown GeSn:B using B δ-doping layers

    NASA Astrophysics Data System (ADS)

    Kohen, David; Vohra, Anurag; Loo, Roger; Vandervorst, Wilfried; Bhargava, Nupur; Margetis, Joe; Tolle, John

    2018-02-01

    Highly doped GeSn material is interesting for both electronic and optical applications. GeSn:B is a candidate for source-drain material in future Ge pMOS device because Sn adds compressive strain with respect to pure Ge, and therefore can boost the Ge channel performances. A high B concentration is required to obtain low contact resistivity between the source-drain material and the metal contact. To achieve high performance, it is therefore highly desirable to maximize both the Sn content and the B concentration. However, it has been shown than CVD-grown GeSn:B shows a trade-off between the Sn incorporation and the B concentration (increasing B doping reduces Sn incorporation). Furthermore, the highest B concentration of CVD-grown GeSn:B process reported in the literature has been limited to below 1 × 1020 cm-3. Here, we demonstrate a CVD process where B δ-doping layers are inserted in the GeSn layer. We studied the influence of the thickness between each δ-doping layers and the δ-doping layers process conditions on the crystalline quality and the doping density of the GeSn:B layers. For the same Sn content, the δ-doping process results in a 4-times higher B doping than the co-flow process. In addition, a B doping concentration of 2 × 1021 cm-3 with an active concentration of 5 × 1020 cm-3 is achieved.

  10. Freedom poverty: a new tool to identify the multiple disadvantages affecting those with CVD.

    PubMed

    Callander, Emily J; Schofield, Deborah J; Shrestha, Rupendra N

    2013-06-20

    It is recognised that CVD affects an individual's financial situation, placing them in income poverty. However, recent developments in poverty measurement practice recognises other forms of disadvantage other than low income, such as poor health and insufficient education also affect living standards. Using the Freedom Poverty Measure, the multiple forms of disadvantage experienced by those with no health condition, heart disease, other diseases of the circulatory system, and all other health conditions was assessed using data on the adult Australian population contained in the 2003 Survey of Disability, Ageing and Carers. 24% of those with heart disease and 23% of those with other diseases of the circulatory system were in freedom poverty, suffering from multiple forms of disadvantage. Those with heart disease and those with other diseases of the circulatory system were around three times more likely to be in freedom poverty (OR 3.02, 95% CI: 2.29-3.99, p<.0001; OR 2.78, 95% CI: 1.94-3.98, p<.0001) than those with no health condition. Recognising the multiple forms of disadvantage suffered by those with CVD provides a clearer picture of their living standards than just looking at their income alone and the high proportion of individuals with CVD that are suffering from multiple forms of disadvantage should make them a target for policy makers wishing to improve living standards. Copyright © 2011 Elsevier Ireland Ltd. All rights reserved.

  11. Development and initial validation of the Cardiovascular Disease Acceptance and Action Questionnaire (CVD-AAQ) in an Italian sample of cardiac patients

    PubMed Central

    Spatola, Chiara A. M.; Cappella, Emanuele A. M.; Goodwin, Christina L.; Baruffi, Matteo; Malfatto, Gabriella; Facchini, Mario; Castelnuovo, Gianluca; Manzoni, Gian Mauro; Molinari, Enrico

    2014-01-01

    Psychological inflexibility refers to the attempt to decrease internal distress even when doing so is inconsistent with life values, and has been identified as a potential barrier to making and maintaining health behavior changes that are consistent with a heart-healthy lifestyle. Disease- and behavior-specific measures of psychological inflexibility have been developed and utilized in treatment research. However, no specific measure has been created for patients with heart disease. Thus, the CardioVascular Disease Acceptance and Action Questionnaire (CVD-AAQ) was developed. The present study is aimed to evaluate the psychometric properties of the CVD-AAQ and to explore its association with measures of psychological adjustment and cardiovascular risk factors in an Italian sample of 275 cardiac patients. Exploratory factor analysis showed a structural one-factor solution with satisfactory internal consistency and test–retest reliability. The relation with other measures was in the expected direction with stronger correlations for the theoretically consistent variables, supporting convergent and divergent validity. CVD-AAQ scores were associated with general psychological inflexibility, anxiety and depression and inversely correlated with psychological well-being. Moreover, the results showed that CVD-AAQ scores are associated with two relevant risk factors for cardiac patients, namely low adherence to medication and being overweight. In sum, results suggest that the CVD-AAQ is a reliable and valid measure of heart disease-specific psychological inflexibility with interesting clinical applications for secondary prevention care. PMID:25452737

  12. Alcohol intake in relation to non-fatal and fatal coronary heart disease and stroke: EPIC-CVD case-cohort study.

    PubMed

    Ricci, Cristian; Wood, Angela; Muller, David; Gunter, Marc J; Agudo, Antonio; Boeing, Heiner; van der Schouw, Yvonne T; Warnakula, Samantha; Saieva, Calogero; Spijkerman, Annemieke; Sluijs, Ivonne; Tjønneland, Anne; Kyrø, Cecilie; Weiderpass, Elisabete; Kühn, Tilman; Kaaks, Rudolf; Sánchez, Maria-Jose; Panico, Salvatore; Agnoli, Claudia; Palli, Domenico; Tumino, Rosario; Engström, Gunnar; Melander, Olle; Bonnet, Fabrice; Boer, Jolanda M A; Key, Timothy J; Travis, Ruth C; Overvad, Kim; Verschuren, W M Monique; Quirós, J Ramón; Trichopoulou, Antonia; Papatesta, Eleni-Maria; Peppa, Eleni; Iribas, Conchi Moreno; Gavrila, Diana; Forslund, Ann-Sofie; Jansson, Jan-Håkan; Matullo, Giuseppe; Arriola, Larraitz; Freisling, Heinz; Lassale, Camille; Tzoulaki, Ioanna; Sharp, Stephen J; Forouhi, Nita G; Langenberg, Claudia; Saracci, Rodolfo; Sweeting, Michael; Brennan, Paul; Butterworth, Adam S; Riboli, Elio; Wareham, Nick J; Danesh, John; Ferrari, Pietro

    2018-05-29

    To investigate the association between alcohol consumption (at baseline and over lifetime) and non-fatal and fatal coronary heart disease (CHD) and stroke. Multicentre case-cohort study. A study of cardiovascular disease (CVD) determinants within the European Prospective Investigation into Cancer and nutrition cohort (EPIC-CVD) from eight European countries. 32 549 participants without baseline CVD, comprised of incident CVD cases and a subcohort for comparison. Non-fatal and fatal CHD and stroke (including ischaemic and haemorrhagic stroke). There were 9307 non-fatal CHD events, 1699 fatal CHD, 5855 non-fatal stroke, and 733 fatal stroke. Baseline alcohol intake was inversely associated with non-fatal CHD, with a hazard ratio of 0.94 (95% confidence interval 0.92 to 0.96) per 12 g/day higher intake. There was a J shaped association between baseline alcohol intake and risk of fatal CHD. The hazard ratios were 0.83 (0.70 to 0.98), 0.65 (0.53 to 0.81), and 0.82 (0.65 to 1.03) for categories 5.0-14.9 g/day, 15.0-29.9 g/day, and 30.0-59.9 g/day of total alcohol intake, respectively, compared with 0.1-4.9 g/day. In contrast, hazard ratios for non-fatal and fatal stroke risk were 1.04 (1.02 to 1.07), and 1.05 (0.98 to 1.13) per 12 g/day increase in baseline alcohol intake, respectively, including broadly similar findings for ischaemic and haemorrhagic stroke. Associations with cardiovascular outcomes were broadly similar with average lifetime alcohol consumption as for baseline alcohol intake, and across the eight countries studied. There was no strong evidence for interactions of alcohol consumption with smoking status on the risk of CVD events. Alcohol intake was inversely associated with non-fatal CHD risk but positively associated with the risk of different stroke subtypes. This highlights the opposing associations of alcohol intake with different CVD types and strengthens the evidence for policies to reduce alcohol consumption. Published by the BMJ Publishing

  13. Characterization of CVD micrometer-size diamond (abstract)

    NASA Astrophysics Data System (ADS)

    Ohsumi, K.; Hagiya, K.; Miyamoto, M.; Matsuda, J.; Ohmasa, M.

    1989-07-01

    formed from carbonaceous materials by impact shock or directly formed from vapor. Recent discovery of vapor-growth diamonds in carbonaceous chondrites has generated a renewed interest in the origin of ureilite diamonds. Two types of micrometer-size diamonds were prepared. One of them was grown under low pressure by chemical vapor deposition (CVD) from gaseous mixtures of H2 and CH4, and another was synthesized by shock effect (kindly offered by Nippon Oil & Fats Co., Ltd.) The micro-Laue method was applied to them in order to get information about their microstructures. Two characteristics are recognized in profiles of reflections themselves and in whole patterns of the Laue photographs. The reflections of CVD diamonds are elongated but symmetric in their profiles and are distributed regularly as they are indexed by the diamond lattice, while those of shock effect are also elongated and asymmetric, and are distributed at random as they cannot be indexed. The characteristics observed by the method may be useful to ascribe the origin to CVD or shock effect.

  14. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  15. Laser Assisted CVD Growth of A1N and GaN

    DTIC Science & Technology

    1990-08-31

    additional cost sharing. RESEARCH FACILITIES The york is being performed in the Howard University Laser Laboratory. This is a free-standing buildinq...would be used to optimize computer models of the laser induced CVD reactor. FACILITIES AND EQUIPMENT - ADDITIONAL COST SHARING This year Howard ... University has provided $45,000 for the purchase of an excimer laser to be shared by Dr. Crye for the diode laser probe experiments and another Assistant

  16. Imaging of laboratory magnetospheric plasmas using coherence imaging technique

    NASA Astrophysics Data System (ADS)

    Nishiura, Masaki; Takahashi, Noriki; Yoshida, Zensho; Nakamura, Kaori; Kawazura, Yohei; Kenmochi, Naoki; Nakatsuka, Masataka; Sugata, Tetsuya; Katsura, Shotaro; Howard, John

    2017-10-01

    The ring trap 1 (RT-1) device creates a laboratory magnetosphere for the studies on plasma physics and advanced nuclear fusion. A levitated superconducting coil produces magnetic dipole fields that realize a high beta plasma confinement that is motivated by self-organized plasmas in planetary magnetospheres. The electron cyclotron resonance heating (ECRH) with 8.2 GHz and 50 kW produces the plasmas with hot electrons in a few ten keV range. The electrons contribute to the local electron beta that exceeded 1 in RT-1. For the ion heating, ion cyclotron range of frequencies (ICRF) heating with 2-4 MHz and 10 kW has been performed in RT-1. The radial profile of ion temperature by a spectroscopic measurement indicates the signature of ion heating. In the holistic point of view, a coherence imaging system has been implemented for imaging the entire ion dynamics in the laboratory magnetosphere. The diagnostic system and obtained results will be presented.

  17. Plasma characterization using ultraviolet Thomson scattering from ion-acoustic and electron plasma waves (invited)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Follett, R. K., E-mail: rfollett@lle.rochester.edu; Delettrez, J. A.; Edgell, D. H.

    2016-11-15

    Collective Thomson scattering is a technique for measuring the plasma conditions in laser-plasma experiments. Simultaneous measurements of ion-acoustic and electron plasma-wave spectra were obtained using a 263.25-nm Thomson-scattering probe beam. A fully reflective collection system was used to record light scattered from electron plasma waves at electron densities greater than 10{sup 21} cm{sup −3}, which produced scattering peaks near 200 nm. An accurate analysis of the experimental Thomson-scattering spectra required accounting for plasma gradients, instrument sensitivity, optical effects, and background radiation. Practical techniques for including these effects when fitting Thomson-scattering spectra are presented and applied to the measured spectra tomore » show the improvements in plasma characterization.« less

  18. ELM mitigation techniques

    NASA Astrophysics Data System (ADS)

    Evans, T. E.

    2013-07-01

    Large edge-localized mode (ELM) control techniques must be developed to help ensure the success of burning and ignited fusion plasma devices such as tokamaks and stellarators. In full performance ITER tokamak discharges, with QDT = 10, the energy released by a single ELM could reach ˜30 MJ which is expected to result in an energy density of 10-15 MJ/m2on the divertor targets. This will exceed the estimated divertor ablation limit by a factor of 20-30. A worldwide research program is underway to develop various types of ELM control techniques in preparation for ITER H-mode plasma operations. An overview of the ELM control techniques currently being developed is discussed along with the requirements for applying these techniques to plasmas in ITER. Particular emphasis is given to the primary approaches, pellet pacing and resonant magnetic perturbation fields, currently being considered for ITER.

  19. Toward clean suspended CVD graphene

    DOE PAGES

    Yulaev, Alexander; Univ. of Maryland, College Park, MD; Cheng, Guangjun; ...

    2016-08-26

    The application of suspended graphene as electron transparent supporting media in electron microscopy, vacuum electronics, and micromechanical devices requires the least destructive and maximally clean transfer from their original growth substrate to the target of interest. Here, we use thermally evaporated anthracene films as the sacrificial layer for graphene transfer onto an arbitrary substrate. We show that clean suspended graphene can be achieved via desorbing the anthracene layer at temperatures in the 100 °C to 150 °C range, followed by two sequential annealing steps for the final cleaning, using a Pt catalyst and activated carbon. The cleanliness of the suspendedmore » graphene membranes was analyzed employing the high surface sensitivity of low energy scanning electron microscopy and X-ray photoelectron spectroscopy. A quantitative comparison with two other commonly used transfer methods revealed the superiority of the anthracene approach to obtain a larger area of clean, suspended CVD graphene. Lastly, our graphene transfer method based on anthracene paves the way for integrating cleaner graphene in various types of complex devices, including the ones that are heat and humidity sensitive.« less

  20. Nitrogen plasma-treated multilayer graphene-based field effect transistor fabrication and electronic characteristics

    NASA Astrophysics Data System (ADS)

    Su, Wei-Jhih; Chang, Hsuan-Chen; Honda, Shin-ichi; Lin, Pao-Hung; Huang, Ying-Sheng; Lee, Kuei-Yi

    2017-08-01

    Chemical doping with hetero-atoms is an effective method used to change the characteristics of materials. Nitrogen doping technology plays a critical role in regulating the electronic properties of graphene. Nitrogen plasma treatment was used in this work to dope nitrogen atoms to modulate multilayer graphene electrical properties. The measured I-V multilayer graphene-base field-effect transistor characteristics (GFETs) showed a V-shaped transfer curve with the hole and electron region separated from the measured current-voltage (I-V) minimum. GFETs fabricated with multilayer graphene from chemical vapor deposition (CVD) exhibited p-type behavior because of oxygen adsorption. After using different nitrogen plasma treatment times, the minimum in I-V characteristic shifted into the negative gate voltage region with increased nitrogen concentration and the GFET channel became an n-type semiconductor. GFETs could be easily fabricated using this method with potential for various applications. The GFET transfer characteristics could be tuned precisely by adjusting the nitrogen plasma treatment time.

  1. The Effects of Exercise Therapy on CVD Risk Factors in Women

    PubMed Central

    Hur, Sun; Kim, Seon-Rye

    2014-01-01

    [Purpose] The purpose of this study was to search for the association of Type D personality and CVD risk factors through comparison of the association of exercise participation with CVD risk factors in women. [Subjects] The research subjects were randomly assigned to four groups: Type D+Exercise (n=12), Type D+non-exercise (n=12), non-Type D+Exercise (n=12), and non-Type D+non-exercise (n=10). The study consisted of 46 participants. [Methods] An aerobic exercise program and meditation were conducted in parallel for 10 months. Stretching was performed for 10 min as a warm-up, and then walking and running on a treadmill at 60 to 70% of HRmax were performed for 40 min three times a week. Blood samples were processed according to standard laboratory procedures. The concentrations of TG and HDL cholesterol were determined enzymatically using a clinical chemistry analyzer (Hitachi High-Technologies Corporation, Tokyo, Japan). [Results] The weight, percentage of body fat, waist circumference, triglyceride concentration, HDL cholesterol concentration, systolic blood pressure, and diastolic blood pressure showed a significant difference between measurement times in the exercise groups. [Conclusion] In conclusion, there were significant differences between groups in terms of cardiovascular disease risk factors. PMID:25276017

  2. Active cleaning technique device

    NASA Technical Reports Server (NTRS)

    Shannon, R. L.; Gillette, R. B.

    1973-01-01

    The objective of this program was to develop a laboratory demonstration model of an active cleaning technique (ACT) device. The principle of this device is based primarily on the technique for removing contaminants from optical surfaces. This active cleaning technique involves exposing contaminated surfaces to a plasma containing atomic oxygen or combinations of other reactive gases. The ACT device laboratory demonstration model incorporates, in addition to plasma cleaning, the means to operate the device as an ion source for sputtering experiments. The overall ACT device includes a plasma generation tube, an ion accelerator, a gas supply system, a RF power supply and a high voltage dc power supply.

  3. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  4. Effects of gas flow rate on the etch characteristics of a low- k sicoh film with an amorphous carbon mask in dual-frequency CF4/C4F8/Ar capacitively-coupled plasmas

    NASA Astrophysics Data System (ADS)

    Kwon, Bong-Soo; Lee, Hea-Lim; Lee, Nae-Eung; Kim, Chang-Young; Choi, Chi Kyu

    2013-01-01

    Highly selective nanoscale etching of a low-dielectric constant (low- k) organosilicate (SiCOH) layer using a mask pattern of chemical-vapor-deposited (CVD) amorphous carbon layer (ACL) was carried out in CF4/C4F8/Ar dual-frequency superimposed capacitively-coupled plasmas. The etching characteristics of the SiCOH layers, such as the etch rate, etch selectivity, critical dimension (CD), and line edge roughness (LER) during the plasma etching, were investigated by varying the C4F8 flow rate. The C4F8 gas flow rate primarily was found to control the degree of polymerization and to cause variations in the selectivity, CD and LER of the patterned SiCOH layer. Process windows for ultra-high etch selectivity of the SiCOH layer to the CVD ACL are formed due to the disproportionate degrees of polymerization on the SiCOH and the ACL surfaces.

  5. Plasma Pentosidine and Its Association with Mortality in Patients with Chronic Kidney Disease

    PubMed Central

    Sun, Jia; Qureshi, Abdul Rashid; Isoyama, Naohito; Leurs, Paul; Anderstam, Björn; Heimburger, Olof; Barany, Peter; Stenvinkel, Peter; Lindholm, Bengt

    2016-01-01

    Background Circulating advanced glycated end-products (AGEs) including pentosidine accumulating in chronic kidney disease (CKD) patients due to retention and increased formation are thought to contribute to cardiovascular disease (CVD). Here we evaluated factors linked to increased plasma pentosidine and its association with mortality in patients with different stages of CKD and undergoing different treatments. Methods Plasma pentosidine, biomarkers of inflammation, oxidative stress and nutritional status were investigated in CKD 1–2 (n = 37), CKD 3–4 (n = 54), CKD 5 non-dialyzed (CKD5-ND; n = 386), peritoneal dialysis (PD; n = 74) and hemodialysis (HD; n = 195) patients. Factors predicting plasma pentosidine were analysed by multivariate regression analysis and mortality risk was assessed by GENMOD procedure. Results Plasma pentosidine levels, which were higher in CKD5-ND, PD and HD groups than in CKD 1–2 group, were significantly lower in PD than in HD patients, and not different between PD patients and CKD5-ND patients. Pentosidine associated inversely with glomerular filtration rate (GFR), and additionally in PD with 8-hydroxy-2‘-deoxyguanosine (8-OHdG), and interleukin 6 (IL-6); in HD with age, IL-6 and body mass index (BMI); in CKD5-ND with age, 8-OHdG, IL-6, high-sensitive C-reactive protein (hsCRP), and soluble vascular cell adhesion protein-1 (sVCAM-1); in CKD 3–4 with 8-OHdG and sVCAM-1; and in CKD 1–2 with age and sVCAM-1. In multivariate analysis, age (one standard deviation, 1-SD higher), malnutrition (subjective global assessment, SGA), oxidative stress (8-OHdG, 1-SD higher), and belonging to CKD5-ND, HD and PD cohorts associated with 1-SD higher pentosidine. In GENMOD, 1-SD higher pentosidine independently predicted all-cause mortality (relative risk, RR = 1.04; 95% confidence interval, CI, 1.01–1.08, p = 0.01) and CVD mortality (RR = 1.03; 95% CI, 1.01–1.06, p = 0.03) after adjusting for all confounders. Conclusions Plasma

  6. Clinical veterinary proteomics: Techniques and approaches to decipher the animal plasma proteome.

    PubMed

    Ghodasara, P; Sadowski, P; Satake, N; Kopp, S; Mills, P C

    2017-12-01

    Over the last two decades, technological advancements in the field of proteomics have advanced our understanding of the complex biological systems of living organisms. Techniques based on mass spectrometry (MS) have emerged as powerful tools to contextualise existing genomic information and to create quantitative protein profiles from plasma, tissues or cell lines of various species. Proteomic approaches have been used increasingly in veterinary science to investigate biological processes responsible for growth, reproduction and pathological events. However, the adoption of proteomic approaches by veterinary investigators lags behind that of researchers in the human medical field. Furthermore, in contrast to human proteomics studies, interpretation of veterinary proteomic data is difficult due to the limited protein databases available for many animal species. This review article examines the current use of advanced proteomics techniques for evaluation of animal health and welfare and covers the current status of clinical veterinary proteomics research, including successful protein identification and data interpretation studies. It includes a description of an emerging tool, sequential window acquisition of all theoretical fragment ion mass spectra (SWATH-MS), available on selected mass spectrometry instruments. This newly developed data acquisition technique combines advantages of discovery and targeted proteomics approaches, and thus has the potential to advance the veterinary proteomics field by enhancing identification and reproducibility of proteomics data. Copyright © 2017 Elsevier Ltd. All rights reserved.

  7. Probing Growth-Induced Anisotropic Thermal Transport in High-Quality CVD Diamond Membranes by Multifrequency and Multiple-Spot-Size Time-Domain Thermoreflectance.

    PubMed

    Cheng, Zhe; Bougher, Thomas; Bai, Tingyu; Wang, Steven Y; Li, Chao; Yates, Luke; Foley, Brian M; Goorsky, Mark; Cola, Baratunde A; Faili, Firooz; Graham, Samuel

    2018-02-07

    The maximum output power of GaN-based high-electron mobility transistors is limited by high channel temperature induced by localized self-heating, which degrades device performance and reliability. Chemical vapor deposition (CVD) diamond is an attractive candidate to aid in the extraction of this heat and in minimizing the peak operating temperatures of high-power electronics. Owing to its inhomogeneous structure, the thermal conductivity of CVD diamond varies along the growth direction and can differ between the in-plane and out-of-plane directions, resulting in a complex three-dimensional (3D) distribution. Depending on the thickness of the diamond and size of the electronic device, this 3D distribution may impact the effectiveness of CVD diamond in device thermal management. In this work, time-domain thermoreflectance is used to measure the anisotropic thermal conductivity of an 11.8 μm-thick high-quality CVD diamond membrane from its nucleation side. Starting with a spot-size diameter larger than the thickness of the membrane, measurements are made at various modulation frequencies from 1.2 to 11.6 MHz to tune the heat penetration depth and sample the variation in thermal conductivity. We then analyze the data by creating a model with the membrane divided into ten sublayers and assume isotropic thermal conductivity in each sublayer. From this, we observe a two-dimensional gradient of the depth-dependent thermal conductivity for this membrane. The local thermal conductivity goes beyond 1000 W/(m K) when the distance from the nucleation interface only reaches 3 μm. Additionally, by measuring the same region with a smaller spot size at multiple frequencies, the in-plane and cross-plane thermal conductivities are extracted. Through this use of multiple spot sizes and modulation frequencies, the 3D anisotropic thermal conductivity of CVD diamond membrane is experimentally obtained by fitting the experimental data to a thermal model. This work provides an improved

  8. Spin-dependent excitation of plasma modes in non-neutral ion plasmas

    NASA Astrophysics Data System (ADS)

    Sawyer, Brian C.; Britton, Joe W.; Bollinger, John J.

    2011-10-01

    We report on a new technique for exciting and sensitively detecting plasma modes in small, cold non-neutral ion plasmas. The technique uses an optical dipole force generated from laser beams to excite plasma modes. By making the force spin- dependent (i.e. depend on the internal state of the atomic ion) very small mode excitations (<100 nm) can be detected through spin-motion entanglement. Even when the optical dipole force is homogeneous throughout the plasma, short wavelength modes on the order of the interparticle spacing can in principle be excited and detected through the spin dependence of the force. We use this technique to study the drumhead modes of single plane triangular arrays of a few hundred Be+ ions. Spin-dependent mode excitation is interesting in this system because it provides a means of engineering an Ising interaction on a 2-D triangular lattice. For the case of an anti-ferromagnetic interaction, this system exhibits spin frustration on a scale that is at present computationally intractable. Work supported by the DARPA OLE program and NIST.

  9. Effects of anesthesia and blood sampling techniques on plasma metabolites and corticosterone in the rat.

    PubMed

    Arnold, Myrtha; Langhans, Wolfgang

    2010-04-19

    Blood is routinely sampled from laboratory animals in biomedical research, and many of the commonly applied sampling techniques require anesthesia. Acute effects of many sampling and anesthesia procedures may confound the results, but those effects are incompletely characterized. We here compare the effects of four common anesthesia procedures (inhalation anesthesia with ether (EA) or isoflurane (IA) and intraperitoneal injection anesthesia with xylazin/ketamine (XKA) or medetomidine/midazolam/fentanyl (MMFA)) on plasma concentrations of glucose, lactate, non-esterified fatty acids (NEFAs), and corticosterone in blood obtained from a previously implanted jugular vein (JV) catheter with the effect of JV blood sampling from non-anesthetized, freely-moving rats (JV-NA). Also, we included in the comparison two other blood sampling procedures usually performed without anesthesia (NA), i.e., puncture of the saphenic vein (SV) and tail incision (TI). Whereas the control procedure (JV-NA) did not significantly affect any of the target parameters, plasma glucose increased from 14 (JV-IA) to 44 (JV-MMFA) % (all Ps=0.05 when compared with the control procedure) in all blood samples collected in anesthesia and was 12 and 14% lower (both Ps<0.05) in SV-NA and TI-NA samples, respectively. Plasma lactate increased from 74 (JV-IA) to 226% (SV-NA) (all Ps<0.05) with all sampling and anesthesia procedures except for JV-XKA and JV-MMF. Plasma NEFAs increased to 52% (P<0.05) with the TI-NA procedure and appeared to decrease with the JV-IA and JV-MMFA procedures (both Ps>0.05). Finally, only the JV-EA and the JV-MMFA procedures increased plasma corticosterone (+525 and +353%, respectively, both Ps< 0.05). The JV-IA and JV-XKA procedures appeared to increase it as well, but these differences did not reach statistical significance. Thus, anesthesia and blood sampling procedures can have profound acute effects on plasma metabolite and hormone concentrations. This must be considered for

  10. Man-made vitreous fiber produced from incinerator ash using the thermal plasma technique and application as reinforcement in concrete.

    PubMed

    Yang, Sheng-Fu; Wang, To-Mai; Lee, Wen-Cheng; Sun, Kin-Seng; Tzeng, Chin-Ching

    2010-10-15

    This study proposes using thermal plasma technology to treat municipal solid waste incinerator ashes. A feasible fiberization method was developed and applied to produce man-made vitreous fiber (MMVF) from plasma vitrified slag. MMVF were obtained through directly blending the oxide melt stream with high velocity compressed air. The basic technological characteristics of MMVF, including morphology, diameter, shot content, length and chemical resistance, are described in this work. Laboratory experiments were conducted on the fiber-reinforced concrete. The effects of fibrous content on compressive strength and flexural strength are presented. The experimental results showed the proper additive of MMVF in concrete can enhance its mechanical properties. MMVF products produced from incinerator ashes treated with the thermal plasma technique have great potential for reinforcement in concrete. 2010 Elsevier B.V. All rights reserved.

  11. Association of the Magnitude of Weight Loss and Physical Fitness Change on Long-term CVD outcomes: The Look AHEAD Study

    PubMed Central

    2016-01-01

    Background The Look AHEAD Study found no significant reduction in cardiovascular disease (CVD) incidence among adults with diabetes enrolled in an intensive weight loss intervention (ILI) compared to those randomized to diabetes support and education (DSE). We examined whether CVD incidence in Look AHEAD varied by weight or fitness change. Methods Among overweight or obese adults people aged 45–76 with type 2 diabetes in the Look AHEAD study, this observational analysis examined the association of magnitude of weight loss (N=4834) and fitness change (N=4406) over the first year with CVD incidence over a median 10.2 years of follow-up. The primary outcome was a composite of CVD death, myocardial infarction, stroke, or angina hospitalization; the secondary outcome included the same indices plus coronary–artery bypass grafting, carotid endartectomy, percutaneous coronary intervention, hospitalization for congestive heart failure, peripheral vascular disease, or total mortality. Analyses adjusted for baseline differences in weight or fitness, demographics and CVD risk factors. Findings In analyses of the full cohort combining ILI and DSE, persons who lost > 10% body weight in the first year had 21% lower risk of the primary outcome (HR=0.79, 95% CI, 0.64 to 0.98) and a 24% reduced risk of the secondary outcome (HR=0.76, 95% CI, 0.63 to 0.91) relative to those with stable weight/weight gain. Achieving a > 2 MET fitness change was associated with a significant reduction in the secondary outcome (HR=0.77, 95% CI, 0.61 – 0.96) but not the primary outcome (HR=0.78, 0.60 – 1.03). In analyses treating the DSE as the referent group, ILI participants with > 10% weight losses had a 20% lower risk of the primary outcome (HR=0.80 (95% CI, 0.65 – 0.99) and a 21% reduced risk of the secondary outcome (0.79 (95% CI, 0.66 – 0.95); fitness change was not significantly associated with either outcome. Interpretation This secondary analysis of Look AHEAD suggests an

  12. Heterocrystal and bicrystal structures of ZnS nanowires synthesized by plasma enhanced chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Jie, J. S.; Zhang, W. J.; Jiang, Y.; Meng, X. M.; Zapien, J. A.; Shao, M. W.; Lee, S. T.

    2006-06-01

    ZnS nanowires with heterocrystal and bicrystal structures were successfully synthesized using the DC-plasma chemical vapour deposition (CVD) method. The heterocrystalline ZnS nanowires have the zinc blende (ZB) and wurtzite (WZ) zones aligned alternately in the transverse direction but without an obvious period. The bicrystal ZnS nanowires are composed of two ZB fractions separated by a clear grain boundary along the length. Significantly, the grain boundaries in both the heterocrystal and bicrystal structures are atomically sharp without any visible lattice distortion. The effects of plasma species, ion bombardment, and silicon impurities in the formation of these distinctive structures are discussed. A defect-induced red-shift and broadening of the band-gap emission are revealed in photoluminescence (PL) and cathodoluminescence (CL) measurements.

  13. Systems-oriented survey of noncontact temperature measurement techniques for rapid thermal processing

    NASA Astrophysics Data System (ADS)

    Peyton, David; Kinoshita, Hiroyuki; Lo, G. Q.; Kwong, Dim-Lee

    1991-04-01

    Rapid Thermal Processing (RTP) is becoming a popular approach for future ULSI manufacturing due to its unique low thermal budget and process flexibility. Furthermore when RTP is combined with Chemical Vapor Deposition (CVD) the so-called RTP-CVD technology it can be used to deposit ultrathin films with extremely sharp interfaces and excellent material qualities. One major consequence of this type of processing however is the need for extremely tight control of wafer temperature both to obtain reproducible results for process control and to minimize slip and warpage arising from nonuniformities in temperature. Specifically temperature measurement systems suitable for RiP must have both high precision--within 1-2 degrees--and a short response time--to output an accurate reading on the order of milliseconds for closedloop control. Any such in-situ measurement technique must be non-contact since thermocouples cannot meet the response time requirements and have problems with conductive heat flow in the wafer. To date optical pyrometry has been the most widely used technique for RiP systems although a number of other techniques are being considered and researched. This article examines several such techniques from a systems perspective: optical pyrometry both conventional and a new approach using ellipsometric techniques for concurrent emissivity measurement Raman scattering infrared laser thermometry optical diffraction thermometry and photoacoustic thermometry. Each approach is evaluated in terms of its actual or estimated manufacturing cost remote sensing capability precision repeatability dependence on processing history range

  14. Ballistic Transport Exceeding 28 μm in CVD Grown Graphene.

    PubMed

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Goldsche, Matthias; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2016-02-10

    We report on ballistic transport over more than 28 μm in graphene grown by chemical vapor deposition (CVD) that is fully encapsulated in hexagonal boron nitride. The structures are fabricated by an advanced dry van-der-Waals transfer method and exhibit carrier mobilities of up to three million cm(2)/(Vs). The ballistic nature of charge transport is probed by measuring the bend resistance in cross- and square-shaped devices. Temperature-dependent measurements furthermore prove that ballistic transport is maintained exceeding 1 μm up to 200 K.

  15. Measurement of Human Blood and Plasma Volumes

    NASA Technical Reports Server (NTRS)

    Greenleaf, J. E.; Szalkay, H. G. H.

    1987-01-01

    Report reviews techniques for measuring blood-plasma volume in humans. Common technique of using radioactive iodine isotope to label plasma albumin involves unwarranted risks from low-level radiation. Report emphasizes techniques using Evans-blue-dye (T-1824) labeling of albumin, hematocrit or hemoglobin/hematocrit measurements, or blood densitometry. In Evans-blue-dye technique, plasma volume determined from decrease in dye concentration occurring after small amount of dye solution injected into circulatory system. Subjection of Evans blue dye to test for carcinogenicity gave negative results.

  16. Large scale integration of CVD-graphene based NEMS with narrow distribution of resonance parameters

    NASA Astrophysics Data System (ADS)

    Arjmandi-Tash, Hadi; Allain, Adrien; (Vitto Han, Zheng; Bouchiat, Vincent

    2017-06-01

    We present a novel method for the fabrication of the arrays of suspended micron-sized membranes, based on monolayer pulsed-CVD graphene. Such devices are the source of an efficient integration of graphene nano-electro-mechanical resonators, compatible with production at the wafer scale using standard photolithography and processing tools. As the graphene surface is continuously protected by the same polymer layer during the whole process, suspended graphene membranes are clean and free of imperfections such as deposits, wrinkles and tears. Batch fabrication of 100 μm-long multi-connected suspended ribbons is presented. At room temperature, mechanical resonance of electrostatically-actuated devices show narrow distribution of their characteristic parameters with high quality factor and low effective mass and resonance frequencies, as expected for low stress and adsorbate-free membranes. Upon cooling, a sharp increase of both resonant frequency and quality factor is observed, enabling to extract the thermal expansion coefficient of CVD graphene. Comparison with state-of-the-art graphene NEMS is presented.

  17. Oxidation kinetics of CVD silicon carbide and silicon nitride

    NASA Technical Reports Server (NTRS)

    Fox, Dennis S.

    1992-01-01

    The long-term oxidation behavior of pure, monolithic CVD SiC and Si3N4 is studied, and the isothermal oxidation kinetics of these two materials are obtained for the case of 100 hrs at 1200-1500 C in flowing oxygen. Estimates are made of lifetimes at the various temperatures investigated. Parabolic rate constants for SiC are within an order of magnitude of shorter exposure time values reported in the literature. The resulting silica scales are in the form of cristobalite, with cracks visible after exposure. The oxidation protection afforded by silica for these materials is adequate for long service times under isothermal conditions in 1-atm dry oxygen.

  18. Colorimetry Technique for Scalable Characterization of Suspended Graphene.

    PubMed

    Cartamil-Bueno, Santiago J; Steeneken, Peter G; Centeno, Alba; Zurutuza, Amaia; van der Zant, Herre S J; Houri, Samer

    2016-11-09

    Previous statistical studies on the mechanical properties of chemical-vapor-deposited (CVD) suspended graphene membranes have been performed by means of measuring individual devices or with techniques that affect the material. Here, we present a colorimetry technique as a parallel, noninvasive, and affordable way of characterizing suspended graphene devices. We exploit Newton's rings interference patterns to study the deformation of a double-layer graphene drum 13.2 μm in diameter when a pressure step is applied. By studying the time evolution of the deformation, we find that filling the drum cavity with air is 2-5 times slower than when it is purged.

  19. Traditional CVD risk factors and socio-economic deprivation in Roma minority population of Croatia.

    PubMed

    Zeljko, Hrvojka; Skarić-Jurić, Tatjana; Narancic, Nina Smolej; Salihović, Marijana Pericić; Klarić, Irena Martinović; Barbalić, Maja; Starcević, Boris; Lauc, Lovorka Barać; Janićijević, Branka

    2008-09-01

    Researches into health inequalities consistently show disadvantages in health status, morbidity and mortality for various ethnic minority groups. Current knowledge about prevention of cardiovascular diseases (CVD) mainly derives from studies carried out in populations of European origin while the evidences involving Roma population are scarce. Roma, an ethnic minority of northern Indian origin, live in many countries throughout the world and are well known for preserved traditions and resistance to assimilation. They are most often marginalized economically, spatially, politically and in terms of culture. In order to assess the health status and health-related lifestyle attributes, a multidisciplinary anthropological and epidemiological community-based study was carried out including a total of 423 members of the Bayash Roma minority population living in two regions of Croatia (144 men and 279 women, aged 18-84 yrs). Hypertension (HT) was found in 24.8% Bayash Roma (21.5% men and 26.5% women) using standard diagnostic criteria (i.e. BP > or = 140/90 mm Hg or taking antihypertensive therapy). The prevalence increases from 5.9% in the age group 18-34 yrs; 35.0% in the age group 35-64 yrs, and 51.4% in the age group 65+ yrs. The prevalence of hypertension in the Bayash Roma is almost half of the magnitude of what is usually reported for the general population of Croatia. It is also lower when compared with other European populations and this finding is not due to comparatively younger average age of the Bayash sample. The significant association of hypertension with age and BMI was confirmed in this study and the importance of non-traditional SES-related CVD risk factors was highlighted. Smoking is a part of traditional Roma life-style and with 70% of smokers almost the entire population is equally exposed to this risk factor in their family environment. Since homogenously distributed, this risk factor did not show to be a significant predictor of hypertension. The

  20. Field electron emission from diamond and related films synthesized by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lu, Xianfeng

    The focus of this thesis is the study of the field electron emission (FEE) of diamond and related films synthesized by plasma enhanced chemical vapor deposition. The diamond and related films with different morphologies and compositions were prepared in a microwave plasma-enhanced chemical vapor deposition (CVD) reactor and a hot filament CVD reactor. Various analytical techniques including scanning electron microscopy (SEM), atomic force microscopy (AFM), and Raman spectroscopy were employed to characterize the surface morphology and chemical composition. The influence of surface morphology on the field electron emission property of diamond films was studied. The emission current of well-oriented microcrystalline diamond films is relatively small compared to that of randomly oriented microcrystalline diamond films. Meanwhile, the nanocrystalline diamond film has demonstrated a larger emission current than microcrystalline diamond films. The nanocone structure significantly improves the electron emission current of diamond films due to its strong field enhancement effect. The sp2 phase concentration also has significant influence on the field electron emission property of diamond films. For the diamond films synthesized by gas mixture of hydrogen and methane, their field electron emission properties were enhanced with the increase of methane concentration. The field electron emission enhancement was attributed to the increase of sp2 phase concentration, which increases the electrical conductivity of diamond films. For the diamond films synthesized through graphite etching, the growth rate and nucleation density of diamond films increase significantly with decreasing hydrogen flow rate. The field electron emission properties of the diamond films were also enhanced with the decrease of hydrogen flow rate. The field electron emission enhancement can be also attributed to the increase of the sp 2 phase concentration. In addition, the deviation of the experimental

  1. Monitoring non-thermal plasma processes for nanoparticle synthesis

    NASA Astrophysics Data System (ADS)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  2. Low-density lipoprotein electronegativity is a novel cardiometabolic risk factor.

    PubMed

    Hsu, Jing-Fang; Chou, Tzu-Chieh; Lu, Jonathan; Chen, Shu-Hua; Chen, Fang-Yu; Chen, Ching-Chu; Chen, Jeffrey L; Elayda, MacArthur; Ballantyne, Christie M; Shayani, Steven; Chen, Chu-Huang

    2014-01-01

    Low-density lipoprotein (LDL) plays a central role in cardiovascular disease (CVD) development. In LDL chromatographically resolved according to charge, the most electronegative subfraction-L5-is the only subfraction that induces atherogenic responses in cultured vascular cells. Furthermore, increasing evidence has shown that plasma L5 levels are elevated in individuals with high cardiovascular risk. We hypothesized that LDL electronegativity is a novel index for predicting CVD. In 30 asymptomatic individuals with metabolic syndrome (MetS) and 27 healthy control subjects, we examined correlations between plasma L5 levels and the number of MetS criteria fulfilled, CVD risk factors, and CVD risk according to the Framingham risk score. L5 levels were significantly higher in MetS subjects than in control subjects (21.9±18.7 mg/dL vs. 11.2±10.7 mg/dL, P:0.01). The Jonckheere trend test revealed that the percent L5 of total LDL (L5%) and L5 concentration increased with the number of MetS criteria (P<0.001). L5% correlated with classic CVD risk factors, including waist circumference, body mass index, waist-to-height ratio, smoking status, blood pressure, and levels of fasting plasma glucose, triglyceride, and high-density lipoprotein. Stepwise regression analysis revealed that fasting plasma glucose level and body mass index contributed to 28% of L5% variance. The L5 concentration was associated with CVD risk and contributed to 11% of 30-year general CVD risk variance when controlling the variance of waist circumference. Our findings show that LDL electronegativity was associated with multiple CVD risk factors and CVD risk, suggesting that the LDL electronegativity index may have the potential to be a novel index for predicting CVD. Large-scale clinical trials are warranted to test the reliability of this hypothesis and the clinical importance of the LDL electronegativity index.

  3. Creep and Rupture Strength of an Advanced CVD SiC Fiber

    NASA Technical Reports Server (NTRS)

    Goldsby, J. C.; Yun, H. M.; DiCarlo, J. A.

    1997-01-01

    In the as-produced condition the room temperature strength (approx. 6 GPa) of Textron Specialty Materials' 50 microns CVD SiC fiber represents the highest value thus far obtained for commercially produced polycrystalline SiC fibers. To understand whether this strength can be maintained after composite processing conditions, high temperature studies were performed on the effects of time, stress, and environment on 1400 deg. C tensile creep strain and stress rupture on as-produced, chemically vapor deposited SiC fibers. Creep strain results were consistent, allowing an evaluation of time and stress effects. Test environment had no influence on creep strain but I hour annealing at 1600 deg. C in argon gas significantly reduced the total creep strain and increased the stress dependence. This is attributed to changes in the free carbon morphology and its distribution within the CVD SiC fiber. For the as-produced and annealed fibers, strength at 1400 deg. C was found to decrease from a fast fracture value of 2 GPa to a 100-hr rupture strength value of 0. 8 GPa. In addition a loss of fast fracture strength from 6 GPa is attributed to thermally induced changes in the outer carbon coating and microstructure. Scatter in rupture times made a definitive analysis of environmental and annealing effects on creep strength difficult.

  4. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  5. Origins of Moiré Patterns in CVD-grown MoS2 Bilayer Structures at the Atomic Scales.

    PubMed

    Wang, Jin; Namburu, Raju; Dubey, Madan; Dongare, Avinash M

    2018-06-21

    The chemical vapor deposition (CVD)-grown two-dimensional molybdenum disulfide (MoS 2 ) structures comprise of flakes of few layers with different dimensions. The top layers are relatively smaller in size than the bottom layers, resulting in the formation of edges/steps across adjacent layers. The strain response of such few-layer terraced structures is therefore likely to be different from exfoliated few-layered structures with similar dimensions without any terraces. In this study, the strain response of CVD-grown few-layered MoS 2 terraced structures is investigated at the atomic scales using classic molecular dynamics (MD) simulations. MD simulations suggest that the strain relaxation of CVD-grown triangular terraced structures is observed in the vertical displacement of the atoms across the layers that results in the formation of Moiré patterns. The Moiré islands are observed to nucleate at the corners or edges of the few-layered structure and propagate inwards under both tensile and compressive strains. The nucleation of these islands is observed to happen at tensile strains of ~ 2% and at compressive strains of ~2.5%. The vertical displacements of the atoms and the dimensions of the Moiré islands predicted using the MD simulation are in excellent agreement with that observed experimentally.

  6. Surface modification of pitch-based spherical activated carbon by CVD of NH 3 to improve its adsorption to uric acid

    NASA Astrophysics Data System (ADS)

    Liu, Chaojun; Liang, Xiaoyi; Liu, Xiaojun; Wang, Qin; Zhan, Liang; Zhang, Rui; Qiao, Wenming; Ling, Licheng

    2008-08-01

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH 3 (NH 3-CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N 2 adsorption, pH PZC (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH 3-CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH 3-CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH PZC, surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature.

  7. Differential effects of EPA versus DHA on postprandial vascular function and the plasma oxylipin profile in men.

    PubMed

    McManus, Seán; Tejera, Noemi; Awwad, Khader; Vauzour, David; Rigby, Neil; Fleming, Ingrid; Cassidy, Aedin; Minihane, Anne Marie

    2016-09-01

    Our objective was to investigate the impact of EPA versus DHA on arterial stiffness and reactivity and underlying mechanisms (with a focus on plasma oxylipins) in the postprandial state. In a three-arm crossover acute test meal trial, men (n = 26, 35-55 years) at increased CVD risk received a high-fat (42.4 g) test meal providing 4.16 g of EPA or DHA or control oil in random order. At 0 h and 4 h, blood samples were collected to quantify plasma fatty acids, long chain n-3 PUFA-derived oxylipins, nitrite and hydrogen sulfide, and serum lipids and glucose. Vascular function was assessed using blood pressure, reactive hyperemia index, pulse wave velocity, and augmentation index (AIx). The DHA-rich oil significantly reduced AIx by 13% (P = 0.047) with the decrease following EPA-rich oil intervention not reaching statistical significance. Both interventions increased EPA- and DHA-derived oxylipins in the acute postprandial state, with an (1.3-fold) increase in 19,20-dihydroxydocosapentaenoic acid evident after DHA intervention (P < 0.001). In conclusion, a single dose of DHA significantly improved postprandial arterial stiffness as assessed by AIx, which if sustained would be associated with a significant decrease in CVD risk. The observed increases in oxylipins provide a mechanistic insight into the AIx effect. Copyright © 2016 by the American Society for Biochemistry and Molecular Biology, Inc.

  8. Paramagnetic defects and charge trapping behavior of ZrO2 films deposited on germanium by plasma-enhanced CVD

    NASA Astrophysics Data System (ADS)

    Mahata, C.; Bera, M. K.; Bose, P. K.; Maiti, C. K.

    2009-02-01

    Internal photoemission and magnetic resonance studies have been performed to investigate the charge trapping behavior and chemical nature of defects in ultrathin (~14 nm) high-k ZrO2 dielectric films deposited on p-Ge (1 0 0) substrates at low temperature (<200 °C) by plasma-enhanced chemical vapor deposition (PECVD) in a microwave (700 W, 2.45 GHz) plasma at a pressure of ~65 Pa. Both the band and defect-related electron states have been characterized using electron paramagnetic resonance, internal photoemission, capacitance-voltage and current-voltage measurements under UV illumination. Capacitance-voltage and photocurrent-voltage measurements were used to determine the centroid of oxide charge within the high-k gate stack. The observed shifts in photocurrent response of the Al/ZrO2/GeO2/p-Ge metal-insulator-semiconductor (MIS) capacitors indicate the location of the centroids to be within the ZrO2 dielectric near to the gate electrode. Moreover, the measured flat band voltage and photocurrent shifts also indicate a large density of traps in the dielectric. The impact of plasma nitridation on the interfacial quality of the oxides has been investigated. Different N sources, such as NO and NH3, have been used for nitrogen engineering. Oxynitride samples show a lower defect density and trapping over the non-nitrided samples. The charge trapping and detrapping properties of MIS capacitors under stressing in constant current and voltage modes have been investigated in detail.

  9. Polycrystalline CVD diamond device level modeling for particle detection applications

    NASA Astrophysics Data System (ADS)

    Morozzi, A.; Passeri, D.; Kanxheri, K.; Servoli, L.; Lagomarsino, S.; Sciortino, S.

    2016-12-01

    Diamond is a promising material whose excellent physical properties foster its use for radiation detection applications, in particular in those hostile operating environments where the silicon-based detectors behavior is limited due to the high radiation fluence. Within this framework, the application of Technology Computer Aided Design (TCAD) simulation tools is highly envisaged for the study, the optimization and the predictive analysis of sensing devices. Since the novelty of using diamond in electronics, this material is not included in the library of commercial, state-of-the-art TCAD software tools. In this work, we propose the development, the application and the validation of numerical models to simulate the electrical behavior of polycrystalline (pc)CVD diamond conceived for diamond sensors for particle detection. The model focuses on the characterization of a physically-based pcCVD diamond bandgap taking into account deep-level defects acting as recombination centers and/or trap states. While a definite picture of the polycrystalline diamond band-gap is still debated, the effect of the main parameters (e.g. trap densities, capture cross-sections, etc.) can be deeply investigated thanks to the simulated approach. The charge collection efficiency due to β -particle irradiation of diamond materials provided by different vendors and with different electrode configurations has been selected as figure of merit for the model validation. The good agreement between measurements and simulation findings, keeping the traps density as the only one fitting parameter, assesses the suitability of the TCAD modeling approach as a predictive tool for the design and the optimization of diamond-based radiation detectors.

  10. Plasma Diagnostics by Antenna Impedance Measurements

    NASA Technical Reports Server (NTRS)

    Swenson, C. M.; Baker, K. D.; Pound, E.; Jensen, M. D.

    1993-01-01

    The impedance of an electrically short antenna immersed in a plasma provides an excellent in situ diagnostic tool for electron density and other plasma parameters. By electrically short we mean that the wavelength of the free-space electromagnetic wave that would be excited at the driving frequency is much longer than the physical size of the antenna. Probes using this impedance technique have had a long history with sounding rockets and satellites, stretching back to the early 1960s. This active technique could provide information on composition and temperature of plasmas for comet or planetary missions. Advantages of the impedance probe technique are discussed and two classes of instruments built and flown by SDL-USU for determining electron density (the capacitance and plasma frequency probes) are described.

  11. Calculating phase equilibrium properties of plasma pseudopotential model using hybrid Gibbs statistical ensemble Monte-Carlo technique

    NASA Astrophysics Data System (ADS)

    Butlitsky, M. A.; Zelener, B. B.; Zelener, B. V.

    2015-11-01

    Earlier a two-component pseudopotential plasma model, which we called a “shelf Coulomb” model has been developed. A Monte-Carlo study of canonical NVT ensemble with periodic boundary conditions has been undertaken to calculate equations of state, pair distribution functions, internal energies and other thermodynamics properties of the model. In present work, an attempt is made to apply so-called hybrid Gibbs statistical ensemble Monte-Carlo technique to this model. First simulation results data show qualitatively similar results for critical point region for both methods. Gibbs ensemble technique let us to estimate the melting curve position and a triple point of the model (in reduced temperature and specific volume coordinates): T* ≈ 0.0476, v* ≈ 6 × 10-4.

  12. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference inmore » the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.« less

  13. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    NASA Astrophysics Data System (ADS)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  14. Final Report on Development of Optimized Field-Reversed Configuration Plasma Formation Techniques for Magnetized Target Fusion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lynn, Alan

    2013-11-01

    The University of New Mexico (UNM) proposed a collaboration with Los Alamos National Laboratory (LANL) to develop and test methods for improved formation of field-reversed configuration (FRC) plasmas relevant to magnetized target fusion (MTF) energy research. MTF is an innovative approach for a relatively fast and cheap path to the production of fusion energy that utilizes magnetic confinement to assist in the compression of a hot plasma to thermonuclear conditions by an external driver. LANL is currently pursing demonstration of the MTF concept via compression of an FRC plasma by a metal liner z-pinch in conjunction with the Air Forcemore » Research Laboratory in Albuquerque, NM. A key physics issue for the FRC's ultimate success as an MTF target lies in the initial pre-ionization (PI) stage. The PI plasma sets the initial conditions from which the FRC is created. In particular, the PI formation process determines the amount of magnetic flux that can be trapped to form the FRC. A ringing theta pinch ionization (RTPI) technique, such as currently used by the FRX-L device at LANL, has the advantages of high ionization fraction, simplicity (since no additional coils are required), and does not require internal electrodes which can introduce impurities into the plasma. However RTPI has been shown to only trap 50% of the initial bias flux at best and imposes additional engineering constraints on the capacitor banks. The amount of trapped flux plays an important role in the FRC's final equilibrium, transport, and stability properties, and provides increased ohmic heating of the FRC through induced currents as the magnetic field decays. Increasing the trapped flux also provides the route to greatest potential gains in FRC lifetime, which is essential to provide enough time to translate and compress the FRC effectively. In conjunction with LANL we initially planned to develop and test a microwave break- down system to improve the initial PI plasma formation. The UNM

  15. Power Efficient Plasma Technique for Rapid Water Sterilization

    NASA Astrophysics Data System (ADS)

    Hershcovitch, Ady

    2015-11-01

    Water especially good quality drinking water is a dwindling resource for significant segments of the world population. The BBC quoted this article (http://www.ft.com/cms/s/2/8e42bdc8-0838-11e4-9afc-00144feab7de.html) for a claim that water shortage is a bigger problem than climate change. One option for increasing the water supply is to recycle waste and polluted water by inexpensive, environmentally friendly methods. First steps involve filtrations while the last step is water disinfection. Presently disinfection is done chemically and/or UV radiation. Some chemicals cannot be used in large quantity due to residual toxicity, while UV disinfection systems consume a great deal electricity. Plasmas in water are very attractive for water sterilization due to UV radiation, ozone, etc. generation inside the water volume. Commercially available devices like NK-03 Blue Ballast System are used aboard ships for water purification. But, presently utilized plasmas: glow, pulsed arcs are not power efficient. Vortex stabilized plasmas, which are power efficient, can even degrade medications (antibiotics) advancing the state-of-the-art by orders of magnitude, especially when combined with electron beams. Disinfection scheme will be presented. Work supported by Contract No. DE-AC02-98CH1-886 with the US DOE.

  16. EDITORIAL: Plasma jets and plasma bullets Plasma jets and plasma bullets

    NASA Astrophysics Data System (ADS)

    Kong, M. G.; Ganguly, B. N.; Hicks, R. F.

    2012-06-01

    technological solution in the early to late 1990s of confining atmospheric plasmas in a small volume of plasma generation (i.e. with a small volume-to-surface ratio) and then extending it towards a downstream sample [7]-[9]. These are among the first low-temperature atmospheric plasmas aimed particularly at the exploitation of their ability to invoke the active and rich reactive chemistry close to ambient temperature. The main applications of these early devices are precision surface modification of low-temperature dielectric materials, for example thin film deposition and etching [7]-[9]. Variations of the early plasma jets include atmospheric plasma sheet jets [10] for the treatment of largely planar objects (e.g. polymeric sheets) as well as large arrays of many plasma jets for the treatment of complex-structured objects (e.g. surgical tools and open human wounds) [11]. As a material processing technology, the sub-100oC atmospheric-pressure plasma jet has benefited over the years from many innovations. Whilst a detailed account and analysis of these is clearly outside the scope of this Editorial, it is worth stating that there are different avenues with which to maintain a moderate electron density at the plasma core so as to keep the gas temperature at the sample point below a ceiling level. Most of the early studies employed excitation at radio frequencies above 10 MHz, at which electrons are largely confined in the plasma generation region, and this limits the current flow to and gas heating in the plume region of the plasma jet. Other techniques of current limitation have since been shown to be effective, including the use of dielectric barriers across a very large frequency range of 1 kHz--50 MHz, sub-microsecond pulses sustained at kHz frequencies, pulse-modulated radio frequencies and dual-frequency excitation [12]-[15]. These and other techniques have considerably advanced the atmospheric-pressure plasma jet technology. The period of some 15 years since the above

  17. Potential economic impact of increasing low dose aspirin usage on CVD in the US.

    PubMed

    Manson, Stephanie C; Benedict, Agnes; Pan, Feng; Wittrup-Jensen, Kim U; Fendrick, A Mark

    2010-10-01

    Cardiovascular disease (CVD) is a leading cause of death in the US and Western Europe, but regular use of preventive low-dose aspirin has proven effective in preventing CVD events. The purpose of this study was to explore the potential economic impact in the US if preventive aspirin usage were to be increased in line with clinical guidelines for primary and secondary prevention. The risk profile of the US population was characterized using NHANES data, and Framingham cardiovascular risk equations were applied to calculate risk for myocardial infarction, angina and ischemic stroke according to age and gender. Primary and secondary patients were considered separately. Using publicly available unit costs, a budget impact model calculated the annual impact of increased preventive aspirin usage considering gastrointestinal bleeding and hemorrhagic stroke adverse events and diminishing aspirin adherence over a 10-year time horizon. In a base population of 1,000,000 patients, full implementation of clinical guidelines would potentially prevent an additional 1273 myocardial infarctions, 2184 angina episodes and 565 ischemic strokes in primary prevention patients and an additional 578 myocardial infarctions, and 607 ischemic strokes in secondary prevention patients. This represents a total savings of $79.6 million for primary prevention and $32.2 million for secondary and additional out-of-pocket expense to patients of $29.0 million for primary prevention and $2.6 million for secondary prevention for the cost of aspirin. This budgetary model suggests that there is a strong economic case, both for payers and society, to encourage aspirin use for patients at appropriate risk and per clinical guidelines. It also provides an example of how minimizing costs do not necessarily have to imply a rationing of care. Limitations include the exclusion of other CVD interventions in the analysis.

  18. The Use of Behavior Change Techniques and Theory in Technologies for Cardiovascular Disease Prevention and Treatment in Adults: A Comprehensive Review.

    PubMed

    Winter, Sandra J; Sheats, Jylana L; King, Abby C

    2016-01-01

    This review examined the use of health behavior change techniques and theory in technology-enabled interventions targeting risk factors and indicators for cardiovascular disease (CVD) prevention and treatment. Articles targeting physical activity, weight loss, smoking cessation and management of hypertension, lipids and blood glucose were sourced from PubMed (November 2010-2015) and coded for use of 1) technology, 2) health behavior change techniques (using the CALO-RE taxonomy), and 3) health behavior theories. Of the 984 articles reviewed, 304 were relevant (240=intervention, 64=review). Twenty-two different technologies were used (M=1.45, SD=+/-0.719). The most frequently used behavior change techniques were self-monitoring and feedback on performance (M=5.4, SD=+/-2.9). Half (52%) of the intervention studies named a theory/model - most frequently Social Cognitive Theory, the Trans-theoretical Model, and the Theory of Planned Behavior/Reasoned Action. To optimize technology-enabled interventions targeting CVD risk factors, integrated behavior change theories that incorporate a variety of evidence-based health behavior change techniques are needed. Copyright © 2016 Elsevier Inc. All rights reserved.

  19. The Use of Behavior Change Techniques and Theory in Technologies for Cardiovascular Disease Prevention and Treatment in Adults: A Comprehensive Review

    PubMed Central

    Winter, Sandra J; Sheats, Jylana L; King, Abby C

    2016-01-01

    This review examined the use of health behavior change techniques and theory in technology-enabled interventions targeting risk factors and indicators for cardiovascular disease (CVD) prevention and treatment. Articles targeting physical activity, weight loss, smoking cessation and management of hypertension, lipids and blood glucose were sourced from PubMed (November 2010-2015) and coded for use of 1) technology, 2) health behavior change techniques (using the CALO-RE taxonomy), and 3) health behavior theories. Of the 984 articles reviewed, 304 were relevant (240=intervention, 64=review). Twenty-two different technologies were used (M=1.45, SD=+/−0.719). The most frequently used behavior change techniques were self-monitoring and feedback on performance (M=5.4, SD=+/−2.9). Half (52%) of the intervention studies named a theory/model - most frequently Social Cognitive Theory, the Trans-theoretical Model, and the Theory of Planned Behavior/Reasoned Action. To optimize technology-enabled interventions targeting CVD risk factors, integrated behavior change theories that incorporate a variety of evidence-based health behavior change techniques are needed. PMID:26902519

  20. Uncapped silver nanoparticles synthesized by DC arc thermal plasma technique for conductor paste formulation

    NASA Astrophysics Data System (ADS)

    Shinde, Manish; Pawar, Amol; Karmakar, Soumen; Seth, Tanay; Raut, Varsha; Rane, Sunit; Bhoraskar, Sudha; Amalnerkar, Dinesh

    2009-11-01

    Uncapped silver nanoparticles were synthesized by DC arc thermal plasma technique. The synthesized nanoparticles were structurally cubic and showed wide particle size variation (between 20-150 nm). Thick film paste formulated from such uncapped silver nanoparticles was screen-printed on alumina substrates and the resultant `green' films were fired at different firing temperatures. The films fired at 600 °C revealed better microstructure properties and also yielded the lowest value of sheet resistance in comparison to those corresponding to conventional peak firing temperature of 850 °C. Our findings directly support the role of silver nanoparticles in substantially depressing the operative peak firing temperature involved in traditional conductor thick films technology.

  1. GDF-15 plasma levels in chronic obstructive pulmonary disease are associated with subclinical coronary artery disease.

    PubMed

    Martinez, Carlos H; Freeman, Christine M; Nelson, Joshua D; Murray, Susan; Wang, Xin; Budoff, Matthew J; Dransfield, Mark T; Hokanson, John E; Kazerooni, Ella A; Kinney, Gregory L; Regan, Elizabeth A; Wells, J Michael; Martinez, Fernando J; Han, MeiLan K; Curtis, Jeffrey L

    2017-02-28

    Growth differentiation factor-15 (GDF-15), a cytokine associated with cardiovascular mortality, increases during chronic obstructive pulmonary disease (COPD) exacerbations, but any role in stable COPD is unknown. We tested associations between GDF-15 and subclinical coronary atherosclerosis, assessed by coronary artery calcium (CAC) score, in COPD subjects free of clinical cardiovascular disease (CVD). Cross-sectional analysis of COPD participants (GOLD stages 2-4) in the COPDGene cohort without CVD at enrollment, using baseline CAC (from non-EKG-gated chest computed tomography) and plasma GDF-15 (by custom ELISA). We used multinomial logistic modeling of GDF-15 associations with CAC, adjusting for demographics, baseline risk (calculated using the HEART: Personal Heart Early Assessment Risk Tool (Budoff et al. 114:1761-1791, 2006) score), smoking history, measures of airflow obstruction, emphysema and airway disease severity. Among 694 participants with COPD (47% women, mean age 63.6 years) mean GDF-15 was 1,304 pg/mL, and mean CAC score was 198. Relative to the lower GDF-15 tertile, higher tertiles showed bivariate association with increasing CAC score (mid tertile odds ratio [OR] 1.80, 95% confidence interval [CI] 1.29, 2.51; higher tertile OR 2.86, CI 2.04, 4.02). This association was maintained after additionally adjusting for baseline CVD risk, for co-morbidities and descriptors of COPD severity and impact, markers of cardiac stress (N-terminal pro-B-type natriuretic peptide, troponin T) and of inflammation (Interleukin-6), and in subgroup analysis excluding men, diabetics, current smokers or those with limited ambulation. In ever-smokers with COPD free of clinical CVD, GDF-15 contributes independently to subclinical coronary atherosclerosis. ClinicalTrials.gov, NCT00608764 . Registered 28 January 2008.

  2. Severe Hemolysis in a Patient With Erythrocytosis During Coupled Plasma Filtration Adsorption Therapy Was Prevented by Changing From Membrane-Based Technique to a Centrifuge-Based One.

    PubMed

    Fan, Rong; Wu, Buyun; Kong, Ling; Gong, Dehua

    2016-01-01

    Coupled plasma filtration adsorption (CPFA) usually adopts membrane to separate plasma from blood. Here, we reported a case with erythrocytosis experienced severe hemolysis and membrane rupture during CPFA, which was avoided by changing from membrane-based technique to a centrifuge-based one. A 66-year-old man was to receive CPFA for severe hyperbilirubinemia (total bilirubin 922 μmol/L, direct bilirubin 638 μmol/L) caused by obstruction of biliary tract. He had erythrocytosis (hemoglobin 230 g/L, hematocrit 0.634) for years because of untreated tetralogy of Fallot. Severe hemolysis and membrane rupture occurred immediately after blood entering into the plasma separator even at a low flow rate (50 mL/min) and persisted after changing a new separator. Finally, centrifugal plasma separation technique was used for CPFA in this patient, and no hemolysis occurred. After 3 sessions of CPFA, total bilirubin level decreased to 199 μmol/L with an average decline by 35% per session. Thereafter, the patient received endoscopic biliary stent implantation, and total bilirubin level returned to nearly normal. Therefore, centrifugal-based plasma separation can also be used in CPFA and may be superior to a membrane-based one in patients with hyperviscosity.

  3. Control of Reaction Surface in Low Temperature CVD to Enhance Nucleation and Conformal Coverage

    ERIC Educational Resources Information Center

    Kumar, Navneet

    2009-01-01

    The Holy Grail in CVD community is to find precursors that can afford the following: good nucleation on a desired substrate and conformal deposition in high AR features. Good nucleation is not only necessary for getting ultra-thin films at low thicknesses; it also offers films that are smooth at higher thickness values. On the other hand,…

  4. Structural characteristics of phosphorus-doped C60 thin film prepared by radio frequency-plasma assisted thermal evaporation technique.

    PubMed

    Arie, Arenst Andreas; Lee, Joong Kee

    2012-02-01

    Phosphorus doped C60 (P:C60) thin films were prepared by a radio frequency plasma assisted thermal evaporation technique using C60 powder as a carbon source and a mixture of argon and phosphine (PH3) gas as a dopant precursor. The effects of the plasma power on the structural characteristics of the as-prepared films were then studied using Raman spectroscopy, Auger electron spectroscopy (AES) and X-ray photo-electrons spectroscopy (XPS). XPS and Auger analysis indicated that the films were mainly composed of C and P and that the concentration of P was proportional to the plasma power. The Raman results implied that the doped films contained a more disordered carbon structure than the un-doped samples. The P:C60 films were then used as a coating layer for the Si anodes of lithium ion secondary batteries. The cyclic voltammetry (CV) analysis of the P:C60 coated Si electrodes demonstrated that the P:C60 coating layer might be used to improve the transport of Li-ions at the electrode/electrolyte interface.

  5. Reducing salt intake for prevention of cardiovascular diseases in high-risk patients by advanced health education intervention (RESIP-CVD study), Northern Thailand: study protocol for a cluster randomized trial

    PubMed Central

    2012-01-01

    Background Decreasing salt consumption can prevent cardiovascular diseases (CVD). Practically, it is difficult to promote people’s awareness of daily salt intake and to change their eating habits in terms of reducing salt intake for better cardiovascular health. Health education programs visualizing daily dietary salt content and intake may promote lifestyle changes in patients at high risk of cardiovascular diseases. Methods/Design This is a cluster randomized trial. A total of 800 high-CVD-risk patients attending diabetes and hypertension clinics at health centers in Muang District, Chiang Rai province, Thailand, will be studied with informed consent. A health center recruiting 100 participants is a cluster, the unit of randomization. Eight clusters will be randomized into intervention and control arms and followed up for 1 year. Within the intervention clusters the following will be undertaken: (1) salt content in the daily diet will be measured and shown to study participants; (2) 24-hour salt intake will be estimated in overnight-collected urine and the results shown to the participants; (3) a dietician will assist small group health education classes in cooking meals with less salt. The primary outcome is blood pressure change at the 1-year follow-up. Secondary outcomes at the 1-year follow-up are estimated 24-hoursalt intake, incidence of CVD events and CVD death. The intention-to-treat analysis will be followed. Blood pressure and estimated 24-hour salt intake will be compared between intervention and control groups at the cluster and individual level at the 1-year follow-up. Clinical CVD events and deaths will be analyzed by time-event analysis. Retinal blood vessel calibers of CVD-risk patients will be assessed cross-sectionally. Behavioral change to reduce salt intake and the influencing factors will be determined by structured equation model (SEM). Multilevel regression analyses will be applied. Finally, the cost effectiveness of the intervention

  6. Reducing salt intake for prevention of cardiovascular diseases in high-risk patients by advanced health education intervention (RESIP-CVD study), Northern Thailand: study protocol for a cluster randomized trial.

    PubMed

    Aung, Myo Nyein; Yuasa, Motoyuki; Moolphate, Saiyud; Nedsuwan, Supalert; Yokokawa, Hidehiro; Kitajima, Tsutomu; Minematsu, Kazuo; Tanimura, Susumu; Fukuda, Hiroshi; Hiratsuka, Yoshimune; Ono, Koichi; Kawai, Sachio; Marui, Eiji

    2012-09-04

    Decreasing salt consumption can prevent cardiovascular diseases (CVD). Practically, it is difficult to promote people's awareness of daily salt intake and to change their eating habits in terms of reducing salt intake for better cardiovascular health. Health education programs visualizing daily dietary salt content and intake may promote lifestyle changes in patients at high risk of cardiovascular diseases. This is a cluster randomized trial. A total of 800 high-CVD-risk patients attending diabetes and hypertension clinics at health centers in Muang District, Chiang Rai province, Thailand, will be studied with informed consent. A health center recruiting 100 participants is a cluster, the unit of randomization. Eight clusters will be randomized into intervention and control arms and followed up for 1 year. Within the intervention clusters the following will be undertaken: (1) salt content in the daily diet will be measured and shown to study participants; (2) 24-hour salt intake will be estimated in overnight-collected urine and the results shown to the participants; (3) a dietician will assist small group health education classes in cooking meals with less salt. The primary outcome is blood pressure change at the 1-year follow-up. Secondary outcomes at the 1-year follow-up are estimated 24-hoursalt intake, incidence of CVD events and CVD death. The intention-to-treat analysis will be followed.Blood pressure and estimated 24-hour salt intake will be compared between intervention and control groups at the cluster and individual level at the 1-year follow-up. Clinical CVD events and deaths will be analyzed by time-event analysis. Retinal blood vessel calibers of CVD-risk patients will be assessed cross-sectionally. Behavioral change to reduce salt intake and the influencing factors will be determined by structured equation model (SEM). Multilevel regression analyses will be applied. Finally, the cost effectiveness of the intervention will be analyzed. This study is

  7. Protective coatings of metal surfaces by cold plasma treatment

    NASA Technical Reports Server (NTRS)

    Manory, R.; Grill, A.

    1985-01-01

    The cold plasma techniques for deposition of various types of protective coatings are reviewed. The main advantage of these techniques for deposition of ceramic films is the lower process temperature, which enables heat treating of the metal prior to deposition. In the field of surface hardening of steel, significant reduction of treatment time and energy consumption were obtained. A simple model for the plasma - surface reactions in a cold plasma system is presented, and the plasma deposition techniques are discussed in view of this model.

  8. Circulating Branched-Chain Amino Acids and Incident Cardiovascular Disease in a Prospective Cohort of US Women.

    PubMed

    Tobias, Deirdre K; Lawler, Patrick R; Harada, Paulo H; Demler, Olga V; Ridker, Paul M; Manson, JoAnn E; Cheng, Susan; Mora, Samia

    2018-04-01

    Circulating branched-chain amino acids (BCAAs; isoleucine, leucine, and valine) are strong predictors of type 2 diabetes mellitus (T2D), but their association with cardiovascular disease (CVD) is uncertain. We hypothesized that plasma BCAAs are positively associated with CVD risk and evaluated whether this was dependent on an intermediate diagnosis of T2D. Participants in the Women's Health Study prospective cohort were eligible if free of CVD at baseline blood collection (n=27 041). Plasma metabolites were measured via nuclear magnetic resonance spectroscopy. Multivariable Cox regression models estimated hazard ratios (HRs) and 95% confidence intervals (CIs) for BCAAs with incident CVD (myocardial infarction, stroke, and coronary revascularization). We confirmed 2207 CVD events over a mean 18.6 years of follow-up. Adjusting for age, body mass index, and other established CVD risk factors, total BCAAs were positively associated with CVD (per SD: HR, 1.13; 95% CI, 1.08-1.18), comparable to LDL-C (low-density lipoprotein cholesterol) with CVD (per SD: HR, 1.12; 95% CI, 1.07-1.17). BCAAs were associated with coronary events (myocardial infarction: HR, 1.16; 95% CI, 1.06-1.26; revascularization: HR, 1.17; 95% CI, 1.11-1.25), and borderline significant association with stroke (HR, 1.07; 95% CI, 0.99-1.15). The BCAA-CVD association was greater ( P interaction=0.036) among women who developed T2D before CVD (HR, 1.20; 95% CI, 1.08-1.32) versus women without T2D (HR, 1.08; 95% CI, 1.03-1.14). Adjusting for LDL-C, an established CVD risk factor, did not attenuate these findings; however, adjusting for HbA1c and insulin resistance eliminated the associations of BCAAs with CVD. Circulating plasma BCAAs were positively associated with incident CVD in women. Impaired BCAA metabolism may capture the long-term risk of the common cause underlying T2D and CVD. © 2018 American Heart Association, Inc.

  9. The validation of the Z-Scan technique for the determination of plasma glucose

    NASA Astrophysics Data System (ADS)

    Alves, Sarah I.; Silva, Elaine A. O.; Costa, Simone S.; Sonego, Denise R. N.; Hallack, Maira L.; Coppini, Ornela L.; Rowies, Fernanda; Azzalis, Ligia A.; Junqueira, Virginia B. C.; Pereira, Edimar C.; Rocha, Katya C.; Fonseca, Fernando L. A.

    2013-11-01

    Glucose is the main energy source for the human body. The concentration of blood glucose is regulated by several hormones including both antagonists: insulin and glucagon. The quantification of glucose in the blood is used for diagnosing metabolic disorders of carbohydrates, such as diabetes, idiopathic hypoglycemia and pancreatic diseases. Currently, the methodology used for this determination is the enzymatic colorimetric with spectrophotometric. This study aimed to validate the use of measurements of nonlinear optical properties of plasma glucose via the Z-Scan technique. For this we used samples of calibrator patterns that simulate commercial samples of patients (ELITech ©). Besides calibrators, serum glucose levels within acceptable reference values (normal control serum - Brazilian Society of Clinical Pathology and Laboratory Medicine) and also overestimated (pathological control serum - Brazilian Society of Clinical Pathology and Laboratory Medicine) were used in the methodology proposal. Calibrator dilutions were performed and determined by the Z-Scan technique for the preparation of calibration curve. In conclusion, Z-Scan method can be used to determinate glucose levels in biological samples with enzymatic colorimetric reaction and also to apply the same quality control parameters used in biochemistry clinical.

  10. Low-Density Lipoprotein Electronegativity Is a Novel Cardiometabolic Risk Factor

    PubMed Central

    Lu, Jonathan; Chen, Shu-Hua; Chen, Fang-Yu; Chen, Ching-Chu; Chen, Jeffrey L.; Elayda, MacArthur; Ballantyne, Christie M.; Shayani, Steven; Chen, Chu-Huang

    2014-01-01

    Background Low-density lipoprotein (LDL) plays a central role in cardiovascular disease (CVD) development. In LDL chromatographically resolved according to charge, the most electronegative subfraction–L5–is the only subfraction that induces atherogenic responses in cultured vascular cells. Furthermore, increasing evidence has shown that plasma L5 levels are elevated in individuals with high cardiovascular risk. We hypothesized that LDL electronegativity is a novel index for predicting CVD. Methods In 30 asymptomatic individuals with metabolic syndrome (MetS) and 27 healthy control subjects, we examined correlations between plasma L5 levels and the number of MetS criteria fulfilled, CVD risk factors, and CVD risk according to the Framingham risk score. Results L5 levels were significantly higher in MetS subjects than in control subjects (21.9±18.7 mg/dL vs. 11.2±10.7 mg/dL, P:0.01). The Jonckheere trend test revealed that the percent L5 of total LDL (L5%) and L5 concentration increased with the number of MetS criteria (P<0.001). L5% correlated with classic CVD risk factors, including waist circumference, body mass index, waist-to-height ratio, smoking status, blood pressure, and levels of fasting plasma glucose, triglyceride, and high-density lipoprotein. Stepwise regression analysis revealed that fasting plasma glucose level and body mass index contributed to 28% of L5% variance. The L5 concentration was associated with CVD risk and contributed to 11% of 30-year general CVD risk variance when controlling the variance of waist circumference. Conclusion Our findings show that LDL electronegativity was associated with multiple CVD risk factors and CVD risk, suggesting that the LDL electronegativity index may have the potential to be a novel index for predicting CVD. Large-scale clinical trials are warranted to test the reliability of this hypothesis and the clinical importance of the LDL electronegativity index. PMID:25203525

  11. Capillary plasma jet: A low volume plasma source for life science applications

    NASA Astrophysics Data System (ADS)

    Topala, I.; Nagatsu, M.

    2015-02-01

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  12. Efficient etching-free transfer of high quality, large-area CVD grown graphene onto polyvinyl alcohol films

    NASA Astrophysics Data System (ADS)

    Marta, Bogdan; Leordean, Cosmin; Istvan, Todor; Botiz, Ioan; Astilean, Simion

    2016-02-01

    Graphene transfer is a procedure of paramount importance for the production of graphene-based electronic devices. The transfer procedure can affect the electronic properties of the transferred graphene and can be detrimental for possible applications both due to procedure induced defects which can appear and due to scalability of the method. Hence, it is important to investigate new transfer methods for graphene that are less time consuming and show great promise. In the present study we propose an efficient, etching-free transfer method that consists in applying a thin polyvinyl alcohol layer on top of the CVD grown graphene on Cu and then peeling-off the graphene onto the polyvinyl alcohol film. We investigate the quality of the transferred graphene before and after the transfer, using Raman spectroscopy and imaging as well as optical and atomic force microscopy techniques. This simple transfer method is scalable and can lead to complete transfer of graphene onto flexible and transparent polymer support films without affecting the quality of the graphene during the transfer procedure.

  13. Plasma production for electron acceleration by resonant plasma wave

    NASA Astrophysics Data System (ADS)

    Anania, M. P.; Biagioni, A.; Chiadroni, E.; Cianchi, A.; Croia, M.; Curcio, A.; Di Giovenale, D.; Di Pirro, G. P.; Filippi, F.; Ghigo, A.; Lollo, V.; Pella, S.; Pompili, R.; Romeo, S.; Ferrario, M.

    2016-09-01

    Plasma wakefield acceleration is the most promising acceleration technique known nowadays, able to provide very high accelerating fields (10-100 GV/m), enabling acceleration of electrons to GeV energy in few centimeter. However, the quality of the electron bunches accelerated with this technique is still not comparable with that of conventional accelerators (large energy spread, low repetition rate, and large emittance); radiofrequency-based accelerators, in fact, are limited in accelerating field (10-100 MV/m) requiring therefore hundred of meters of distances to reach the GeV energies, but can provide very bright electron bunches. To combine high brightness electron bunches from conventional accelerators and high accelerating fields reachable with plasmas could be a good compromise allowing to further accelerate high brightness electron bunches coming from LINAC while preserving electron beam quality. Following the idea of plasma wave resonant excitation driven by a train of short bunches, we have started to study the requirements in terms of plasma for SPARC_LAB (Ferrario et al., 2013 [1]). In particular here we focus on hydrogen plasma discharge, and in particular on the theoretical and numerical estimates of the ionization process which are very useful to design the discharge circuit and to evaluate the current needed to be supplied to the gas in order to have full ionization. Eventually, the current supplied to the gas simulated will be compared to that measured experimentally.

  14. Low-temperature oxidizing plasma surface modification and composite polymer thin-film fabrication techniques for tailoring the composition and behavior of polymer surfaces

    NASA Astrophysics Data System (ADS)

    Tompkins, Brendan D.

    This dissertation examines methods for modifying the composition and behavior of polymer material surfaces. This is accomplished using (1) low-temperature low-density oxidizing plasmas to etch and implant new functionality on polymers, and (2) plasma enhanced chemical vapor deposition (PECVD) techniques to fabricate composite polymer materials. Emphases are placed on the structure of modified polymer surfaces, the evolution of polymer surfaces after treatment, and the species responsible for modifying polymers during plasma processing. H2O vapor plasma modification of high-density polyethylene (HDPE), low-density polyethylene (LDPE), polypropylene (PP), polystyrene (PS), polycarbonate (PC), and 75A polyurethane (PU) was examined to further our understanding of polymer surface reorganization leading to hydrophobic recovery. Water contact angles (wCA) measurements showed that PP and PS were the most susceptible to hydrophobic recovery, while PC and HDPE were the most stable. X-ray photoelectron spectroscopy (XPS) revealed a significant quantity of polar functional groups on the surface of all treated polymer samples. Shifts in the C1s binding energies (BE) with sample age were measured on PP and PS, revealing that surface reorganization was responsible for hydrophobic recovery on these materials. Differential scanning calorimetry (DSC) was used to rule out the intrinsic thermal properties as the cause of reorganization and hydrophobic recovery on HDPE, LDPE, and PP. The different contributions that polymer cross-linking and chain scission mechanisms make to polymer aging effects are considered. The H2O plasma treatment technique was extended to the modification of 0.2 microm and 3.0 microm track-etched polycarbonate (PC-TE) and track-etched polyethylene terephthalate (PET-TE) membranes with the goal of permanently increasing the hydrophilicity of the membrane surfaces. Contact angle measurements on freshly treated and aged samples confirmed the wettability of the

  15. Plasma Source Development for LAPD

    NASA Astrophysics Data System (ADS)

    Pribyl, P.; Gekelman, W.; Drandell, M.; Grunspen, S.; Nakamoto, M.; McBarron, A.

    2003-10-01

    The Large Plasma Device (LAPD) relies on an indirectly heated Barium Oxide (BaO) cathode to generate an extremely repeatable low-noise plasma. However there are two defects of this system: one is that the cathode is subject to oxygen poisoning in the event of accidental air leaks, requiring a lengthy recoating and regeneration process. Second, the indirect radiative heating is only about 50 % efficient, leading to a series of reliability issues. Alternate plasma sources are being investigated, including two types of directly heated BaO cathode and several configurations of inductively coupled RF plasmas. Direct heating for a cathode can be achieved either by embedding heaters within the nickel substrate, or by using inductive heating techniques to drive currents within the nickel itself. In both cases, the BaO coating still serves to emit the electrons and thus generate the plasma arc. An improved system would generate the plasma without the use of a "cathode" e.g. by inductively coupling energy directly into the plasma discharge. This technique is being investigated from the point of view of whether a) the bulk of the plasma column can be made sufficiently low-noise to be of experimental value and b) sufficiently dense plasmas can be formed.

  16. The edge transient-current technique (E-TCT) with high energy hadron beam

    NASA Astrophysics Data System (ADS)

    Gorišek, Andrej; Cindro, Vladimir; Kramberger, Gregor; Mandić, Igor; Mikuž, Marko; Muškinja, Miha; Zavrtanik, Marko

    2016-09-01

    We propose a novel way to investigate the properties of silicon and CVD diamond detectors for High Energy Physics experiments complementary to the already well-established E-TCT technique using laser beam. In the proposed setup the beam of high energy hadrons (MIPs) is used instead of laser beam. MIPs incident on the detector in the direction parallel to the readout electrode plane and perpendicular to the edge of the detector. Such experiment could prove very useful to study CVD diamond detectors that are almost inaccessible for the E-TCT measurements with laser due to large band-gap as well as to verify and complement the E-TCT measurements of silicon. The method proposed is being tested at CERN in a beam of 120 GeV hadrons using a reference telescope with track resolution at the DUT of few μm. The preliminary results of the measurements are presented.

  17. Pharmacological Targeting of the Atherogenic Dyslipidemia Complex: The Next Frontier in CVD Prevention Beyond Lowering LDL Cholesterol.

    PubMed

    Xiao, Changting; Dash, Satya; Morgantini, Cecilia; Hegele, Robert A; Lewis, Gary F

    2016-07-01

    Notwithstanding the effectiveness of lowering LDL cholesterol, residual CVD risk remains in high-risk populations, including patients with diabetes, likely contributed to by non-LDL lipid abnormalities. In this Perspectives in Diabetes article, we emphasize that changing demographics and lifestyles over the past few decades have resulted in an epidemic of the "atherogenic dyslipidemia complex," the main features of which include hypertriglyceridemia, low HDL cholesterol levels, qualitative changes in LDL particles, accumulation of remnant lipoproteins, and postprandial hyperlipidemia. We briefly review the underlying pathophysiology of this form of dyslipidemia, in particular its association with insulin resistance, obesity, and type 2 diabetes, and the marked atherogenicity of this condition. We explain the failure of existing classes of therapeutic agents such as fibrates, niacin, and cholesteryl ester transfer protein inhibitors that are known to modify components of the atherogenic dyslipidemia complex. Finally, we discuss targeted repurposing of existing therapies and review promising new therapeutic strategies to modify the atherogenic dyslipidemia complex. We postulate that targeting the central abnormality of the atherogenic dyslipidemia complex, the elevation of triglyceride-rich lipoprotein particles, represents a new frontier in CVD prevention and is likely to prove the most effective strategy in correcting most aspects of the atherogenic dyslipidemia complex, thereby preventing CVD events. © 2016 by the American Diabetes Association. Readers may use this article as long as the work is properly cited, the use is educational and not for profit, and the work is not altered.

  18. Vertical-Substrate MPCVD Epitaxial Nanodiamond Growth

    DOE PAGES

    Tzeng, Yan-Kai; Zhang, Jingyuan Linda; Lu, Haiyu; ...

    2017-02-09

    Color center-containing nanodiamonds have many applications in quantum technologies and biology. Diamondoids, molecular-sized diamonds have been used as seeds in chemical vapor deposition (CVD) growth. However, optimizing growth conditions to produce high crystal quality nanodiamonds with color centers requires varying growth conditions that often leads to ad-hoc and time-consuming, one-at-a-time testing of reaction conditions. In order to rapidly explore parameter space, we developed a microwave plasma CVD technique using a vertical, rather than horizontally oriented stage-substrate geometry. With this configuration, temperature, plasma density, and atomic hydrogen density vary continuously along the vertical axis of the substrate. Finally, this variation allowedmore » rapid identification of growth parameters that yield single crystal diamonds down to 10 nm in size and 75 nm diameter optically active center silicon-vacancy (Si-V) nanoparticles. Furthermore, this method may provide a means of incorporating a wide variety of dopants in nanodiamonds without ion irradiation damage.« less

  19. Charge plasma technique based dopingless accumulation mode junctionless cylindrical surrounding gate MOSFET: analog performance improvement

    NASA Astrophysics Data System (ADS)

    Trivedi, Nitin; Kumar, Manoj; Haldar, Subhasis; Deswal, S. S.; Gupta, Mridula; Gupta, R. S.

    2017-09-01

    A charge plasma technique based dopingless (DL) accumulation mode (AM) junctionless (JL) cylindrical surrounding gate (CSG) MOSFET has been proposed and extensively investigated. Proposed device has no physical junction at source to channel and channel to drain interface. The complete silicon pillar has been considered as undoped. The high free electron density or induced N+ region is designed by keeping the work function of source/drain metal contacts lower than the work function of undoped silicon. Thus, its fabrication complexity is drastically reduced by curbing the requirement of high temperature doping techniques. The electrical/analog characteristics for the proposed device has been extensively investigated using the numerical simulation and are compared with conventional junctionless cylindrical surrounding gate (JL-CSG) MOSFET with identical dimensions. For the numerical simulation purpose ATLAS-3D device simulator is used. The results show that the proposed device is more short channel immune to conventional JL-CSG MOSFET and suitable for faster switching applications due to higher I ON/ I OFF ratio.

  20. Advances in the Development of a WCl6 CVD System for Coating UO2 Powders with Tungsten

    NASA Technical Reports Server (NTRS)

    Mireles, Omar R.; Tieman, Alyssa; Broadway, Jeramie; Hickman, Robert

    2013-01-01

    W-UO2 CERMET fuels are under development to enable Nuclear Thermal Propulsion (NTP) for deep space exploration. Research efforts with an emphasis on fuel fabrication, testing, and identification of potential risks is underway. One primary risk is fuel loss due to CTE mismatch between W and UO2 and the grain boundary structure of W particles resulting in higher thermal stresses. Mechanical failure can result in significant reduction of the UO2 by hot hydrogen. Fuel loss can be mitigated if the UO2 particles are coated with a layer of high density tungsten before the consolidation process. This paper discusses the work to date, results, and advances of a fluidized bed chemical vapor deposition (CVD) system that utilizes the H2-WCl6 reduction process. Keywords: Space, Nuclear, Thermal, Propulsion, Fuel, CERMET, CVD, Tungsten, Uranium

  1. Process stability and morphology optimization of very thick 4H-SiC epitaxial layers grown by chloride-based CVD

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Stenberg, P.; Booker, I. D.; Ivanov, I. G.; Kordina, O.; Pedersen, H.; Janzén, E.

    2013-10-01

    The development of a chemical vapor deposition (CVD) process for very thick silicon carbide (SiC) epitaxial layers suitable for high power devices is demonstrated by epitaxial growth of 200 μm thick, low doped 4H-SiC layers with excellent morphology at growth rates exceeding 100 μm/h. The process development was done in a hot wall CVD reactor without rotation using both SiCl4 and SiH4+HCl precursor approaches to chloride based growth chemistry. A C/Si ratio <1 and an optimized in-situ etch are shown to be the key parameters to achieve 200 μm thick, low doped epitaxial layers with excellent morphology.

  2. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    PubMed

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  3. Plasma Levels of Fatty Acid-Binding Protein 4, Retinol-Binding Protein 4, High-Molecular-Weight Adiponectin, and Cardiovascular Mortality Among Men With Type 2 Diabetes: A 22-Year Prospective Study.

    PubMed

    Liu, Gang; Ding, Ming; Chiuve, Stephanie E; Rimm, Eric B; Franks, Paul W; Meigs, James B; Hu, Frank B; Sun, Qi

    2016-11-01

    To examine select adipokines, including fatty acid-binding protein 4, retinol-binding protein 4, and high-molecular-weight (HMW) adiponectin in relation to cardiovascular disease (CVD) mortality among patients with type 2 diabetes mellitus. Plasma levels of fatty acid-binding protein 4, retinol-binding protein 4, and HMW adiponectin were measured in 950 men with type 2 diabetes mellitus in the Health Professionals Follow-up Study. After an average of 22 years of follow-up (1993-2015), 580 deaths occurred, of whom 220 died of CVD. After multivariate adjustment for covariates, higher levels of fatty acid-binding protein 4 were significantly associated with a higher CVD mortality: comparing extreme tertiles, the hazard ratio and 95% confidence interval of CVD mortality was 1.78 (1.22-2.59; P trend=0.001). A positive association was also observed for HMW adiponectin: the hazard ratio (95% confidence interval) was 2.07 (1.42-3.06; P trend=0.0002), comparing extreme tertiles, whereas higher retinol-binding protein 4 levels were nonsignificantly associated with a decreased CVD mortality with an hazard ratio (95% confidence interval) of 0.73 (0.50-1.07; P trend=0.09). A Mendelian randomization analysis suggested that the causal relationships of HMW adiponectin and retinol-binding protein 4 would be directionally opposite to those observed based on the biomarkers, although none of the Mendelian randomization associations achieved statistical significance. These data suggest that higher levels of fatty acid-binding protein 4 and HMW adiponectin are associated with elevated CVD mortality among men with type 2 diabetes mellitus. Biological mechanisms underlying these observations deserve elucidation, but the associations of HMW adiponectin may partially reflect altered adipose tissue functionality among patients with type 2 diabetes mellitus. © 2016 American Heart Association, Inc.

  4. Plasma Levels of Fatty Acid-Binding Protein 4, Retinol-Binding Protein 4, High-Molecular Weight Adiponectin, and Cardiovascular Mortality among Men with Type 2 Diabetes: A 22-Year Prospective Study

    PubMed Central

    Liu, Gang; Ding, Ming; Chiuve, Stephanie E.; Rimm, Eric B.; Franks, Paul W.; Meigs, James B.; Hu, Frank B.; Sun, Qi

    2016-01-01

    Objective To examine select adipokines, including fatty acid-binding protein 4 (FABP4), retinol-binding protein 4 (RBP4), and high-molecular weight (HMW) adiponectin in relation to cardiovascular disease (CVD) mortality among patients with type 2 diabetes (T2D). Approach and Results Plasma levels of FABP4, RBP4, and HMW adiponectin were measured in 950 men with T2D in the Health Professionals Follow-up Study. After an average of 22 years of follow up (1993–2015), 580 deaths occurred, of whom 220 died of CVD. After multivariate adjustment for covariates, higher levels of FABP4 were significantly associated with a higher CVD mortality: comparing extreme tertiles, the hazard ratio (HR) and 95% confidence interval (CI) of CVD mortality was 1.78 (1.22, 2.59; P trend=0.001). A positive association was also observed for HMW adiponectin: the HR (95% CI) was 2.07 (1.42, 3.06; P trend=0.0002), comparing extreme tertiles, whereas higher RBP4 levels were non-significantly associated with a decreased CVD mortality with an HR (95% CI) of 0.73 (0.50, 1.07; P trend=0.09). A Mendelian randomization (MR) analysis suggested that the causal relationships of HMW adiponectin and RBP4 would be directionally opposite to those observed based on the biomarkers, although none of the MR associations achieved statistical significance. Conclusions These data suggest that higher levels of FABP4 and HMW adiponectin are associated with elevated CVD mortality among men with T2D. Biological mechanisms underlying these observations deserve elucidation, but the associations of HMW adiponectin may partially reflect altered adipose tissue functionality among T2D patients. PMID:27609367

  5. The use of laser-induced plasma spectroscopy technique for the characterization of boiler tubes

    NASA Astrophysics Data System (ADS)

    Nicolas, G.; Mateo, M. P.; Yañez, A.

    2007-12-01

    The present work focuses on the characterization of boiler tube walls using laser-induced plasma spectroscopy technique with visual inspection by optical and scanning electron microscopy of the cross-sections of these tubes. In a watertube boiler, water runs through tubes that are surrounded by a heating source. As a result, the water is heated to very high temperatures, causing accumulation of deposits on the inside surfaces of the tubes. These deposits play an important role in the efficiency of the boiler tube because they produce a reduction of the boiler heat rate and an increase in the number of tube failures. The objectives are to determine the thickness and arrangement of deposits located on the highest heat area of the boiler and compare them with tube parts where the heat flux is lower. The major deposits found were copper and magnetite. These deposits come mainly from the boiler feedwater and from the reaction between iron and water, and they do not form on the tube walls at a uniform rate over time. Their amount depends on the areas where they are collected. A Nd:YAG laser operating at 355 nm has been used to perform laser-induced plasma spectra and depth profiles of the deposits.

  6. Measurement of xenon plasma properties in an ion thruster using laser Thomson scattering technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamamoto, N.; Tomita, K.; Sugita, K.

    2012-07-15

    This paper reports on the development of a method for measuring xenon plasma properties using the laser Thomson scattering technique, for application to ion engine system design. The thresholds of photo-ionization of xenon plasma were investigated and the number density of metastable atoms, which are photo-ionized by a probe laser, was measured using laser absorption spectroscopy, for several conditions. The measured threshold energy of the probe laser using a plano-convex lens with a focal length of 200 mm was 150 mJ for a xenon mass flow rate of 20 {mu}g/s and incident microwave power of 6 W; the probe lasermore » energy was therefore set as 80 mJ. Electron number density was found to be (6.2 {+-} 0.4) Multiplication-Sign 10{sup 17} m{sup -3} and electron temperature was found to be 2.2 {+-} 0.4 eV at a xenon mass flow rate of 20 {mu}g/s and incident microwave power of 6 W. The threshold of the probe laser intensity against photo-ionization in a miniature xenon ion thruster is almost constant for various mass flow rates, since the ratio of population of the metastable atoms to the electron number density is little changed.« less

  7. Epitaxial ferromagnetic single clusters and smooth continuous layers on large area MgO/CVD graphene substrates

    NASA Astrophysics Data System (ADS)

    Godel, Florian; Meny, Christian; Doudin, Bernard; Majjad, Hicham; Dayen, Jean-François; Halley, David

    2018-02-01

    We report on the fabrication of ferromagnetic thin layers separated by a MgO dielectric barrier from a graphene-covered substrate. The growth of ferromagnetic metal layers—Co or Ni0.8Fe0.2—is achieved by Molecular Beam Epitaxy (MBE) on a 3 nm MgO(111) epitaxial layer deposited on graphene. In the case of a graphene, grown by chemical vapor deposition (CVD) over Ni substrates, an annealing at 450 °C, under ultra-high-vacuum (UHV) conditions, leads to the dewetting of the ferromagnetic layers, forming well-defined flat facetted clusters whose shape reflects the substrate symmetry. In the case of CVD graphene transferred on SiO2, no dewetting is observed after same annealing. We attribute this difference to the mechanical stress states induced by the substrate, illustrating how it matters for epitaxial construction through graphene. Controlling the growth parameters of such magnetic single objects or networks could benefit to new architectures for catalysis or spintronic applications.

  8. Shigella flexneri 2a Strain CVD 1207, with Specific Deletions in virG, sen, set, and guaBA, Is Highly Attenuated in Humans

    PubMed Central

    Kotloff, Karen L.; Noriega, Fernando R.; Samandari, Taraz; Sztein, Marcelo B.; Losonsky, Genevieve A.; Nataro, James P.; Picking, William D.; Barry, Eileen M.; Levine, Myron M.

    2000-01-01

    A phase 1 clinical trial was conducted among 35 healthy adult volunteers to evaluate the safety, immunogenicity, and shedding of different doses of CVD 1207, a live attenuated Shigella flexneri 2a vaccine candidate with specific deletion mutations in virG, sen, set, and guaBA. CVD 1207 retains the ability to invade epithelial cells but cannot effectively spread intercellularly after invasion (ΔvirG), does not produce enterotoxin (Δsen and Δset), and has limited proliferation in vivo (ΔguaBA). In a consecutive fashion, groups of three to seven subjects ingested a single oral dose of CVD 1207 at an inoculum of either 106, 107, 108, 109, or 1010 CFU. CVD 1207 was remarkably well-tolerated at inocula as high as 108 CFU. In comparison, one of 12 subjects who received 109 CFU experienced mild diarrhea and another experienced a single episode of emesis. One of five subjects who received 1010 CFU experienced watery diarrhea and emesis. All subjects who ingested doses of 108 to 1010 CFU excreted the vaccine; in 23 of 25, the duration of excretion was ≤3 days. A dose-related, immunoglobulin A antibody-secreting cell (ASC) response to S. flexneri 2a O-specific lipopolysaccharide was seen, with geometric mean peak values of 6.1 to 35.2 ASCs/106 peripheral blood mononuclear cells (PBMC) among recipients of 107 to 1010 CFU. The cytokine response to Shigella-specific antigens observed in volunteers' PBMC following vaccination suggested a Th1 pattern with stimulation of gamma interferon and absence of interleukin 4 (IL-4) or IL-5. CVD 1207 represents a Shigella live oral vaccine strain prepared from wild-type S. flexneri 2a by rational use of recombinant DNA technology that achieves a remarkable degree of attenuation compared with earlier recombinant strains, even when administered at high dosage. PMID:10678904

  9. Unravelling merging behaviors and electrostatic properties of CVD-grown monolayer MoS{sub 2} domains

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hao, Song; Yang, Bingchu, E-mail: bingchuyang@csu.edu.cn; Hunan Key Laboratory for Super-Microstructure and Ultrafast Process, Central South University, 932 South Lushan Road, Changsha 410012

    The presence of grain boundaries is inevitable for chemical vapor deposition (CVD)-grown MoS{sub 2} domains owing to various merging behaviors, which greatly limits its potential applications in novel electronic and optoelectronic devices. It is therefore of great significance to unravel the merging behaviors of the synthesized polygon shape MoS{sub 2} domains. Here we provide systematic investigations of merging behaviors and electrostatic properties of CVD-grown polycrystalline MoS{sub 2} crystals by multiple means. Morphological results exhibit various polygon shape features, ascribed to polycrystalline crystals merged with triangle shape MoS{sub 2} single crystals. The thickness of triangle and polygon shape MoS{sub 2} crystalsmore » is identical manifested by Raman intensity and peak position mappings. Three merging behaviors are proposed to illustrate the formation mechanisms of observed various polygon shaped MoS{sub 2} crystals. The combined photoemission electron microscopy and kelvin probe force microscopy results reveal that the surface potential of perfect merged crystals is identical, which has an important implication for fabricating MoS{sub 2}-based devices.« less

  10. Plasma-edge studies using carbon resistance probes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wampler, W.R.

    1984-01-01

    Characterization of erosion and hydrogen-recycling processes occurring at the edge of magnetically confined plasmas requires knowledge of the energy and flux of hydrogen isotopes incident on the materials. A new plasma-edge probe technique, the carbon resistance probe, has been developed to obtain this information. This technique uti

  11. The effects of aspirin on platelet function and lysophosphatidic acids depend on plasma concentrations of EPA and DHA.

    PubMed

    Block, Robert C; Abdolahi, Amir; Tu, Xin; Georas, Steve N; Brenna, J Thomas; Phipps, Richard P; Lawrence, Peter; Mousa, Shaker A

    2015-05-01

    Aspirin's prevention of cardiovascular disease (CVD) events in individuals with type 2 diabetes mellitus is controversial. Eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA) and aspirin all affect the cyclooxygenase enzyme. The relationship between plasma EPA and DHA and aspirin's effects has not been determined. Thirty adults with type 2 diabetes mellitus ingested aspirin (81 mg/day) for 7 days, then EPA+DHA (2.6g/day) for 28 days, then both for another 7 days. Lysophosphatidic acid (LPA) species and more classic platelet function outcomes were determined. Plasma concentrations of total EPA+DHA were associated with 7-day aspirin reduction effects on these outcomes in a "V"-shaped manner for all 11 LPA species and ADP-induced platelet aggregation. This EPA+DHA concentration was quite consistent for each of the LPA species and ADP. These results support aspirin effects on lysolipid metabolism and platelet aggregation depending on plasma EPA+DHA concentrations in individuals with a disturbed lipid milieu. Copyright © 2014 Elsevier Ltd. All rights reserved.

  12. Associations among plasma metabolite levels and short-term exposure to PM2.5 and ozone in a cardiac catheterization cohort.

    PubMed

    Breitner, Susanne; Schneider, Alexandra; Devlin, Robert B; Ward-Caviness, Cavin K; Diaz-Sanchez, David; Neas, Lucas M; Cascio, Wayne E; Peters, Annette; Hauser, Elizabeth R; Shah, Svati H; Kraus, William E

    2016-12-01

    Exposure to ambient particulate matter (PM) and ozone has been associated with cardiovascular disease (CVD). However, the mechanisms linking PM and ozone exposure to CVD remain poorly understood. This study explored associations between short-term exposures to PM with a diameter <2.5μm (PM 2.5 ) and ozone with plasma metabolite concentrations. We used cross-sectional data from a cardiac catheterization cohort at Duke University, North Carolina (NC), USA, accumulated between 2001 and 2007. Amino acids, acylcarnitines, ketones and total non-esterified fatty acid plasma concentrations were determined in fasting samples. Daily concentrations of PM 2.5 and ozone were obtained from a Bayesian space-time hierarchical model, matched to each patient's residential address. Ten metabolites were selected for the analysis based on quality criteria and cluster analysis. Associations between metabolites and PM 2.5 or ozone were analyzed using linear regression models adjusting for long-term trend and seasonality, calendar effects, meteorological parameters, and participant characteristics. We found delayed associations between PM 2.5 or ozone and changes in metabolite levels of the glycine-ornithine-arginine metabolic axis and incomplete fatty acid oxidation associated with mitochondrial dysfunction. The strongest association was seen for an increase of 8.1μg/m 3 in PM 2.5 with a lag of one day and decreased mean glycine concentrations (-2.5% [95% confidence interval: -3.8%; -1.2%]). Short-term exposures to ambient PM 2.5 and ozone is associated with changes in plasma concentrations of metabolites in a cohort of cardiac catheterization patients. Our findings might help to understand the link between air pollution and cardiovascular disease. Copyright © 2016 Elsevier Ltd. All rights reserved.

  13. Diagnostic Techniques Used to Study Chemical-Vapor-Deposited Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    2000-01-01

    The advantages and utility of chemical-vapor-deposited (CVD) diamond as an industrial ceramic can only be realized if the price and quality are right. Until recently, this technology was of interest only to the academic and basic research community. However, interest has grown because of advances made by leading CVD diamond suppliers: 1) Reduction of the cost of CVD polycrystalline diamond deposition below $5/carat ($8/sq cm); 2) Installation of production capacity; 3) Epitaxial growth of CVD single-crystal diamond. Thus, CVD diamond applications and business are an industrial reality. At present, CVD diamond is produced in the form of coatings or wafers. CVD diamond film technology offers a broader technological potential than do natural and high-pressure synthetic diamonds because size, geometry, and eventually cost will not be as limiting. Now that they are cost effective, diamond coatings - with their extreme properties - can be used in a variety of applications. Diamond coatings can improve many of the surface properties of engineering substrate materials, including erosion, corrosion, and wear resistance. Examples of actual and potential applications, from microelectromechanical systems to the wear parts of diamond coatings and related superhard coatings are described. For example, diamond coatings can be used as a chemical and mechanical barrier for the space shuttles check valves, particularly on the guide pins and seat assemblies.

  14. Complex polarimetric and spectral techniques in diagnostics of blood plasma of patients with ovarian cancer as a preliminary stage molecular genetic screening

    NASA Astrophysics Data System (ADS)

    Grzegorzewski, B.; Peresunko, O. P.; Yermolenko, S. B.

    2018-01-01

    This work is devoted to the substantiation and selection of patients with ovarian cancer (OC) for the purpose of conducting expensive molecular genetic studies on genotyping. As diagnostic methods have been used ultraviolet spectrometry samples of blood plasma in the liquid state, infrared spectroscopy middle range (2,5 - 25 microns) dry residue of plasma polarization and laser diagnostic technique of thin histological sections of biological tissues. Obtained results showed that the use of spectrophotometry in the range of 1000-3000 cm-1 allowed to establish quantitative parameters of the plasma absorption rate of blood of patients in the third group in different ranges, which would allow in the future to conduct an express analysis of the patient's condition (procedure screening) for further molecular-genetic typing on BRCA I and II.

  15. Application of LIF technique for the space- and time-resolved monitoring of pollutant gas decomposition in nonthermal plasma reactors

    NASA Astrophysics Data System (ADS)

    Mizeraczyk, Jerzy; Ohkubo, Toshikazu; Kanazawa, Seiji; Kocik, Marek

    2003-10-01

    Laser-induced fluorescence (LIF) technique aided by intensified CCD light signal detection and fast digital image processing is demonstrated to be a useful diagnostic method for in-situ observation of the discharge-induced plasma-chemistry processes responsible for NOx(NO + NO2) decomposition occurring in non-thermal plasma reactors. In this paper a method and results of the LIF measurement of two-dimensional distribution of the ground-state NO molecule density inside a DC positive streamer corona reactor during NO removal from a flue gas simulator [air/NO(up to 300 ppm)] are presented. Either a needle-to-plate or nozzle-to-plate electrode system, having an electrode gap of 30-50 mm was used for generating the corona discharge in the reactor. The LIF monitoring of NO molecules was carried out under the steady-state DC corona discharge condition. The laser-induced fluorescence on the transition NO X2Π(v"=0)<--A2Σ+(v'=0) at λ=226nm was chosen for monitoring ground-state NO molecules in the reactor. This transition was induced by irradiation of the NO molecules with UV laser pulses generated by a laser system consisted of a XeF excimer laser, dye laser and BBO crystal. The laser pulses from the XeF excimer laser (Lambda Physik, Complex 150, λ=351 nm) pumped the dye laser (Lambda Physik, Scanmate) with Coumarin 47 as a dye, which generated the laser beam of a wavelength turned around λ=450 nm. Then, the tuned dye laser beam pumped the BBO crystal in which the second harmonic radiation of a wavelength correspondingly tuned around λ=226 nm was generated. The 226-nm UV laser pulses of energy of 0.8-2 mJ and duration of about 20 ns were transformed into the form of the so-called laser sheet (width of 1 mm, height of 30-50 mm) which passed between the electrodes through the operating gas. The obtained results, presented in the form of images, which illustrated the two-dimensional distributions of NO molecule concentration in the non-thermal reactor, showed that the

  16. Behavioral Counseling to Promote a Healthful Diet and Physical Activity for CVD Prevention in Adults with Risk Factors

    MedlinePlus

    ... Promote a Healthful Diet and Physical Activity for Cardiovascular Disease Prevention in Adults with Cardiovascular Risk Factors The ... Promote a Healthful Diet and Physical Activity for Cardiovascular Disease (CVD) Prevention in Adults with Cardiovascular Risk Factors. ...

  17. Nattokinase decreases plasma levels of fibrinogen, factor VII, and factor VIII in human subjects.

    PubMed

    Hsia, Chien-Hsun; Shen, Ming-Ching; Lin, Jen-Shiou; Wen, Yao-Ke; Hwang, Kai-Lin; Cham, Thau-Ming; Yang, Nae-Cherng

    2009-03-01

    Nattokinase, a serine proteinase from Bacillus subtilis, is considered to be one of the most active functional ingredients found in natto. In this study, we hypothesized that nattokinase could reduce certain factors of blood clotting and lipids that are associated with an increase risk for cardiovascular disease (CVD). Thus, an open-label, self-controlled clinical trial was conducted on subjects of the following groups: healthy volunteers (Healthy Group), patients with cardiovascular risk factors (Cardiovascular Group), and patients undergoing dialysis (Dialysis Group). All subjects ingested 2 capsules of nattokinase (2000 fibrinolysis units per capsule) daily orally for 2 months. The laboratory measurements were performed on the screening visit and, subsequently, regularly after the initiation of the study. The intent-to-treat analysis was performed on all 45 enrolled subjects. By use of mixed model analysis, a significant time effect, but not group effect, was observed in the change from baseline of fibrinogen (P = .003), factor VII (P < .001), and factor VIII (P < .001), suggesting that the plasma levels of the 3 coagulation factors continuously declined during intake; also, the extents of decrease were similar between groups. After 2 months of administration, fibrinogen, factor VII, and factor VIII decreased 9%, 14%, and 17%, respectively, for the Healthy Group; 7%, 13%, and 19%, respectively, for the Cardiovascular Group; and 10%, 7%, and 19%, respectively, for the Dialysis Group, whereas blood lipids were unaffected by nattokinase. No significant changes of uric acid or notable adverse events were observed in any of the subjects. In summary, this study showed that oral administration of nattokinase could be considered as a CVD nutraceutical by decreasing plasma levels of fibrinogen, factor VII, and factor VIII.

  18. A Novel Hybrid Axial-Radial Atmospheric Plasma Spraying Technique for the Fabrication of Solid Oxide Fuel Cell Anodes Containing Cu, Co, Ni, and Samaria-Doped Ceria

    NASA Astrophysics Data System (ADS)

    Cuglietta, Mark; Kuhn, Joel; Kesler, Olivera

    2013-06-01

    Composite coatings containing Cu, Co, Ni, and samaria-doped ceria (SDC) have been fabricated using a novel hybrid atmospheric plasma spraying technique, in which a multi-component aqueous suspension of CuO, Co3O4, and NiO was injected axially simultaneously with SDC injected radially in a dry powder form. Coatings were characterized for their microstructure, permeability, porosity, and composition over a range of plasma spray conditions. Deposition efficiency of the metal oxides and SDC was also estimated. Depending on the conditions, coatings displayed either layering or high levels of mixing between the SDC and metal phases. The deposition efficiencies of both feedstock types were strongly dependent on the nozzle diameter. Plasma-sprayed metal-supported solid oxide fuel cells utilizing anodes fabricated with this technique demonstrated power densities at 0.7 V as high as 366 and 113 mW/cm2 in humidified hydrogen and methane, respectively, at 800 °C.

  19. A Grid-Free Approach for Plasma Simulations (Grid-Free Plasma Simulation Techniques)

    DTIC Science & Technology

    2007-07-10

    with complex geometry , e.g., space - space at t = 0 and the evolution of the system is obtained by craft thuster plume interactions [1], plasma sensors...position x with velocity v at time t, 4) is the electrostatic potential, qj is the charge on species j, mj is the mass of a particle of species j, p is...description of the Vlasov equation (1) with an efficient grid-free field solver for the

  20. Radioimmunoassay of arginine vasopressin in Rhesus Monkey plasma. [/sup 125/I tracer technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hayward, J.N.; Pavasuthipaisit, K.; Perez-Lopez, F.R.

    1976-04-01

    Using a new antiserum and an enzymatic radioiodination of arginine vasopressin (AVP), we have developed a sensitive and specific radioimmunoassay for plasma AVP in the monkey. The sensitivity of the assay is 0.5 ..mu..U/ml, the cross reaction with oxytocin (OT), minimal. We used this assay to study the effects that variations in blood osmolality have in regulating AVP secretion in unanesthetized, chair-restrained, chamber-isolated, adult female rhesus monkeys. Under water ad lib conditions, plasma AVP and osmolality were relatively constant, averaging 1.7 +- 0.6 (SD) ..mu..U/ml and 298 +- 3 mosmol/kg, respectively. Water loading decreased plasma AVP and osmolality to 0.6more » +- 0.2 ..mu..U/ml and 282 +- 6 mosmol/kg, respectively. When fluid restriction increased osmolality, plasma AVP rose progressively to twice the baseline after 1 day, and to 6 times the baseline after 3 days. The rise in plasma AVP was linearly correlated with the rise in osmolality (r = 0.93; P less than 0.001). Intravenous infusions of hypertonic saline produced significant rises in plasma osmolality and plasma AVP. There was a dose-related rise in plasma AVP that declined later at the expected rate with the infusion of physiological amounts of synthetic AVP.« less

  1. Myeloperoxidase in the plasma and placenta of normal pregnant women and women with pregnancies complicated by preeclampsia and intrauterine growth restriction.

    PubMed

    Hung, T-H; Chen, S-F; Lo, L-M; Li, M-J; Yeh, Y-L; Hsieh, T-T

    2012-04-01

    Myeloperoxidase (MPO) is a heme protein produced and released by activated neutrophils and monocytes, and increased MPO is considered important in the pathophysiology of cardiovascular diseases (CVD). Accumulating evidence suggests that preeclampsia (PE), idiopathic intrauterine growth restriction (IUGR), and CVD share many similar metabolic disturbances, including an enhanced systemic inflammatory response and endothelial dysfunction. We hypothesized that MPO plays an important role in the development of PE and IUGR. Plasma samples were collected mid-gestation and at delivery from women with normal pregnancies (n = 40) and those who subsequently developed PE (n = 20), IUGR (n = 11) or both (PE + IUGR, n = 8). Placental samples were obtained immediately after delivery from 22 women with normal pregnancies, 19 women with PE, 14 women with IUGR, and 14 women with PE + IUGR. The MPO concentrations were measured using ELISA. Women with PE + IUGR had significantly higher plasma MPO before delivery than normal pregnant women. There was no difference in plasma levels at mid-gestation or the placental concentrations between women with normal pregnancies and those who developed PE, IUGR, or PE + IUGR. Using explants prepared from the placentas of 8 women with normal pregnancies and 8 women with PE, we found no difference in the levels of MPO in the tissue homogenates and culture media between these two groups of women. Together, these results indicate that increased maternal circulating MPO in women with PE + IUGR is likely a result of enhanced systemic inflammation caused by the established disease rather than a primary pathophysiological factor. Copyright © 2012 Elsevier Ltd. All rights reserved.

  2. Electronic structure of polycrystalline CVD-graphene revealed by Nano-ARPES

    NASA Astrophysics Data System (ADS)

    Chen, Chaoyu; Avila, José; Asensio, Maria C.

    2017-06-01

    The ability to explore electronic structure and their role in determining material’s macroscopic behaviour is essential to explain and engineer functions of material and device. Since its debut in 2004, graphene has attracted global research interest due to its unique properties. Chemical vapor deposition (CVD) has emerged as an important method for the massive preparation and production of graphene for various applications. Here by employing angle-resolved photoemission spectroscopy with nanoscale spatial resolution ˜ 100 nm (Nano-ARPES), we describe the approach to measure the electronic structure of polycrystalline graphene on copper foils, demonstrating the power of Nano-ARPES to detect the electronic structure of microscopic single crystalline domains, being fully compatible with conventional ARPES. Similar analysis could be employed to other microscopic materials

  3. Association of Random Plasma Glucose Levels With the Risk for Cardiovascular Disease Among Chinese Adults Without Known Diabetes.

    PubMed

    Bragg, Fiona; Li, Liming; Bennett, Derrick; Guo, Yu; Lewington, Sarah; Bian, Zheng; Yang, Ling; Chen, Junshi; Chen, Yiping; Collins, Rory; Peto, Richard; Zhu, Baoyu; Yin, Jiyuan; Hu, Ximin; Zhou, Liyuan; Pan, Yaxing; Chen, Zhengming

    2016-10-01

    Diabetes is a known risk factor for cardiovascular disease (CVD). Substantial uncertainty remains, however, about the relevance to CVD risk for blood glucose levels below the diabetes threshold. To examine the association of random plasma glucose (RPG) levels with the risk for major CVD in Chinese adults without known diabetes. This prospective cohort study included 467 508 men and women aged 30 to 79 years with no history of diabetes, ischemic heart disease (IHD), stroke, or transient ischemic attack. Participants were recruited from 5 urban and 5 rural diverse locations across China from June 25, 2004, to July 15, 2008, and followed up to January 1, 2014. Baseline and usual (longer-term average) RPG level. Cardiovascular deaths, major coronary events (MCE) (including fatal IHD and nonfatal myocardial infarction), ischemic stroke (IS), major occlusive vascular disease (MOVD) (including MCE or IS), and intracerebral hemorrhage. Preliminary validation of stroke and IHD events demonstrated positive predictive values of approximately 90% and 85%, respectively. Cox regression yielded adjusted hazard ratios (aHRs) for CVD associated with RPG levels. Among the 467 508 participants (41.0% men; 59.0% women; mean [SD] age, 51 [11] years), a significant positive association of baseline RPG levels with CVD risks continued to 4.0 mmol/L (72 mg/dL). After adjusting for regression dilution bias, each 1-mmol/L (18-mg/dL) higher usual RPG level above 5.9 mmol/L (106 mg/dL) was associated with an 11% higher risk for cardiovascular death (6645 deaths; aHR, 1.11; 95% CI, 1.10-1.13). Similarly strong positive associations were seen for MCE (3270 events; aHR, 1.10; 95% CI, 1.08-1.13), IS (19 153 events; aHR, 1.08; 95% CI, 1.07-1.09), and MOVD (22 023 events; aHR, 1.08; 95% CI, 1.07-1.09). For intracerebral hemorrhage, the association was weaker, but also significant (4326 events; aHR, 1.05; 95% CI, 1.02-1.07). These associations persisted after excluding participants who

  4. Study of supersonic plasma technology jets

    NASA Astrophysics Data System (ADS)

    Selezneva, Svetlana; Gravelle, Denis; Boulos, Maher; van de Sanden, Richard; Schram, Dc

    2001-10-01

    Recently some new techniques using remote thermal plasma for thin film deposition and plasma chemistry processes were developed. These techniques include PECVD of diamonds, diamond-like and polymer films; a-C:H and a-Si:H films. The latter are of especial interest because of their applications for solar cell production industry. In remote plasma deposition, thermal plasma is formed by means of one of traditional plasma sources. The chamber pressure is reduced with the help of continuous pumping. In that way the flow is accelerated up to the supersonic speed. The plasma expansion is controlled using a specific torch nozzle design. To optimize the deposition process detailed knowledge about the gas dynamic structure of the jet and chemical kinetics mechanisms is required. In the paper, we show how the flow pattern and the character of the deviations from local thermodynamic equilibrium differs in plasmas generated by different plasma sources, such as induction plasma torch, traditional direct current arc and cascaded arc. We study the effects of the chamber pressure, nozzle design and carrier gas on the resulting plasma properties. The analysis is performed by means of numerical modeling using commercially available FLUENT program with incorporated user-defined subroutines for two-temperature model. The results of continuum mechanics approach are compared with that of the kinetic Monte Carlo method and with the experimental data.

  5. Does fibrinogen add to prediction of cardiovascular disease? Results from the Scottish Heart Health Extended Cohort Study.

    PubMed

    Woodward, Mark; Tunstall-Pedoe, Hugh; Rumley, Ann; Lowe, Gordon D O

    2009-08-01

    Plasma fibrinogen is an established risk factor for cardiovascular disease (CVD), but it has not been established whether it adds predictive value to risk scores. In the Scottish Heart Health Extended Cohort Study, we measured plasma fibrinogen in 13 060 men and women, aged 30-74 years, initially free of CVD. After follow-up for a median of 19.2 years, 2626 subjects had at least one CVD event. After adjusting for classical CVD risk factors and socio-economic status, the hazard ratios (95% confidence interval) for a one unit (g/l) increase in plasma fibrinogen were 1.09 (1.02, 1.16) for men and 1.10 (1.02, 1.19) for women. Although fibrinogen added significantly to the discrimination of the Framingham risk score for women, it failed to do so for men. Fibrinogen did not add significantly to the ASSIGN risk score. Fibrinogen added between 1.3% and 3.2% to the classification of CVD status by the existing risk scores. We conclude that the added value of fibrinogen to two currently used risk scores is low; hence population screening with fibrinogen for this purpose is unlikely to be clinically useful or cost-effective.

  6. Large-scale synthesis of NbS2 nanosheets with controlled orientation on graphene by ambient pressure CVD.

    PubMed

    Ge, Wanyin; Kawahara, Kenji; Tsuji, Masaharu; Ago, Hiroki

    2013-07-07

    We report ambient pressure chemical vapor deposition (CVD) growth of single-crystalline NbS2 nanosheets with controlled orientation. On Si and SiO2 substrates, NbS2 nanosheets grow almost perpendicular to the substrate surface. However, when we apply transferred CVD graphene on SiO2 as a substrate, NbS2 sheets grow laterally lying on the graphene. The NbS2 sheets show the triangular and hexagonal shapes with a thickness of about 20-200 nm and several micrometres in the lateral dimension. Analyses based on X-ray diffraction and Raman spectroscopy indicate that the NbS2 nanosheets are single crystalline 3R-type with a rhombohedral structure of R3m space group. Our findings on the formation of highly aligned NbS2 nanosheets on graphene give new insight into the formation mechanism of NbS2 and would contribute to the templated growth of various layered materials.

  7. Plasma Technology as a New Preservation Technique

    NASA Astrophysics Data System (ADS)

    Rincón, R.; Calzada, M. D.

    The preliminary results of using the surface wave discharge at the atmospheric pressure on groups of lentils and sherry Fino wine samples are presented. In this research, the capability of active species and UV radiation from the plasma, has been assessed on preservation of food. Besides, the generation and emission of both excited molecules in a metastable state N2}(B3Π {g-> A3}Σ u{+) and the de-excitation of species NO(A2}Σ {+) producing UV radiation have been also studied.

  8. Optical fiber characteristics and standards; Proceedings of the Meeting, Cannes, France, November 25-27, 1985

    NASA Technical Reports Server (NTRS)

    Bouillie, Remy (Editor)

    1986-01-01

    Papers are presented on outside vapor deposition, the plasma activated CVD process for large scale production of telecommunication fibers, axial lateral plasma deposition technology from plastic clad silica, coatings for optical fibers, primary coating characterization, and radiation-induced time dependent attenuation in a fiber. Topics discussed include fibers with high tensile strength, the characteristics and specifications of airborne fiber optic components, the baseband frequency response of multimode fibers, and fibers for local and broadband networks. Consideration is given to industrial measurements for single mode and multimode fibers, the characterization of source power distribution in a multimode fiber by a splice offset technique, the measurement of chromatic dispersion in a single mode optical, and the effect of temperature on the refracted near-field optical fiber profiling technique.

  9. An onion byproduct affects plasma lipids in healthy rats.

    PubMed

    Roldán-Marín, Eduvigis; Jensen, Runa I; Krath, Britta N; Kristensen, Mette; Poulsen, Morten; Cano, M Pilar; Sánchez-Moreno, Concepción; Dragsted, Lars O

    2010-05-12

    Onion may contribute to the health effects associated with high fruit and vegetable consumption. A considerable amount of onion production ends up as waste that might find use in foods. Onion byproduct has not yet been explored for potential health benefits. The aim of this study is to elucidate the safety and potential role of onion byproducts in affecting risk markers of cardiovascular disease (CVD). For that purpose, the effects of an onion byproduct, Allium cepa L. cepa 'Recas' (OBP), and its two derived fractions, an ethanolic extract (OE) and a residue (OR), on the distribution of plasma lipids and on factors affecting cholesterol metabolism in healthy rats have been investigated. The OBP or its fractions did not significantly reduce cholesterol or down-regulate hepatic 3-hydroxy-3-methylglutaryl-coenzyme A reductase (Hmgcr) gene expression. The OR even had the effect of increasing plasma triacylglycerides (TAG) and cholesterol in the very low density lipoprotein (VLDL-C) fraction. Neither total bile acids nor total primary or secondary bile acids were significantly affected by feeding rats the OBP or its fractions. Principal component analysis combining all markers revealed that the controls could be completely separated from OBP, OE, and OR groups in the scores plot and also that OE and OR groups were separated. Plasma lipids and bile acid excretion were the discriminating loading factors for separating OE and OR but also contributed to the separation of onion-fed animals and controls. It was concluded that the onion byproduct did not present significant beneficial effects on individual markers related to plasma lipid transport in this healthy rat model but that onion byproduct contains factors with the ability to modulate plasma lipids and lipoprotein levels.

  10. Shigella flexneri 2a strain CVD 1207, with specific deletions in virG, sen, set, and guaBA, is highly attenuated in humans.

    PubMed

    Kotloff, K L; Noriega, F R; Samandari, T; Sztein, M B; Losonsky, G A; Nataro, J P; Picking, W D; Barry, E M; Levine, M M

    2000-03-01

    A phase 1 clinical trial was conducted among 35 healthy adult volunteers to evaluate the safety, immunogenicity, and shedding of different doses of CVD 1207, a live attenuated Shigella flexneri 2a vaccine candidate with specific deletion mutations in virG, sen, set, and guaBA. CVD 1207 retains the ability to invade epithelial cells but cannot effectively spread intercellularly after invasion (DeltavirG), does not produce enterotoxin (Deltasen and Deltaset), and has limited proliferation in vivo (DeltaguaBA). In a consecutive fashion, groups of three to seven subjects ingested a single oral dose of CVD 1207 at an inoculum of either 10(6), 10(7), 10(8), 10(9), or 10(10) CFU. CVD 1207 was remarkably well-tolerated at inocula as high as 10(8) CFU. In comparison, one of 12 subjects who received 10(9) CFU experienced mild diarrhea and another experienced a single episode of emesis. One of five subjects who received 10(10) CFU experienced watery diarrhea and emesis. All subjects who ingested doses of 10(8) to 10(10) CFU excreted the vaccine; in 23 of 25, the duration of excretion was CVD 1207 represents a Shigella live oral vaccine strain prepared from wild-type S. flexneri 2a by rational use of recombinant DNA technology that achieves a remarkable degree of attenuation compared with earlier recombinant strains, even when administered at high dosage.

  11. The endpoint detection technique for deep submicrometer plasma etching

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Du, Zhi-yun; Zeng, Yong; Lan, Zhong-went

    2009-07-01

    The availability of reliable optical sensor technology provides opportunities to better characterize and control plasma etching processes in real time, they could play a important role in endpoint detection, fault diagnostics and processes feedback control and so on. The optical emission spectroscopy (OES) method becomes deficient in the case of deep submicrometer gate etching. In the newly developed high density inductively coupled plasma (HD-ICP) etching system, Interferometry endpoint (IEP) is introduced to get the EPD. The IEP fringe count algorithm is investigated to predict the end point, and then its signal is used to control etching rate and to call end point with OES signal in over etching (OE) processes step. The experiment results show that IEP together with OES provide extra process control margin for advanced device with thinner gate oxide.

  12. Plasma Cleaning

    NASA Technical Reports Server (NTRS)

    Hintze, Paul E.

    2016-01-01

    NASA's Kennedy Space Center has developed two solvent-free precision cleaning techniques: plasma cleaning and supercritical carbon dioxide (SCCO2), that has equal performance, cost parity, and no environmental liability, as compared to existing solvent cleaning methods.

  13. Carrier properties of B atomic-layer-doped Si films grown by ECR Ar plasma-enhanced CVD without substrate heating

    PubMed Central

    Sakuraba, Masao; Sugawara, Katsutoshi; Nosaka, Takayuki; Akima, Hisanao; Sato, Shigeo

    2017-01-01

    Abstract The atomic-layer (AL) doping technique in epitaxy has attracted attention as a low-resistive ultrathin semiconductor film as well as a two-dimensional (2-D) carrier transport system. In this paper, we report carrier properties for B AL-doped Si films with suppressed thermal diffusion. B AL-doped Si films were formed on Si(100) by B AL formation followed by Si cap layer deposition in low-energy Ar plasma-enhanced chemical-vapor deposition without substrate heating. After fabrication of Hall-effect devices with the B AL-doped Si films on unstrained and 0.8%-tensile-strained Si(100)-on-insulator substrates (maximum process temperature 350°C), carrier properties were electrically measured at room temperature. Typically for the initial B amount of 2 × 1014 cm−2 and 7 × 1014 cm−2, B concentration depth profiles showed a clear decay slope as steep as 1.3 nm/decade. Dominant carrier was a hole and the maximum sheet carrier densities as high as 4 × 1013 cm−2 and 2 × 1013 cm−2 (electrical activity ratio of about 7% and 3.5%) were measured respectively for the unstrained and 0.8%-tensile-strained Si with Hall mobility around 10–13 cm2 V−1 s−1. Moreover, mobility degradation was not observed even when sheet carrier density was increased by heat treatment at 500–700 °C. There is a possibility that the local carrier (ionized B atom) concentration around the B AL in Si reaches around 1021 cm−3 and 2-D impurity-band formation with strong Coulomb interaction is expected. The behavior of carrier properties for heat treatment at 500–700 °C implies that thermal diffusion causes broadening of the B AL in Si and decrease of local B concentration. PMID:28567175

  14. Carrier properties of B atomic-layer-doped Si films grown by ECR Ar plasma-enhanced CVD without substrate heating

    NASA Astrophysics Data System (ADS)

    Sakuraba, Masao; Sugawara, Katsutoshi; Nosaka, Takayuki; Akima, Hisanao; Sato, Shigeo

    2017-12-01

    The atomic-layer (AL) doping technique in epitaxy has attracted attention as a low-resistive ultrathin semiconductor film as well as a two-dimensional (2-D) carrier transport system. In this paper, we report carrier properties for B AL-doped Si films with suppressed thermal diffusion. B AL-doped Si films were formed on Si(100) by B AL formation followed by Si cap layer deposition in low-energy Ar plasma-enhanced chemical-vapor deposition without substrate heating. After fabrication of Hall-effect devices with the B AL-doped Si films on unstrained and 0.8%-tensile-strained Si(100)-on-insulator substrates (maximum process temperature 350°C), carrier properties were electrically measured at room temperature. Typically for the initial B amount of 2 × 1014 cm-2 and 7 × 1014 cm-2, B concentration depth profiles showed a clear decay slope as steep as 1.3 nm/decade. Dominant carrier was a hole and the maximum sheet carrier densities as high as 4 × 1013 cm-2 and 2 × 1013 cm-2 (electrical activity ratio of about 7% and 3.5%) were measured respectively for the unstrained and 0.8%-tensile-strained Si with Hall mobility around 10-13 cm2 V-1 s-1. Moreover, mobility degradation was not observed even when sheet carrier density was increased by heat treatment at 500-700 °C. There is a possibility that the local carrier (ionized B atom) concentration around the B AL in Si reaches around 1021 cm-3 and 2-D impurity-band formation with strong Coulomb interaction is expected. The behavior of carrier properties for heat treatment at 500-700 °C implies that thermal diffusion causes broadening of the B AL in Si and decrease of local B concentration.

  15. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  16. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  17. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawase, Kazumasa, E-mail: Kawase.Kazumasa@ak.MitsubishiElectric.co.jp; Motoya, Tsukasa; Uehara, Yasushi

    Silicon dioxide (SiO{sub 2}) films formed by chemical vapor deposition (CVD) have been treated with Ar plasma excited by microwave. The changes of the mass densities, carrier trap densities, and thicknesses of the CVD-SiO{sub 2} films with the Ar plasma treatments were investigated. The mass density depth profiles were estimated with X-Ray Reflectivity (XRR) analysis using synchrotron radiation. The densities of carrier trap centers due to defects of Si-O bond network were estimated with X-ray Photoelectron Spectroscopy (XPS) time-dependent measurement. The changes of the thicknesses due to the oxidation of Si substrates were estimated with the XRR and XPS. Themore » mass densities of the CVD-SiO{sub 2} films are increased by the Ar plasma treatments. The carrier trap densities of the films are decreased by the treatments. The thicknesses of the films are not changed by the treatments. It has been clarified that the mass densification and defect restoration in the CVD-SiO{sub 2} films are caused by the Ar plasma treatments without the oxidation of the Si substrates.« less

  18. Electron impact ionization of plasma important SiClX (X = 1-4) molecules: theoretical cross sections

    NASA Astrophysics Data System (ADS)

    Kothari, Harshit N.; Pandya, Siddharth H.; Joshipura, K. N.

    2011-06-01

    Electron impact ionization of SiClX (X = 1-4) molecules is less studied but an important process for understanding and modelling the interactions of silicon-chlorine plasmas with different materials. The SiCl3 radical is a major chloro-silicon species involved in the CVD (chemical vapour deposition) of silicon films from SiCl4/Ar microwave plasmas. We report in this paper the total ionization cross sections for electron collisions on these silicon compounds at incident energies from the ionization threshold to 2000 eV. We employ the 'complex scattering potential-ionization contribution' method and identify the relative importance of various channels, with ionization included in the cumulative inelastic scattering. New results are also presented on these exotic molecular targets. This work is significant in view of the paucity of theoretical studies on the radicals SiClX (X = 1-3) and on SiCl4.

  19. Gene-centric Association Signals for Lipids and Apolipoproteins Identified via the HumanCVD BeadChip

    PubMed Central

    Talmud, Philippa J.; Drenos, Fotios; Shah, Sonia; Shah, Tina; Palmen, Jutta; Verzilli, Claudio; Gaunt, Tom R.; Pallas, Jacky; Lovering, Ruth; Li, Kawah; Casas, Juan Pablo; Sofat, Reecha; Kumari, Meena; Rodriguez, Santiago; Johnson, Toby; Newhouse, Stephen J.; Dominiczak, Anna; Samani, Nilesh J.; Caulfield, Mark; Sever, Peter; Stanton, Alice; Shields, Denis C.; Padmanabhan, Sandosh; Melander, Olle; Hastie, Claire; Delles, Christian; Ebrahim, Shah; Marmot, Michael G.; Smith, George Davey; Lawlor, Debbie A.; Munroe, Patricia B.; Day, Ian N.; Kivimaki, Mika; Whittaker, John; Humphries, Steve E.; Hingorani, Aroon D.

    2009-01-01

    Blood lipids are important cardiovascular disease (CVD) risk factors with both genetic and environmental determinants. The Whitehall II study (n = 5592) was genotyped with the gene-centric HumanCVD BeadChip (Illumina). We identified 195 SNPs in 16 genes/regions associated with 3 major lipid fractions and 2 apolipoprotein components at p < 10−5, with the associations being broadly concordant with prior genome-wide analysis. SNPs associated with LDL cholesterol and apolipoprotein B were located in LDLR, PCSK9, APOB, CELSR2, HMGCR, CETP, the TOMM40-APOE-C1-C2-C4 cluster, and the APOA5-A4-C3-A1 cluster; SNPs associated with HDL cholesterol and apolipoprotein AI were in CETP, LPL, LIPC, APOA5-A4-C3-A1, and ABCA1; and SNPs associated with triglycerides in GCKR, BAZ1B, MLXIPL, LPL, and APOA5-A4-C3-A1. For 48 SNPs in previously unreported loci that were significant at p < 10−4 in Whitehall II, in silico analysis including the British Women's Heart and Health Study, BRIGHT, ASCOT, and NORDIL studies (total n > 12,500) revealed previously unreported associations of SH2B3 (p < 2.2 × 10−6), BMPR2 (p < 2.3 × 10−7), BCL3/PVRL2 (flanking APOE; p < 4.4 × 10−8), and SMARCA4 (flanking LDLR; p < 2.5 × 10−7) with LDL cholesterol. Common alleles in these genes explained 6.1%–14.7% of the variance in the five lipid-related traits, and individuals at opposite tails of the additive allele score exhibited substantial differences in trait levels (e.g., >1 mmol/L in LDL cholesterol [∼1 SD of the trait distribution]). These data suggest that multiple common alleles of small effect can make important contributions to individual differences in blood lipids potentially relevant to the assessment of CVD risk. These genes provide further insights into lipid metabolism and the likely effects of modifying the encoded targets therapeutically. PMID:19913121

  20. Improvement of interfacial adhesion and nondestructive damage evaluation for plasma-treated PBO and Kevlar fibers/epoxy composites using micromechanical techniques and surface wettability.

    PubMed

    Park, Joung-Man; Kim, Dae-Sik; Kim, Sung-Ryong

    2003-08-15

    Comparison of interfacial properties and microfailure mechanisms of oxygen-plasma treated poly(p-phenylene-2,6-benzobisoxazole (PBO, Zylon) and poly(p-phenylene terephthalamide) (PPTA, Kevlar) fibers/epoxy composites were investigated using a micromechanical technique and nondestructive acoustic emission (AE). The interfacial shear strength (IFSS) and work of adhesion, Wa, of PBO or Kevlar fiber/epoxy composites increased with oxygen-plasma treatment, due to induced hydrogen and covalent bondings at their interface. Plasma-treated Kevlar fiber showed the maximum critical surface tension and polar term, whereas the untreated PBO fiber showed the minimum values. The work of adhesion and the polar term were proportional to the IFSS directly for both PBO and Kevlar fibers. The microfibril fracture pattern of two plasma-treated fibers appeared obviously. Unlike in slow cooling, in rapid cooling, case kink band and kicking in PBO fiber appeared, whereas buckling in the Kevlar fiber was observed mainly due to compressive and residual stresses. Based on the propagation of microfibril failure toward the core region, the number of AE events for plasma-treated PBO and Kevlar fibers increased significantly compared to the untreated case. The results of nondestructive AE were consistent with microfailure modes.

  1. Plasma fatty acid ethanolamides are associated with postprandial triglycerides, ApoCIII and ApoE in humans consuming high fructose corn syrup-sweetened beverage.

    PubMed

    Allister Price, Candice; Argueta, Donovan A; Medici, Valentina; Bremer, Andrew A; Lee, Vivien; Nunez, Marinelle V; Chen, Guoxia X; Keim, Nancy L; Havel, Peter J; Stanhope, Kimber L; DiPatrizio, Nicholas V

    2018-04-10

    Epidemiological and clinical research studies have provided ample evidence demonstrating that consumption of sugar-sweetened beverages (SSB) increases risk factors involved in the development of obesity, type 2 diabetes (T2D), and cardiovascular disease (CVD). Our previous study demonstrated that when compared to aspartame (Asp), two weeks of high-fructose corn syrup (HFCS)-sweetened beverages provided at 25% of daily energy requirement (Ereq) was associated with increased body weight, postprandial (pp) triglycerides (TG), and fasting and pp CVD risk factors in young adults. The fatty acid ethanolamide, anandamide (AEA), and the monoacylglycerol, 2-arachidonoyl-sn-glycerol (2-AG), are two primary endocannabinoids (ECs) that play a role in regulating food intake, increasing adipose storage, and regulating lipid metabolism. Therefore, we measured plasma concentrations of ECs and their analogs, oleoylethanolamide (OEA), docosahexaenoyl ethanolamide (DHEA), and docosahexaenoyl glycerol (DHG), in participants from our previous study who consumed HFCS- or Asp-sweetened beverages to determine associations with weight gain and CVD risk factors. Two-week exposure to either HFCS- or Asp-sweetened beverages resulted in significant differences in the changes in fasting levels of OEA and DHEA between groups after the testing period. Subjects who consumed Asp, but not HFCS, displayed a reduction in AEA, OEA and DHEA after the testing period. In contrast, there were significant positive relationships between AEA, OEA, and DHEA versus ppTG, ppApoCIII and ppApoE in those consuming HFCS, but not in those consuming Asp. Our findings reveal previously unknown associations between circulating ECs and EC-related molecules with markers of lipid metabolism and CVD risk after HFCS-consumption.

  2. Modification of glass fibers to improve reinforcement: a plasma polymerization technique.

    PubMed

    Cökeliler, Dilek; Erkut, Selim; Zemek, Josef; Biederman, Hynek; Mutlu, Mehmet

    2007-03-01

    This study evaluates the effect of plasma treated E-glass fiber to improve the mechanical properties of acrylic resin denture base material, polymethylmethacrlyate (PMMA). Plasma surface treatment of fibers is used as reinforcement in composite materials to modify the chemical and physical properties of their surfaces with tailored fiber-matrix bonding strength. Three different types of monomer 2-hydroxyethyl methacrylate (HEMA), triethyleneglycoldimethylether (TEGDME) and ethylenediamine (EDA) were used in the plasma polymerization modification of glass fibers. A radiofrequency generator was used to sustain plasma in a glass vacuum chamber. Glass fibers were modified at the same glow-discharge power of 25 W and exposure time of 30 min for each monomer. Fibers were incorporated into the acrylic with 1% (w/w) loading except control group. Specimens were prepared using a standard mold of 3 cmx0.5 cmx0.8 cm in dimension with eight specimens in each group. Samples were subjected to a flexural strength test set up at a crosshead speed of 5mm/min. Scanning electron microscopy (SEM) was used to examine the microstructure and X-ray photoelectron spectroscopy (XPS) was used for chemical analysis of the surface. Data were analyzed by means of ANOVA and Duncan's tests. Test results revealed that fiber reinforcement had a significant effect on the flexural strength of the specimens (p<0.05). Among the fiber reinforced groups, plasma treatment with EDA monomer resulted in the most significant increase in flexural strength values (p<0.05). XPS results have shown an increasing number of nitrogenous compounds in EDA treated fibers. The chemical structure of the surface, especially with the increase in nitrogenous compounds could give an idea for the amine film deposition and SEM figures showed an increase in surface roughness. The results showed that plasma treatment with EDA monomer was an effective alternative method of increasing the flexural strength of PMMA based denture base

  3. Fabrication of copper-based anodes via atmosphoric plasma spraying techniques

    DOEpatents

    Lu, Chun [Monroeville, PA

    2012-04-24

    A fuel electrode anode (18) for a solid oxide fuel cell is made by presenting a solid oxide fuel cell having an electrolyte surface (15), mixing copper powder with solid oxide electrolyte in a mixing step (24, 44) to provide a spray feedstock (30,50) which is fed into a plasma jet (32, 52) of a plasma torch to melt the spray feed stock and propel it onto an electrolyte surface (34, 54) where the spray feed stock flattens into lamellae layer upon solidification, where the layer (38, 59) is an anode coating with greater than 35 vol. % based on solids volume.

  4. Proposal and verification numerical simulation for a microwave forward scattering technique at upper hybrid resonance for the measurement of electron gyroscale density fluctuations in the electron cyclotron frequency range in magnetized plasmas

    NASA Astrophysics Data System (ADS)

    Kawamori, E.; Igami, H.

    2017-11-01

    A diagnostic technique for detecting the wave numbers of electron density fluctuations at electron gyro-scales in an electron cyclotron frequency range is proposed, and the validity of the idea is checked by means of a particle-in-cell (PIC) numerical simulation. The technique is a modified version of the scattering technique invented by Novik et al. [Plasma Phys. Controlled Fusion 36, 357-381 (1994)] and Gusakov et al., [Plasma Phys. Controlled Fusion 41, 899-912 (1999)]. The novel method adopts forward scattering of injected extraordinary probe waves at the upper hybrid resonance layer instead of the backward-scattering adopted by the original method, enabling the measurement of the wave-numbers of the fine scale density fluctuations in the electron-cyclotron frequency band by means of phase measurement of the scattered waves. The verification numerical simulation with the PIC method shows that the technique has a potential to be applicable to the detection of electron gyro-scale fluctuations in laboratory plasmas if the upper-hybrid resonance layer is accessible to the probe wave. The technique is a suitable means to detect electron Bernstein waves excited via linear mode conversion from electromagnetic waves in torus plasma experiments. Through the numerical simulations, some problems that remain to be resolved are revealed, which include the influence of nonlinear processes such as the parametric decay instability of the probe wave in the scattering process, and so on.

  5. Laboratory-scale uranium RF plasma confinement experiments

    NASA Technical Reports Server (NTRS)

    Roman, W. C.

    1976-01-01

    An experimental investigation was conducted using 80 kW and 1.2 MW RF induction heater facilities to aid in developing the technology necessary for designing a self-critical fissioning uranium plasma core reactor. Pure uranium hexafluoride (UF6) was injected into argon-confined, steady-state, RF-heated plasmas in different uranium plasma confinement tests to investigate the characteristics of plamas core nuclear reactors. The objectives were: (1) to confine as high a density of uranium vapor as possible within the plasma while simultaneously minimizing the uranium compound wall deposition; (2) to develop and test materials and handling techniques suitable for use with high-temperature, high-pressure gaseous UF6; and (3) to develop complementary diagnostic instrumentation and measurement techniques to characterize the uranium plasma and residue deposited on the test chamber components. In all tests, the plasma was a fluid-mechanically-confined vortex-type contained within a fused-silica cylindrical test chamber. The test chamber peripheral wall was 5.7 cm ID by 10 cm long.

  6. Plasma pro-brain natriuretic peptide and electrocardiographic changes in combination improve risk prediction in persons without known heart disease.

    PubMed

    Jørgensen, Peter G; Jensen, Jan S; Appleyard, Merete; Jensen, Gorm B; Mogelvang, Rasmus

    2015-12-15

    Though the electrocardiogram(ECG) and plasma pro-brain-natriuretic-peptide (pro-BNP) are widely used markers of subclinical cardiac injury and can be used to predict future cardiovascular disease(CVD), they could merely be markers of the same underlying pathology. We aimed to determine if ECG changes and pro-BNP are independent predictors of CVD and if the combination improves risk prediction in persons without known heart disease. Pro-BNP and ECG were obtained on 5454 persons without known heart disease from the 4th round of the Copenhagen City Heart Study, a prospective cohort study. Median follow-up was 10.4 years. High pro-BNP was defined as above 90th percentile of age and sex adjusted levels. The end-points were all-cause mortality and the combination of admission with ischemic heart disease, heart failure or CVD death. ECG changes were present in 907 persons and were associated with high levels of pro-BNP. In a fully adjusted model both high pro-BNP and ECG changes remained significant predictors: all-cause mortality(high pro-BNP, no ECG changes: HR: 1.43(1.12-1.82);P=0.005, low pro-BNP, ECG changes: HR: 1.22(1.05-1.42);P=0.009, and both high pro-BNP and ECG changes: HR: 1.99(1.54-2.59);P<0.001), CVD event(high pro-BNP, no ECG changes: HR: 1.94(1.45-2.58);P<0.001, low pro-BNP, ECG changes: HR: 1.55(1.29-1.87);P<0.001, and both high pro-BNP and ECG changes: HR: 3.86(2.94-5.08);P<0.001). Adding the combination of pro-BNP and ECG changes to a fully adjusted model correctly reclassified 33.9%(26.5-41.3);P<0.001 on the continuous net reclassification scale for all-cause mortality and 49.7%(41.1-58.4);P<0.001 for CVD event. Combining ECG changes and pro-BNP improves risk prediction in persons without known heart disease. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  7. High Speed Photographic Analysis Of Railgun Plasmas

    NASA Astrophysics Data System (ADS)

    Macintyre, I. B.

    1985-02-01

    Various experiments are underway at the Materials Research Laboratories, Australian Department of Defence, to develop a theory for the behaviour and propulsion action of plasmas in rail guns. Optical recording and imaging devices, with their low vulnerability to the effects of magnetic and electric fields present in the vicinity of electromagnetic launchers, have proven useful as diagnostic tools. This paper describes photoinstrumentation systems developed to provide visual qualitative assessment of the behaviour of plasma travelling along the bore of railgun launchers. In addition, a quantitative system is incorporated providing continuous data (on a microsecond time scale) of (a) Length of plasma during flight along the launcher bore. (b) Velocity of plasma. (c) Distribution of plasma with respect to time after creation. (d) Plasma intensity profile as it travels along the launcher bore. The evolution of the techniques used is discussed. Two systems were employed. The first utilized a modified high speed streak camera to record the light emitted from the plasma, through specially prepared fibre optic cables. The fibre faces external to the bore were then imaged onto moving film. The technique involved the insertion of fibres through the launcher body to enable the plasma to be viewed at discrete positions as it travelled along the launcher bore. Camera configuration, fibre optic preparation and experimental results are outlined. The second system utilized high speed streak and framing photography in conjunction with accurate sensitometric control procedures on the recording film. The two cameras recorded the plasma travelling along the bore of a specially designed transparent launcher. The streak camera, fitted with a precise slit size, recorded a streak image of the upper brightness range of the plasma as it travelled along the launcher's bore. The framing camera recorded an overall view of the launcher and the plasma path, to the maximum possible, governed by

  8. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulatingmore » films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.« less

  9. Low-calorie cranberry juice supplementation reduces plasma oxidized LDL and cell adhesion molecule concentrations in men.

    PubMed

    Ruel, Guillaume; Pomerleau, Sonia; Couture, Patrick; Lemieux, Simone; Lamarche, Benoît; Couillard, Charles

    2008-02-01

    Elevated circulating concentrations of oxidized LDL (OxLDL) and cell adhesion molecules are considered to be relevant markers of oxidative stress and endothelial activation which are implicated in the development of CVD. On the other hand, it has been suggested that dietary flavonoid consumption may be cardioprotective through possible favourable impacts on LDL particle oxidation and endothelial activation. The present study was undertaken to determine the effect of the daily consumption of low-calorie cranberry juice cocktail on plasma OxLDL, intercellular adhesion molecule-1 (ICAM-1), vascular cell adhesion molecule-1 (VCAM-1) and E-selectin concentrations in men. Thirty men (mean age 51 (sd 10) years) were recruited and asked to consume increasing daily doses of cranberry juice cocktail (125, 250 and 500 ml/d) over three successive periods of 4 weeks. Plasma OxLDL and adhesion molecule concentrations were measured by ELISA before and after each phase. We noted a significant decrease in plasma OxLDL concentrations following the intervention (P < 0.0001). We also found that plasma ICAM-1 (P < 0.0001) and VCAM-1 (P < 0.05) concentrations decreased significantly during the course of the study. In summary, the present results show that daily cranberry juice cocktail consumption is associated with decreases in plasma OxLDL, ICAM-1 and VCAM-1 concentrations in men.

  10. Thermoelectric properties of CVD grown large area graphene

    NASA Astrophysics Data System (ADS)

    Sherehiy, Andriy; Jayasinghe, Ruwantha; Stallard, Robert; Sumanasekera, Gamini; Sidorov, Anton; Benjamin, Daniel; Jiang, Zhigang; Yu, Qingkai; Wu, Wei; Bao, Jiming; Liu, Zhihong; Pei, Steven; Chen, Yong

    2010-03-01

    The thermoelectric power (TEP) of CVD (Chemical Vapor Deposition) grown large area graphene transferred onto a Si/SiO2 substrate was measured by simply attaching two miniature thermocouples and a resistive heater. Availability of such large area graphene facilitates straight forward TEP measurement without the use of any microfabrication processes. All investigated graphene samples showed a positive TEP ˜ + 30 μV/K in ambient conditions and saturated at a negative value as low as ˜ -75 μV/K after vacuum-annealing at 500 K in a vacuum of ˜10-7 Torr. The observed p-type behavior under ambient conditions is attributed to the oxygen doping, while the n-type behavior under degassed conditions is due to electron doping from SiO2 surface states. It was observed that the sign of the TEP switched from negative to positive for the degassed graphene when exposed to acceptor gases. Conversely, the TEP of vacuum-annealed graphene exposed to the donor gases became even more negative than the TEP of vacuum-annealed sample.

  11. ECRH Studies on Tokamak Plasmas.

    DTIC Science & Technology

    1980-10-10

    r.I*cru.Dtrtibution uUnliited 300 Unicorn Pork Drive Woburn, Massachusetts 04801 ECRH STUDIES ON TOKAMAK PLASMAS JAYCOR Project No. 6183 Final Report...up techniques now in use or being suggested, include growing the plasma from a small minor radius or applying a negative voltage spike immediately

  12. Sterilization by oxygen plasma

    NASA Astrophysics Data System (ADS)

    Moreira, Adir José; Mansano, Ronaldo Domingues; Andreoli Pinto, Terezinha de Jesus; Ruas, Ronaldo; Zambon, Luis da Silva; da Silva, Mônica Valero; Verdonck, Patrick Bernard

    2004-07-01

    The use of polymeric medical devices has stimulated the development of new sterilization methods. The traditional techniques rely on ethylene oxide, but there are many questions concerning the carcinogenic properties of the ethylene oxide residues adsorbed on the materials after processing. Another common technique is the gamma irradiation process, but it is costly, its safe operation requires an isolated site and it also affects the bulk properties of the polymers. The use of a gas plasma is an elegant alternative sterilization technique. The plasma promotes an efficient inactivation of the micro-organisms, minimises the damage to the materials and presents very little danger for personnel and the environment. Pure oxygen reactive ion etching type of plasmas were applied to inactivate a biologic indicator, the Bacillus stearothermophilus, to confirm the efficiency of this process. The sterilization processes took a short time, in a few minutes the mortality was complete. In situ analysis of the micro-organisms' inactivating time was possible using emission spectrophotometry. The increase in the intensity of the 777.5 nm oxygen line shows the end of the oxidation of the biologic materials. The results were also observed and corroborated by scanning electron microscopy.

  13. Maxwell Prize Talk: Scaling Laws for the Dynamical Plasma Phenomena

    NASA Astrophysics Data System (ADS)

    Ryutov, Livermore, Ca 94550, Usa, D. D.

    2017-10-01

    The scaling and similarity technique is a powerful tool for developing and testing reduced models of complex phenomena, including plasma phenomena. The technique has been successfully used in identifying appropriate simplified models of transport in quasistationary plasmas. In this talk, the similarity and scaling arguments will be applied to highly dynamical systems, in which temporal evolution of the plasma leads to a significant change of plasma dimensions, shapes, densities, and other parameters with respect to initial state. The scaling and similarity techniques for dynamical plasma systems will be presented as a set of case studies of problems from various domains of the plasma physics, beginning with collisonless plasmas, through intermediate collisionalities, to highly collisional plasmas describable by the single-fluid MHD. Basic concepts of the similarity theory will be introduced along the way. Among the results discussed are: self-similarity of Langmuir turbulence driven by a hot electron cloud expanding into a cold background plasma; generation of particle beams in disrupting pinches; interference between collisionless and collisional phenomena in the shock physics; similarity for liner-imploded plasmas; MHD similarities with an emphasis on the effect of small-scale (turbulent) structures on global dynamics. Relations between astrophysical phenomena and scaled laboratory experiments will be discussed.

  14. Damage-free polymer surface modification employing inward-type plasma

    NASA Astrophysics Data System (ADS)

    Kanou, Ryo; Suga, Hiroshi; Utsumi, Hideyuki; Takahashi, Satoshi; Shirayama, Yuya; Watanabe, Norimichi; Petit, Stèphane; Shimizu, Tetsuo

    2017-08-01

    Inward-type plasmas, which spread upstream against the gas flow in the capillary tube where the gas is discharged, can react with samples placed near the entrance of such a capillary tube. In this study, surface modification of polymer surfaces is conducted using inward plasma. The modification is also done by conventional microplasma jet, and the modified surfaces with two plasma techniques are characterized by contact angle measurement, X-ray photoemission spectroscopy (XPS), and atomic force microscopy (AFM). Although inward-plasma-treated surfaces are less hydrophilic than conventional plasma-treated ones, they are still sufficiently hydrophilic for surface coatings. In addition, it turns out that the polymer surfaces irradiated with the inward plasma yield much smoother surfaces than those treated with the conventional plasma jet. Thus, the inward plasma treatment is a viable technique when the surface flatness is crucial, such as for the surface coating of plastic lenses.

  15. Monte Carlo Simulation of Nonlinear Radiation Induced Plasmas. Ph.D. Thesis

    NASA Technical Reports Server (NTRS)

    Wang, B. S.

    1972-01-01

    A Monte Carlo simulation model for radiation induced plasmas with nonlinear properties due to recombination was, employing a piecewise linearized predict-correct iterative technique. Several important variance reduction techniques were developed and incorporated into the model, including an antithetic variates technique. This approach is especially efficient for plasma systems with inhomogeneous media, multidimensions, and irregular boundaries. The Monte Carlo code developed has been applied to the determination of the electron energy distribution function and related parameters for a noble gas plasma created by alpha-particle irradiation. The characteristics of the radiation induced plasma involved are given.

  16. Association between dietary fibre intake and fruit, vegetable or whole-grain consumption and the risk of CVD: results from the PREvención con DIeta MEDiterránea (PREDIMED) trial.

    PubMed

    Buil-Cosiales, Pilar; Toledo, Estefania; Salas-Salvadó, Jordi; Zazpe, Itziar; Farràs, Marta; Basterra-Gortari, Francisco Javier; Diez-Espino, Javier; Estruch, Ramon; Corella, Dolores; Ros, Emilio; Marti, Amelia; Gómez-Gracia, Enrique; Ortega-Calvo, Manuel; Arós, Fernando; Moñino, Manuel; Serra-Majem, Lluis; Pintó, Xavier; Lamuela-Raventós, Rosa Maria; Babio, Nancy; Gonzalez, Jose I; Fitó, Montserrat; Martínez-González, Miguel A

    2016-08-01

    Prospective studies assessing the association between fibre intake or fibre-rich food consumption and the risk of CVD have often been limited by baseline assessment of diet. Thus far, no study has used yearly repeated measurements of dietary changes during follow-up. Moreover, previous studies included healthy and selected participants who did not represent subjects at high cardiovascular risk. We used yearly repeated measurements of diet to investigate the association between fibre intake and CVD in a Mediterranean cohort of elderly adults at high cardiovascular risk. We followed-up 7216 men (55-80 years) and women (60-80 years) initially free of CVD for up to 7 years in the PREvención con DIeta MEDiterránea study (registered as ISRCTN35739639). A 137-item validated FFQ was repeated yearly to assess diet. The primary end point, confirmed by a blinded ad hoc Event Adjudication Committee, was a composite of cardiovascular death, myocardial infarction and stroke. Time-dependent Cox's regression models were used to estimate the risk of CVD according to baseline dietary exposures and to their yearly updated changes. We found a significant inverse association for fibre (P for trend=0·020) and fruits (P for trend=0·024) in age-sex adjusted models, but the statistical significance was lost in fully adjusted models. However, we found a significant inverse association with CVD incidence for the sum of fruit and vegetable consumption. Participants who consumed in total nine or more servings/d of fruits plus vegetables had a hazard ratio 0·60 (95 % CI 0·40, 0·96) of CVD in comparison with those consuming <5 servings/d.

  17. Space plasma contractor research, 1988

    NASA Technical Reports Server (NTRS)

    Williams, John D.; Wilbur, Paul J.

    1989-01-01

    Results of experiments conducted on hollow cathode-based plasma contractors are reported. Specific tests in which attempts were made to vary plasma conditions in the simulated ionospheric plasma are described. Experimental results showing the effects of contractor flowrate and ion collecting surface size on contactor performance and contactor plasma plume geometry are presented. In addition to this work, one-dimensional solutions to spherical and cylindircal space-charge limited double-sheath problems are developed. A technique is proposed that can be used to apply these solutions to the problem of current flow through elongated double-sheaths that separate two cold plasmas. Two conference papers which describe the essential features of the plasma contacting process and present data that should facilitate calibration of comprehensive numerical models of the plasma contacting process are also included.

  18. A Review of Carbon Nanomaterials' Synthesis via the Chemical Vapor Deposition (CVD) Method.

    PubMed

    Manawi, Yehia M; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A

    2018-05-17

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  19. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    PubMed Central

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  20. Advances in the Development of a WCl6 CVD System for Coating UO2 Powders with Tungsten

    NASA Technical Reports Server (NTRS)

    Mireles, Omar R.; Tieman, Alyssa; Broadway, Jeramie; Hickman, Robert

    2013-01-01

    Demonstrated viability and utilization of: a) Fluidized powder bed. b) WCl6 CVD process. c) Coated spherical particles with tungsten. The highly corrosive nature of the WCl6 solid reagent limits material of construction. Indications that identifying optimized process variables with require substantial effort and will likely vary with changes in fuel requirements.