Sample records for plasma ion implantation

  1. Method and apparatus for plasma source ion implantation

    DOEpatents

    Conrad, J.R.

    1988-08-16

    Ion implantation into surfaces of three-dimensional targets is achieved by forming an ionized plasma about the target within an enclosing chamber and applying a pulse of high voltage between the target and the conductive walls of the chamber. Ions from the plasma are driven into the target object surfaces from all sides simultaneously without the need for manipulation of the target object. Repetitive pulses of high voltage, typically 20 kilovolts or higher, causes the ions to be driven deeply into the target. The plasma may be formed of a neutral gas introduced into the evacuated chamber and ionized therein with ionizing radiation so that a constant source of plasma is provided which surrounds the target object during the implantation process. Significant increases in the surface hardness and wear characteristics of various materials are obtained with ion implantation in this manner. 7 figs.

  2. Method and apparatus for plasma source ion implantation

    DOEpatents

    Conrad, John R.

    1988-01-01

    Ion implantation into surfaces of three-dimensional targets is achieved by forming an ionized plasma about the target within an enclosing chamber and applying a pulse of high voltage between the target and the conductive walls of the chamber. Ions from the plasma are driven into the target object surfaces from all sides simultaneously without the need for manipulation of the target object. Repetitive pulses of high voltage, typically 20 kilovolts or higher, causes the ions to be driven deeply into the target. The plasma may be formed of a neutral gas introduced into the evacuated chamber and ionized therein with ionizing radiation so that a constant source of plasma is provided which surrounds the target object during the implantation process. Significant increases in the surface hardness and wear characteristics of various materials are obtained with ion implantation in this manner.

  3. Ion sheath dynamics in a plasma for plasma-based ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yatsuzuka, M.; Miki, S.; Azuma, K.

    1999-07-01

    Spatial and temporal growth and collapse of ion sheath around an electrode of a negative high-voltage pulse (voltage: {minus}10 kV, pulse duration: 10 {micro}s) have been studied in a plasma for plasma-based ion implantation. A spherical electrode of 1.9 cm in a diameter is immersed in a nitrogen plasma with the plasma density range of 10{sup 9} to 10{sup 10} cm{sup {minus}3}, the electron temperature of 1.4 eV and the gas pressure of 8x10{sup {minus}4} Torr. The transient sheath dynamics was observed by the measurement of electron saturation current to a Langmuir probe, where a depletion of electron saturation currentmore » indicates the arrival time of sheath edge at the probe position. The expanding speed of sheath edge is higher than the ion acoustic speed until the sheath length reaches the steady-state extent determined by Child-Langmuir law. In the region beyond the steady-state extent, the rarefying disturbance produced by sheath expansion continues to propagate into the plasma at the ion acoustic peed. After the pulse voltage is returned to zero (more exactly, the floating potential), the electron current begins to recover. When the pulse fall time is shorter than the plasma transit time, the electron saturation current overshoots the steady-state saturation current at once, resulting in an excess of plasma density which propagates like a tidal wave into the plasma at the ion acoustic speed.« less

  4. Development and experimental study of large size composite plasma immersion ion implantation device

    NASA Astrophysics Data System (ADS)

    Falun, SONG; Fei, LI; Mingdong, ZHU; Langping, WANG; Beizhen, ZHANG; Haitao, GONG; Yanqing, GAN; Xiao, JIN

    2018-01-01

    Plasma immersion ion implantation (PIII) overcomes the direct exposure limit of traditional beam-line ion implantation, and is suitable for the treatment of complex work-piece with large size. PIII technology is often used for surface modification of metal, plastics and ceramics. Based on the requirement of surface modification of large size insulating material, a composite full-directional PIII device based on RF plasma source and metal plasma source is developed in this paper. This device can not only realize gas ion implantation, but also can realize metal ion implantation, and can also realize gas ion mixing with metal ions injection. This device has two metal plasma sources and each metal source contains three cathodes. Under the condition of keeping the vacuum unchanged, the cathode can be switched freely. The volume of the vacuum chamber is about 0.94 m3, and maximum vacuum degree is about 5 × 10-4 Pa. The density of RF plasma in homogeneous region is about 109 cm-3, and plasma density in the ion implantation region is about 1010 cm-3. This device can be used for large-size sample material PIII treatment, the maximum size of the sample diameter up to 400 mm. The experimental results show that the plasma discharge in the device is stable and can run for a long time. It is suitable for surface treatment of insulating materials.

  5. Modification of Wetting Properties of PMMA by Immersion Plasma Ion Implantation

    NASA Astrophysics Data System (ADS)

    Mireault, N.; Ross, G. G.

    Advancing and receding contact angles below 5° have been obtained on PMMA surfaces with the implantation of argon and oxygen ions. The ion implantations were performed by means of the Immersion Plasma Ion Implantation (IPII) technique, a hybrid between ion beams and immersion plasmas. Characterization of treated PMMA surfaces by means of XPS and its combination with chemical derivatization (CD-XPS) have revealed the depletion of oxygen and the creation of dangling bonds, together with the formation of new chemical functions such as -OOH, -COOH and C=C. These observations provide a good explanation for the strong increase of the wetting properties of the PMMA surfaces.

  6. Plasma immersion ion implantation for reducing metal ion release

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Diaz, C.; Garcia, J. A.; Maendl, S.

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment.more » Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.« less

  7. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    NASA Astrophysics Data System (ADS)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  8. Method For Plasma Source Ion Implantation And Deposition For Cylindrical Surfaces

    DOEpatents

    Fetherston, Robert P. , Shamim, Muhammad M. , Conrad, John R.

    1997-12-02

    Uniform ion implantation and deposition onto cylindrical surfaces is achieved by placing a cylindrical electrode in coaxial and conformal relation to the target surface. For implantation and deposition of an inner bore surface the electrode is placed inside the target. For implantation and deposition on an outer cylindrical surface the electrode is placed around the outside of the target. A plasma is generated between the electrode and the target cylindrical surface. Applying a pulse of high voltage to the target causes ions from the plasma to be driven onto the cylindrical target surface. The plasma contained in the space between the target and the electrode is uniform, resulting in a uniform implantation or deposition of the target surface. Since the plasma is largely contained in the space between the target and the electrode, contamination of the vacuum chamber enclosing the target and electrodes by inadvertent ion deposition is reduced. The coaxial alignment of the target and the electrode may be employed for the ion assisted deposition of sputtered metals onto the target, resulting in a uniform coating of the cylindrical target surface by the sputtered material. The independently generated and contained plasmas associated with each cylindrical target/electrode pair allows for effective batch processing of multiple cylindrical targets within a single vacuum chamber, resulting in both uniform implantation or deposition, and reduced contamination of one target by adjacent target/electrode pairs.

  9. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He + ion implantation

    NASA Astrophysics Data System (ADS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-05-01

    He + ion implanted collagen-coated tubes with a fluence of 1 × 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2. Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 × 10 13, 1 × 10 15 and 1 × 10 16 ions/cm 2. Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and was inhibited with fluences of 1 × 10 13, 1 × 10 15 and 1 × 10 16 ions/cm 2. Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 × 10 13 ions/cm 2. On the 1 × 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface.

  10. Plasma ion implantation technology at Hughes Research Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matossian, J.N.

    1994-03-01

    The plasma ion implantation (PII) project at Hughes Research Laboratories (HRL) has as its main objective the evaluation and application of PII technology to improve the tribological properties of metal and nonmetal materials used in aerospace, defense, and commercial applications. The HRL PII facility consists of a 4-ft-diam[times]8-ft-long vacuum chamber capable of implanting objects weighing up to 7000 lbs, and a high-power (100-kW), high-voltage (100-kV) pulse modulator to provide voltage pulses for implantation. Advanced plasma sources have been developed to produce atomic, as well as molecular, nitrogen and oxygen ions, and PII processes have been developed to treat metal andmore » nonmetal materials. The HRL PII facility has been operational since 1989 and has been used for prototype demonstrations of PII technology to achieve (1) a 2--3[times] improved wear life of Co/WC drill bits used for printed-wiring-board fabrication, (2) an 8[times] reduced wear rate for TiN-coated cutting tools, and (3) a 2[times] increased surface hardness for a 7000-lb polymer object, 3 ft by 5 ft by 1 ft.« less

  11. Hardening of Metallic Materials Using Plasma Immersion Ion Implantation (PIII)

    NASA Astrophysics Data System (ADS)

    Xu, Yufan; Clark, Mike; Flanagan, Ken; Milhone, Jason; Nonn, Paul; Forest, Cary

    2016-10-01

    A new approach of Plasma Immersion Ion Implantation (PIII) has been developed with the Plasma Couette Experiment Upgrade (PCX-U). The new approach efficiently reduces the duty cycle under the same average power for PIII. The experiment uses a Nitrogen plasma at a relatively high density of 1010 1011 cm-3 with ion temperatures of < 2 eV and electron temperature of 5 10 eV. The pulser for this PIII experiment has a maximum negative bias greater than 20kV, with 60Hz frequency and a 8 μs on-time in one working cycle. The samples (Alloy Steel 9310) are analyzed by a Vicker Hardness Tester to study the hardness and X-ray Photoelectron Spectroscopy (XPS) to study implantation density and depth. Different magnetic fields are also applied on samples to reduce the energy loss and secondary emission. Higher efficiency of implantation is expected from this experiment and the results will be presented. Hilldale Undergraduate/Faculty Research Fellowship of University of Wisconsin-Madison; Professor Cary Forest's Kellett Mid-Career Faculty Award.

  12. Surface insulating properties of titanium implanted alumina ceramics by plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Zhu, Mingdong; Song, Falun; Li, Fei; Jin, Xiao; Wang, Xiaofeng; Wang, Langping

    2017-09-01

    The insulating property of the alumina ceramic in vacuum under high voltage is mainly limited by its surface properties. Plasma immersion ion implantation (PIII) is an effective method to modify the surface chemical and physical properties of the alumina ceramic. In order to improve the surface flashover voltage of the alumina ceramic in vacuum, titanium ions with an energy of about 20 keV were implanted into the surface of the alumina ceramic using the PIII method. The surface properties of the as-implanted samples, such as the chemical states of the titanium, morphology and surface resistivity, were characterized by X-ray photoelectron spectroscopy, scanning electron microscope and electrometer, respectively. The surface flashover voltages of the as-implanted alumina samples were measured by a vacuum surface flashover experimental system. The XPS spectra revealed that a compound of Ti, TiO2 and Al2O3 was formed in the inner surface of the alumina sample. The electrometer results showed that the surface resistivity of the implanted alumina decreased with increased implantation time. In addition, after the titanium ion implantation, the maximum hold-off voltage of alumina was increased to 38.4 kV, which was 21.5% higher than that of the unimplanted alumina ceramic.

  13. A new tritium monitor design based on plasma source ion implantation technique

    NASA Astrophysics Data System (ADS)

    Nassar, Rafat Mohammad

    Tritium is an important isotope of hydrogen. The availability of tritium in our environment is manifest through both natural and artificial sources. Consequently, the requirement for tritium handling and usage will continue to increase in the future. An important future contributor is nuclear fusion power plants and facilities. Essential safety regulations and procedures require effective monitoring and measurements of tritium concentrations in workplaces. The unique characteristics of tritium impose an important role on the criteria for its detection and measurement. As tritium decays by the emission of soft beta particles, maximum 18 keV, it cannot be readily detected by commonly used detectors. Specially built monitors are required. Additional complications occur due to the presence of other radioactive isotopes or ambient radiation fields and because of the high diffusivity of tritium. When it is in oxidized form it is 25000 times more hazardous biologically than when in elemental form. Therefore, contamination of the monitor is expected and compound specific monitors are important. A summary is given of the various well known methods of detecting tritium-in-air. This covers the direct as well as the indirect measuring techniques, although each has been continually improved and further developed, nevertheless, each has its own limitations. Ionization chambers cannot discriminate against airborne P emitters. Proportional counters have a narrow operating range, 3-4 decades, and have poor performance in relatively high humid environments and require a dry counting gas. Liquid scintillation counters are sensitive, but inspection of the sample is slow and they produce chemical liquid waste. A new way to improve the sensitivity of detecting tritium with plastic scintillators has been developed. The technique is based on a non-line-of-sight implantation of tritium ions into a 20 mum plastic scintillator using a plasma source ion implantation (PSII) technique, This

  14. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation.

    PubMed

    Kondyurin, Alexey

    2018-04-24

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 10 13 to 10 16 ions/cm². The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  15. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation

    PubMed Central

    2018-01-01

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 1013 to 1016 ions/cm2. The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive. PMID:29695109

  16. Plasma immersion ion implantation modification of surface properties of polymer material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Husein, I.F.; Zhou, Y.; Qin, S.

    1997-12-01

    The use of plasma immersion ion implantation (PIII) as a novel method for the treatment of polymer surfaces is investigated. The effect of PIII treatment on the coefficient of friction, contact angle modification, and surface energy of silicone and EPDM (ethylene-propylene-diene monomer) rubber are investigated as a function of pulse voltage, treatment time, and gas species. Low energy (0--8 keV) and high dose ({approximately}10{sup 17}--10{sup 18} ions/cm{sup 2}) implantation of N{sub 2}, Ar, and CF{sub 4} is performed using an inductively coupled plasma source (ICP) at low pressure (0.2 mTorr). PIII treatment reduces the coefficient of friction ({micro}) of siliconemore » rubber from {mu} = 0.464 to the range {mu} = 0.176--0.274, and {mu} of EPDM rubber decreases from 0.9 to the range {mu} = 0.27--0.416 depending on processing conditions. The contact angle of water and diiodomethylene decreases after implantation and increases at higher doses for both silicone and EPDM rubber.« less

  17. Demonstration and Analysis of Materials Processing by Ablation Plasma Ion Implantation (APII)

    NASA Astrophysics Data System (ADS)

    Qi, B.; Gilgenbach, R. M.; Lau, Y. Y.; Jones, M. C.; Lian, J.; Wang, L. M.; Doll, G. L.; Lazarides, A.

    2001-10-01

    Experiments have demonstrated laser-ablated Fe ion implantation into Si substrates. Baseline laser deposited films (0 kV) showed an amorphous Fe-Si film overlying the Si substrate with a top layer of nanocrystalline Fe. APII films exhibited an additional Fe ion-induced damage layer, extending 7.6 nm below the Si surface. The overlying Fe-Si layer and Fe top layer were amorphized by fast ions. Results were confirmed by XPS vs Ar ion etching time for depth profile of the deposited films. XPS showed primarily Fe (top layer), transitioning to roughly equal Fe/Si , then mostly Si with lower Fe (implanted region). These data clearly prove Fe ion implantation into Si, verifying the feasibility of APII as an ion acceleration and implantation process [1]. SRIM simulations predict about 20 percent deeper Fe ion penetration than data, due to:(a) Subsequent ions must pass through the Fe film deposited by earlier ions, and (b) the bias voltage has a slow rise and fall time. Theoretical research has developed the scaling laws for APII [2]. Recently, a model has successfully explained the shortening of the decay time in the high voltage pulse with the laser ablation plasma. This reduces the theoretical RC time constant, which agrees with the experimental data. * Research supported by National Science Foundation Grant CTS-9907106 [1] Appl. Phys. Lett. 78, 3785 (2001) [2] Appl. Phys. Lett. 78, 706 (2001)),

  18. Pulsed source ion implantation apparatus and method

    DOEpatents

    Leung, K.N.

    1996-09-24

    A new pulsed plasma-immersion ion-implantation apparatus that implants ions in large irregularly shaped objects to controllable depth without overheating the target, minimizing voltage breakdown, and using a constant electrical bias applied to the target. Instead of pulsing the voltage applied to the target, the plasma source, for example a tungsten filament or a RF antenna, is pulsed. Both electrically conducting and insulating targets can be implanted. 16 figs.

  19. Pulsed source ion implantation apparatus and method

    DOEpatents

    Leung, Ka-Ngo

    1996-01-01

    A new pulsed plasma-immersion ion-implantation apparatus that implants ions in large irregularly shaped objects to controllable depth without overheating the target, minimizing voltage breakdown, and using a constant electrical bias applied to the target. Instead of pulsing the voltage applied to the target, the plasma source, for example a tungsten filament or a RF antenna, is pulsed. Both electrically conducting and insulating targets can be implanted.

  20. Application of laser driven fast high density plasma blocks for ion implantation

    NASA Astrophysics Data System (ADS)

    Sari, Amir H.; Osman, F.; Doolan, K. R.; Ghoranneviss, M.; Hora, H.; Höpfl, R.; Benstetter, G.; Hantehzadeh, M. H.

    2005-10-01

    The measurement of very narrow high density plasma blocks of high ion energy from targets irradiated with ps-TW laser pulses based on a new skin depth interaction process is an ideal tool for application of ion implantation in materials, especially of silicon, GaAs, or conducting polymers, for micro-electronics as well as for low cost solar cells. A further application is for ion sources in accelerators with most specifications of many orders of magnitudes advances against classical ion sources. We report on near band gap generation of defects by implantation of ions as measured by optical absorption spectra. A further connection is given for studying the particle beam transforming of n-type semiconductors into p-type and vice versa as known from sub-threshold particle beams. The advantage consists in the use of avoiding aggressive or rare chemical materials when using the beam techniques for industrial applications.

  1. Study of the effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation on stainless steel samples

    NASA Astrophysics Data System (ADS)

    Pillaca, E. J. D. M.; Ueda, M.; Oliveira, R. M.; Pichon, L.

    2014-08-01

    Effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation (PIII) have been investigated. This magnetic configuration when used in PIII allows obtaining high nitrogen plasma density close to the ion implantation region. Consequently, high ions dose on the target is possible to be achieved compared with standard PIII. In this scenario, nitrogen and carbon ions were implanted simultaneously on stainless steel, as measured by GDOES and detected by X-ray diffraction. Carbon-tape disposed on the sample-holder was sputtered by intense bombardment of nitrogen ions, being the source of carbon atoms in this experiment. The implantation of both N and C caused changes on sample morphology and improvement of the tribological properties of the stainless steel.

  2. Oxygen depth profiling by resonant RBS in NiTi after plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Mändl, S.; Lindner, J. K. N.

    2006-08-01

    NiTi exhibits super-elastic as well as shape-memory properties, which results in a large potential application field in biomedical technology. Using oxygen ion implantation at elevated temperatures, it is possible to improve the biocompatibility. Resonant Rutherford backscattering spectroscopy (RRBS) is used to investigate the oxygen depth profile obtained after oxygen plasma immersion ion implantation (PIII) at 25 kV and 400-600 °C. At all temperatures, a layered structure consisting of TiO2/Ni3Ti/NiTi was found with sharp interfaces while no discernible content of oxygen inside Ni3Ti or nickel in TiO2 was found. These data are compatible with a titanium diffusion from the bulk towards the implanted oxygen.

  3. Impact energy and retained dose uniformity in enhanced glow discharge plasma immersion ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Q. Y.; Fu, Ricky K. Y.; Chu, Paul K.

    2009-08-10

    The implantation energy and retained dose uniformity in enhanced glow discharge plasma immersion ion implantation (EGD-PIII) is investigated numerically and experimentally. Depth profiles obtained from different samples processed by EGD-PIII and traditional PIII are compared. The retained doses under different pulse widths are calculated by integrating the area under the depth profiles. Our results indicate that the improvement in the impact energy and retained dose uniformity by this technique is remarkable.

  4. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    NASA Astrophysics Data System (ADS)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  5. Etching and structure changes in PMMA coating under argon plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Kondyurin, Alexey; Bilek, Marcela

    2011-06-01

    A thin (120 nm) polymethylmethacrylate coating was treated by plasma immersion ion implantation with Ar using pulsed bias at 20 kV. Ellipsometry and FTIR spectroscopy and gel-fraction formation were used to detect the structure transformations as a function of ion fluence. The kinetics of etching, variations in refractive index and extinction coefficient in 400-1000 nm of wavelength, concentration changes in carbonyl, ether, methyl and methylene groups all as a function of ion fluence were analyzed. A critical ion fluence of 10 15 ions/cm 2 was observed to be a border between competing depolymerization and carbonization processes. Chemical reactions responsible for reorganization of the PMMA chemical structure under ion beam treatment are proposed.

  6. Broad beam ion implanter

    DOEpatents

    Leung, K.N.

    1996-10-08

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes. 6 figs.

  7. Broad beam ion implanter

    DOEpatents

    Leung, Ka-Ngo

    1996-01-01

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes.

  8. Plasma Immersion Ion Implantation for Interdigitated Back Passivated Contact (IBPC) Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, David L.; Nemeth, William; LaSalvia, Vincenzo

    2016-11-21

    We present progress to develop low-cost interdigitated back contact solar cells with pc-Si/SiO2/c-Si passivated contacts formed by plasma immersion ion implantation (PIII). PIII is a lower-cost implantation technique than traditional beam-line implantation due to its simpler design, lower operating costs, and ability to run high doses (1E14-1E18 cm-2) at low ion energies (20 eV-10 keV). These benefits make PIII ideal for high throughput production of patterned passivated contacts, where high-dose, low-energy implantations are made into thin (20-200 nm) a-Si layers instead of into the wafer itself. For this work symmetric passivated contact test structures grown on n-Cz wafers with PH3more » PIII doping gave implied open circuit voltage (iVoc) values of 730 mV with Jo values of 2 fA/cm2. Samples doped with B2H6 gave iVoc values of 690 mV and Jo values of 24 fA/cm2, outperforming BF3 doping, which gave iVoc values in the 660-680 mV range. Samples were further characterized by photoluminescence and SIMS depth profiles. Initial IBPC cell results are presented.« less

  9. Plasma Immersion Ion Implantation with Solid Targets for Space and Aerospace Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oliveira, R. M.; Goncalves, J. A. N.; Ueda, M.

    2009-01-05

    This paper describes successful results obtained by a new type of plasma source, named as Vaporization of Solid Targets (VAST), for treatment of materials for space and aerospace applications, by means of plasma immersion ion implantation and deposition (PIII and D). Here, the solid element is vaporized in a high pressure glow discharge, being further ionized and implanted/deposited in a low pressure cycle, with the aid of an extra electrode. First experiments in VAST were run using lithium as the solid target. Samples of silicon and aluminum alloy (2024) were immersed into highly ionized lithium plasma, whose density was measuredmore » by a double Langmuir probe. Measurements performed with scanning electron microscopy (SEM) showed clear modification of the cross-sectioned treated silicon samples. X-ray photoelectron spectroscopy (XPS) analysis revealed that lithium was implanted/deposited into/onto the surface of the silicon. Implantation depth profiles may vary according to the condition of operation of VAST. One direct application of this treatment concerns the protection against radiation damage for silicon solar cells. For the case of the aluminum alloy, X-ray diffraction analysis indicated the appearance of prominent new peaks. Surface modification of A12024 by lithium implantation/deposition can lower the coefficient of friction and improve the resistance to fatigue of this alloy. Recently, cadmium was vaporized and ionized in VAST. The main benefit of this element is associated with the improvement of corrosion resistance of metallic substrates. Besides lithium and cadmium, VAST allows to performing PIII and D with other species, leading to the modification of the near-surface of materials for distinct purposes, including applications in the space and aerospace areas.« less

  10. Down to 2 nm Ultra Shallow Junctions : Fabrication by IBS Plasma Immersion Ion Implantation Prototype PULSION registered

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Torregrosa, Frank; Etienne, Hasnaa; Mathieu, Gilles

    Classical beam line implantation is limited in low energies and cannot achieve P+/N junctions requirements for <45nm node. Compared to conventional beam line ion implantation, limited to a minimum of about 200 eV, the efficiency of Plasma Immersion Ion Implantation (PIII) is no more to prove for the realization of Ultra Shallow Junctions (USJ) in semiconductor applications: this technique allows to get ultimate shallow profiles (as implanted) thanks to no lower limitation of energy and offers high dose rate. In the field of the European consortium NANOCMOS, Ultra Shallow Junctions implanted on a semi-industrial PIII prototype (PULSION registered ) designedmore » by the French company IBS, have been studied. Ultra shallow junctions implanted with BF3 at acceleration voltages down to 20V were realized. Contamination level, homogeneity and depth profile are studied. The SIMS profiles obtained show the capability to make ultra shallow profiles (as implanted) down to 2nm.« less

  11. Simultaneous Sterilization With Surface Modification Of Plastic Bottle By Plasma-Based Ion Implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakudo, N.; Ikenaga, N.; Ikeda, F.

    2011-01-07

    Dry sterilization of polymeric material is developed. The technique utilizes the plasma-based ion implantation which is same as for surface modification of polymers. Experimental data for sterilization are obtained by using spores of Bacillus subtilis as samples. On the other hand we previously showed that the surface modification enhanced the gas barrier characteristics of plastic bottles. Comparing the implantation conditions for the sterilization experiment with those for the surface modification, we find that both sterilization and surface modification are simultaneously performed in a certain range of implantation conditions. This implies that the present bottling system for plastic vessels will bemore » simplified and streamlined by excluding the toxic peroxide water that has been used in the traditional sterilization processes.« less

  12. Polymer Treatment by Plasma Immersion Ion Implantation of Nitrogen for Formation of Diamond-Like Carbon Film

    NASA Astrophysics Data System (ADS)

    Tan, Ing Hwie; Ueda, Mario; Kostov, Konstantin; Nascente, Pedro Augusto P.; Demarquette, Nicole Raymonde

    2004-09-01

    Nitrogen ions were implanted by plasma immersion in Kapton, Mylar and polypropylene, with the objective of forming a diamond-like carbon layer on these polymers. The Raman spectrum of the implanted polypropylene showed typical Diamond-Like Carbon (DLC) graphite (G) and disorder (D) peaks, with an sp3/sp2 hybridization ratio of approximately 0.4 to 0.6. The XPS analysis of the three implanted polymers also showed peaks of C-C and N-C bonds in the sp3 configuration, with hybridization ratios in the same range as the Raman result. The implanted polymers were exposed to oxygen plasma to test the resistance of the polymers to oxygen degradation. Mass loss rate results, however, showed that the DLC layer formed is not sufficiently robust for this application. Nevertheless, the layer formed can be suitable for other applications such as in gas barriers in beverage containers. Further study of implantation conditions may improve the quality of the DLC layer.

  13. Bio-functionalisation of polyether ether ketone using plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Wakelin, Edgar; Yeo, Giselle; Kondyurin, Alexey; Davies, Michael; McKenzie, David; Weiss, Anthony; Bilek, Marcela

    2015-12-01

    Plasma immersion ion implantation (PIII) is used here to improve the surface bioactivity of polyether ether ketone (PEEK) by modifying the chemical and mechanical properties and by introducing radicals. Modifications to the chemical and mechanical properties are characterised as a function of ion fluence (proportional to treatment time) to determine the suitability of the treated surfaces for biological applications. Radical generation increases with treatment time, where treatments greater than 400 seconds result in a high concentration of long-lived radicals. Radical reactions are responsible for oxidation of the surface, resulting in a permanent increase in the polar surface energy. The nano-scale reduced modulus was found to increase with treatment time at the surface from 4.4 to 5.2 GPa. The macromolecular Young's modulus was also found to increase, but by an amount corresponding to the volume fraction of the ion implanted region. The treated surface layer exhibited cracking under cyclical loads, associated with an increased modulus due to dehydrogenation and crosslinking, however it did not show any sign of delamination, indicating that the modified layer is well integrated with the substrate - a critical factor for bioactive surface coatings to be used in-vivo. Protein immobilisation on the PIII treated surfaces was found to saturate after 240 seconds of treatment, indicating that there is room to tune surface mechanical properties for specific applications without affecting the protein coverage. Our findings indicate that the modification of the chemical and mechanical properties by PIII treatments as well as the introduction of radicals render PEEK well suited for use in orthopaedic implantable devices.

  14. Two-dimensional particle-in-cell plasma source ion implantation of a prolate spheroid target

    NASA Astrophysics Data System (ADS)

    Liu, Cheng-Sen; Han, Hong-Ying; Peng, Xiao-Qing; Chang, Ye; Wang, De-Zhen

    2010-03-01

    A two-dimensional particle-in-cell simulation is used to study the time-dependent evolution of the sheath surrounding a prolate spheroid target during a high voltage pulse in plasma source ion implantation. Our study shows that the potential contour lines pack more closely in the plasma sheath near the vertex of the major axis, i.e. where a thinner sheath is formed, and a non-uniform total ion dose distribution is incident along the surface of the prolate spheroid target due to the focusing of ions by the potential structure. Ion focusing takes place not only at the vertex of the major axis, where dense potential contour lines exist, but also at the vertex of the minor axis, where sparse contour lines exist. This results in two peaks of the received ion dose, locating at the vertices of the major and minor axes of the prolate spheroid target, and an ion dose valley, staying always between the vertices, rather than at the vertex of the minor axis.

  15. Ion behaviour in pulsed plasma regime by means of Time-resolved energy mass spectroscopy (TREMS) applied to an industrial radiofrequency Plasma Immersion Ion Implanter PULSION registered

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carrere, M.; Kaeppelin, V.; Torregrosa, F.

    2006-11-13

    In order to face the requirements for P+/N junctions requested for < 45 nm ITRS nodes, new doping techniques are studied. Among them Plasma Immersion Ion Implantation (PIII) has been largely studied. IBS has designed and developed its own PIII machine named PULSION registered . This machine is using a pulsed plasma. As other modem technological applications of low pressure plasma, PULSION registered needs a precise control over plasma parameters in order to optimise process characteristics. In order to improve pulsed plasma discharge devoted to PIII, a nitrogen pulsed plasma has been studied in the inductively coupled plasma (ICP) ofmore » PULSION registered and an argon pulsed plasma has been studied in the helicon discharge of the laboratory reactor of LPIIM (PHYSIS). Measurements of the Ion Energy Distribution Function (IEDF) with EQP300 (Hidden) have been performed in both pulsed plasma. This study has been done for different energies which allow to reconstruct the IEDF resolved in time (TREMS). By comparing these results, we found that the beginning of the plasma pulse, named ignition, exhaust at least three phases, or more. All these results allowed us to explain plasma dynamics during the pulse while observing transitions between capacitive and inductive coupling. This study leads in a better understanding of changes in discharge parameters as plasma potential, electron temperature, ion density.« less

  16. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    NASA Astrophysics Data System (ADS)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  17. Experimental studies of thorium ion implantation from pulse laser plasma into thin silicon oxide layers

    NASA Astrophysics Data System (ADS)

    Borisyuk, P. V.; Chubunova, E. V.; Lebedinskii, Yu Yu; Tkalya, E. V.; Vasilyev, O. S.; Yakovlev, V. P.; Strugovshchikov, E.; Mamedov, D.; Pishtshev, A.; Karazhanov, S. Zh

    2018-05-01

    We report the results of experimental studies related to implantation of thorium ions into thin silicon dioxide by pulsed plasma flux expansion. Thorium ions were generated by laser ablation from a metal target, and the ionic component of the laser plasma was accelerated in an electric field created by the potential difference (5, 10 and 15 kV) between the ablated target and a SiO2/Si (0 0 1) sample. The laser ablation system installed inside the vacuum chamber of the electron spectrometer was equipped with a YAG:Nd3  +  laser having a pulse energy of 100 mJ and time duration of 15 ns in the Q-switched regime. The depth profile of thorium atoms implanted into the 10 nm thick subsurface areas together with their chemical state as well as the band gap of the modified silicon oxide at different conditions of implantation processes were studied by means of x-ray photoelectron spectroscopy and reflected electron energy loss spectroscopy methods. Analysis of the chemical composition showed that the modified silicon oxide film contains complex thorium silicates. Depending on the local concentration of thorium atoms, the experimentally established band gaps were located in the range 6.0–9.0 eV. Theoretical studies of the optical properties of the SiO2 and ThO2 crystalline systems were performed by ab initio calculations within hybrid functional. The optical properties of the SiO2/ThO2 composite were interpreted on the basis of the Bruggeman effective medium approximation. A quantitative assessment of the yield of isomeric nuclei in ‘hot’ laser plasma at the early stages of expansion was performed. The estimates made with experimental results demonstrated that the laser implantation of thorium ions into the SiO2 matrix can be useful for further research of low-lying isomeric transitions in a 229Th isotope with energy of 7.8 +/- 0.5 eV.

  18. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication.

    PubMed

    Wang, Langping; Huang, Lei; Xie, Zhiwen; Wang, Xiaofeng; Tang, Baoyin

    2008-02-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder.

  19. Enhanced retained dose uniformity in NiTi spinal correction rod treated by three-dimensional mesh-assisted nitrogen plasma immersion ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Q. Y.; Hu, T.; Kwok, Dixon T. K.

    2010-05-15

    Owing to the nonconformal plasma sheath in plasma immersion ion implantation of a rod sample, the retained dose can vary significantly. The authors propose to improve the implant uniformity by introducing a metal mesh. The depth profiles obtained with and without the mesh are compared and the implantation temperature at various locations is evaluated indirectly by differential scanning calorimeter. Our results reveal that by using the metal mesh, the retained dose uniformity along the length is greatly improved and the effects of the implantation temperature on the localized mechanical properties of the implanted NiTi shape memory alloy rod are nearlymore » negligible.« less

  20. Conversion electron Mössbauer spectroscopy of plasma immersion ion implanted H13 tool steel

    NASA Astrophysics Data System (ADS)

    Terwagne, G.; Collins, G. A.; Hutchings, R.

    1994-12-01

    Conversion electron Mössbauer spectroscopy (CEMS) has been used to investigate nitride formation in AISI-H13 tool steel after treatment by plasma immersion ion implantation (PI3) at 350 °C. With only slight variation in the plasma conditions, it is possible to influence the kinetics of nitride precipitation so as to obtain nitrogen concentrations that range from those associated with ɛ-Fe2N through ɛ-Fe3N to γ'-Fe4N. The CEMS results enable a more definite identification of the nitrides than that obtained by glancing-angle X-ray diffraction and nuclear reaction analysis alone.

  1. Surface modification of biomaterials using plasma immersion ion implantation and deposition

    PubMed Central

    Lu, Tao; Qiao, Yuqin; Liu, Xuanyong

    2012-01-01

    Although remarkable progress has been made on biomaterial research, the ideal biomaterial that satisfies all the technical requirements and biological functions is not available up to now. Surface modification seems to be a more economic and efficient way to adjust existing conventional biomaterials to meet the current and ever-evolving clinical needs. From an industrial perspective, plasma immersion ion implantation and deposition (PIII&D) is an attractive method for biomaterials owing to its capability of treating objects with irregular shapes, as well as the control of coating composition. It is well acknowledged that the physico-chemical characteristics of biomaterials are the decisive factors greatly affecting the biological responses of biomaterials including bioactivity, haemocompatibility and antibacterial activity. Here, we mainly review the recent advances in surface modification of biomaterials via PIII&D technology, especially titanium alloys and polymers used for orthopaedic, dental and cardiovascular implants. Moreover, the variations of biological performances depending on the physico-chemical properties of modified biomaterials will be discussed. PMID:23741609

  2. Determination of Ni Release in NiTi SMA with Surface Modification by Nitrogen Plasma Immersion Ion Implantation

    NASA Astrophysics Data System (ADS)

    de Camargo, Eliene Nogueira; Oliveira Lobo, Anderson; Silva, Maria Margareth Da; Ueda, Mario; Garcia, Edivaldo Egea; Pichon, Luc; Reuther, Helfried; Otubo, Jorge

    2011-07-01

    NiTi SMA is a promising material in the biomedical area due to its mechanical properties and biocompatibility. However, the nickel in the alloy may cause allergic and toxic reactions and thus limiting its applications. It was evaluated the influence of surface modification in NiTi SMA by nitrogen plasma immersion ion implantation (varying temperatures, and exposure time as follows: <250 °C/2 h, 290 °C/2 h, and 560 °C/1 h) in the amount of nickel released using immersion test in simulated body fluid. The depth of the nitrogen implanted layer increased as the implantation temperature increased resulting in the decrease of nickel release. The sample implanted in high implantation temperature presented 35% of nickel release reduction compared to reference sample.

  3. High-intensity low energy titanium ion implantation into zirconium alloy

    NASA Astrophysics Data System (ADS)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  4. Surface, electrical and mechanical modifications of PMMA after implantation with laser produced iron plasma ions

    NASA Astrophysics Data System (ADS)

    Ahmed, Qazi Salman; Bashir, Shazia; Jalil, Sohail Abdul; Shabbir, Muhammad Kaif; Mahmood, Khaliq; Akram, Mahreen; Khalid, Ayesha; Yaseen, Nazish; Arshad, Atiqa

    2016-07-01

    Laser Produced Plasma (LPP) was employed as an ion source for the modifications in surface, electrical and mechanical properties of poly methyl (methacrylate) PMMA. For this purpose Nd:YAG laser (532 nm, 6 ns, 10 Hz) at a fluence of 12.7 J/cm2 was employed to generate Fe plasma. The fluence and energy measurements of laser produced Fe plasma ions were carried out by employing Thomson Parabola Technique in the presence of magnetic field strength of 0.5 T, using CR-39 as Solid State Nuclear Track Detector (SSNTD). It has been observed that ion fluence ejecting from ablated plasma was maximum at an angle of 5° with respect to the normal to the Fe target surface. PMMA substrates were irradiated with Fe ions of constant energy of 0.85 MeV at various ion fluences ranging from 3.8 × 106 ions/cm2 to 1.8 × 108 ions/cm2 controlled by varying laser pulses from 3000 to 7000. Optical microscope and Scanning Electron Microscope (SEM) were utilized for the analysis of surface features of irradiated PMMA. Results depicted the formation of chain scission, crosslinking, dendrites and star like structures. To explore the electrical behavior, four probe method was employed. The electrical conductivity of ion irradiated PMMA was increased with increasing ion fluence. The surface hardness was measured by shore D hardness tester and results showed the monotonous increment in surface hardness with increasing ion fluence. The increasing trend of surface hardness and electrical conductivity with increasing Fe ion fluence has been well correlated with the surface morphology of ion implanted PMMA. The temperature rise of PMMA surface due to Fe ion irradiation is evaluated analytically and comes out to be in the range of 1.72 × 104 to 1.82 × 104 K. The values of total Linear Energy Transfer (LET) or stopping power of 0.8 MeV Fe ions in PMMA is 61.8 eV/Å and their range is 1.34 μm evaluated by SRIM simulation.

  5. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    PubMed

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  6. Low-energy plasma immersion ion implantation to induce DNA transfer into bacterial E. coli

    NASA Astrophysics Data System (ADS)

    Sangwijit, K.; Yu, L. D.; Sarapirom, S.; Pitakrattananukool, S.; Anuntalabhochai, S.

    2015-12-01

    Plasma immersion ion implantation (PIII) at low energy was for the first time applied as a novel biotechnology to induce DNA transfer into bacterial cells. Argon or nitrogen PIII at low bias voltages of 2.5, 5 and 10 kV and fluences ranging from 1 × 1012 to 1 × 1017 ions/cm2 treated cells of Escherichia coli (E. coli). Subsequently, DNA transfer was operated by mixing the PIII-treated cells with DNA. Successes in PIII-induced DNA transfer were demonstrated by marker gene expressions. The induction of DNA transfer was ion-energy, fluence and DNA-size dependent. The DNA transferred in the cells was confirmed functioning. Mechanisms of the PIII-induced DNA transfer were investigated and discussed in terms of the E. coli cell envelope anatomy. Compared with conventional ion-beam-induced DNA transfer, PIII-induced DNA transfer was simpler with lower cost but higher efficiency.

  7. Homojunction silicon solar cells doping by ion implantation

    NASA Astrophysics Data System (ADS)

    Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric

    2017-10-01

    Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.

  8. DBR laser with nondynamic plasma grating formed by focused ion beam implanted dopants

    NASA Technical Reports Server (NTRS)

    Boenke, Myra M.; Wu, M. C.; Wang, Shyh; Clark, William M., Jr.; Stevens, Eugene H.

    1989-01-01

    A static plasma grating has been demonstrated experimentally (Wu et al., 1988) in a large-optical-cavity focused-ion-beam-distributed-Bragg-reflector (FIB-DBR) GaAlAs/GaAs laser diode. The grating is formed by implanting stripes of dopants with a focused ion beam. The dopants ionize to form periodic fluctuations in the carrier concentration which, through the Kramers-Kronig relations, form an index grating. A model of the grating strength for optimizaton of the laser design is developed and presented. The computed results show that the coupling coefficient k can be increased by more than an order of magnitude over the 15/cm experimentally. Therefore, FIB-DBR or FIB-distributed-feedback (DFB) lasers with performance comparable to that of conventional DBR (or DFB) lasers can be expected.

  9. Low-cost plasma immersion ion implantation doping for Interdigitated back passivated contact (IBPC) solar cells

    DOE PAGES

    Young, David L.; Nemeth, William; LaSalvia, Vincenzo; ...

    2016-06-01

    Here, we present progress to develop low-cost interdigitated back contact solar cells with pc-Si/SiO 2/c-Si passivated contacts formed by plasma immersion ion implantation (PIII). PIII is a lower-cost implantation technique than traditional beam line implantation due to its simpler design, lower operating costs, and ability to run high doses (1E14-1E18 cm -2) at low ion energies (20 eV-10 keV). These benefits make PIII ideal for high throughput production of patterned passivated contacts, where high-dose, low-energy implantations are made into thin (20-200 nm) a-Si layers instead of into the wafer itself. For this work symmetric passivated contact test structures (~100 nmmore » thick) grown on n-Cz wafers with pH3 PIII doping gave implied open circuit voltage (iV oc) values of 730 mV with J o values of 2 fA/cm 2. Samples doped with B 2H 6 gave iV oc values of 690 mV and J o values of 24 fA/cm 2, outperforming BF 3 doping, which gave iV oc values in the 660-680 mV range. Samples were further characterized by SIMS, photoluminescence, TEM, EELS, and post-metallization TLM to reveal micro- and macro-scopic structural, chemical and electrical information.« less

  10. Improved corrosion resistance on biodegradable magnesium by zinc and aluminum ion implantation

    NASA Astrophysics Data System (ADS)

    Xu, Ruizhen; Yang, Xiongbo; Suen, Kai Wong; Wu, Guosong; Li, Penghui; Chu, Paul K.

    2012-12-01

    Magnesium and its alloys have promising applications as biodegradable materials, and plasma ion implantation can enhance the corrosion resistance by modifying the surface composition. In this study, suitable amounts of zinc and aluminum are plasma-implanted into pure magnesium. The surface composition, phases, and chemical states are determined, and electrochemical tests and electrochemical impedance spectroscopy (EIS) are conducted to investigate the surface corrosion behavior and elucidate the mechanism. The corrosion resistance enhancement after ion implantation is believed to stem from the more compact oxide film composed of magnesium oxide and aluminum oxide as well as the appearance of the β-Mg17Al12 phase.

  11. Ultrahigh-current-density metal-ion implantation and diamondlike-hydrocarbon films for tribological applications

    NASA Astrophysics Data System (ADS)

    Wilbur, P. J.

    1993-09-01

    The metal-ion-implantation system used to implant metals into substrates are described. The metal vapor required for operation is supplied by drawing sufficient electron current from the plasma discharge to an anode-potential crucible so a solid, pure metal placed in the crucible will be heated to the point of vaporization. The ion-producing, plasma discharge is initiated within a graphite-ion-source body, which operates at high temperature, by using an argon flow that is turned off once the metal vapor is present. Extraction of ion beams several cm in diameter at current densities ranging to several hundred micro-A/sq cm on a target 50 cm downstream of the ion source were demonstrated using Mg, Ag, Cr, Cu, Si, Ti, V, B, and Zr. These metals were implanted into over 100 substrates (discs, pins, flats, wires). A model describing thermal stresses induced in materials (e.g. ceramic plates) during high-current-density implantation is presented. Tribological and microstructural characteristics of iron and 304-stainless-steel samples implanted with Ti or B are examined. Diamondlike-hydrocarbon coatings were applied to steel surfaces and found to exhibit good tribological performance.

  12. Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.

    2005-05-01

    Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances andmore » surface mechanical properties and possible mechanisms are suggested.« less

  13. Physical and Tribological Characteristics of Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Heidger, S.; Korenyi-Both, A. L.; Jayne, D. T.; Herrera-Fierro, P.; Shogrin, B.; Wilbur, P. J.; Wu, R. L. C.; Garscadden, A.; Barnes, P. N.

    1994-01-01

    Unidirectional sliding friction experiments were conducted with a natural, polished diamond pin in contact with both as-deposited and carbon-ion-implanted diamond films in ultrahigh vacuum. Diamond films were deposited on silicon, silicon carbide, and silicon nitride by microwave-plasma-assisted chemical vapor deposition. The as-deposited diamond films were impacted with carbon ions at an accelerating energy of 60 keV and a current density of 50 micron A/cm(exp 2) for approximately 6 min, resulting in a dose of 1.2 x 10(exp 17) carbon ions/cm(exp 2). The results indicate that the carbon ion implantation produced a thin surface layer of amorphous, nondiamond carbon. The nondiamond carbon greatly decreased both friction and wear of the diamond films. The coefficients of friction for the carbon-ion-implanted, fine-grain diamond films were less than 0.1, factors of 20 to 30 lower than those for the as-deposited, fine-grain diamond films. The coefficients of friction for the carbon-ion-implanted, coarse-grain diamond films were approximately 0.35, a factor of five lower than those for the as-deposited, coarse-grain diamond films. The wear rates for the carbon-ion-implanted, diamond films were on the order of 10(exp -6) mm(exp 3)/Nm, factors of 30 to 80 lower than that for the as-deposited diamond films, regardless of grain size. The friction of the carbon-ion-implanted diamond films was greatly reduced because the amorphous, nondiamond carbon, which had a low shear strength, was restricted to the surface layers (less than 0.1 micron thick) and because the underlying diamond materials retained their high hardness. In conclusion, the carbon-ion-implanted, fine-grain diamond films can be used effectively as wear resistant, self-lubricating coatings for ceramics, such as silicon nitride and silicon carbide, in ultrahigh vacuum.

  14. Plasma immersion ion implantation of polyurethane shape memory polymer: Surface properties and protein immobilization

    NASA Astrophysics Data System (ADS)

    Cheng, Xinying; Kondyurin, Alexey; Bao, Shisan; Bilek, Marcela M. M.; Ye, Lin

    2017-09-01

    Polyurethane-type shape memory polymers (SMPU) are promising biomedical implant materials due to their ability to recover to a predetermined shape from a temporary shape induced by thermal activation close to human body temperature and their advantageous mechanical properties including large recovery strains and low recovery stresses. Plasma Immersion Ion Implantation (PIII) is a surface modification process using energetic ions that generates radicals in polymer surfaces leading to carbonisation and oxidation and the ability to covalently immobilise proteins without the need for wet chemistry. Here we show that PIII treatment of SMPU significantly enhances its bioactivity making SMPU suitable for applications in permanent implantable biomedical devices. Scanning Electron Microscopy (SEM), contact angle measurements, surface energy measurements, attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) were used to characterise the PIII modified surface, including its after treatment aging kinetics and its capability to covalently immobilise protein directly from solution. The results show a substantial improvement in wettability and dramatic changes of surface chemical composition dependent on treatment duration, due to the generation of radicals and subsequent oxidation. The SMPU surface, PIII treated for 200s, achieved a saturated level of covalently immobilized protein indicating that a full monolayer coverage was achieved. We conclude that PIII is a promising and efficient surface modification method to enhance the biocompatibility of SMPU for use in medical applications that demand bioactivity for tissue integration and stability in vivo.

  15. Characterization and Performance of a High-Current-Density Ion Implanter with Magnetized Hollow-Cathode Plasma Source

    NASA Astrophysics Data System (ADS)

    Falkenstein, Zoran; Rej, Donald; Gavrilov, Nikolai

    1998-10-01

    In a collaboration between the Institute of Electrophysics (IEP) and the Los Alamos National Laboratory (LANL), the IEP has developed an industrial scalable, high-power, large-area ion source for the surface modification of materials. The plasma source of the ion beam source can be described as a pulsed glow discharge with a cold, hollow-cathode in a weak magnetic field. Extraction and focusing of positive ions by an acceleration and ion-optical plate system renders the generation of a homogeneous, large-area ion beam with an averaged total ion current of up to 50 mA at acceleration voltages of up to 50 kV. The principle set-up of the ion beam source as well as some electrical characteristics (gas discharge current and the extracted ion beam current) are presented for a lab-scale prototype. Measurements of the radial ion current density profiles within the ion beam for various discharge parameters, as well as results on surface modification by ion implantation of nitrogen into aluminum and chromium are presented. Finally, a comparison of the applied ion dose with the retained ion doses is given.

  16. Electrical enhancement of direct methanol fuel cells by metal-plasma ion implantation Pt-Ru/C multilayer catalysts.

    PubMed

    Weng, Ko-Wei; Chen, Yung-Lin; Chen, Ya-Chi; Lin, Tai-Nan

    2009-02-01

    Direct methanol fuel cells (DMFC) have been widely studied owing to their simple cell configuration, high volume energy density, short start-up time, high operational reliability and other favorable characteristics. However, major limitations include high production cost, poisoning of the catalyst and methanol crossover. This study adopts a simple technique for preparing Pt-Ru/C multilayer catalysts, including magnetron sputtering (MS) and metal-plasma ion implantation (MPII). The Pt catalysts were sputtered onto the gas diffusion layer (GDL), followed by the implantation of Ru catalysts using MPII (at an accelerating voltage of 20 kV and an implantation dose of 1 x 10(16) ions/cm2). Pt-Ru is repeatedly processed to prepare Pt-Ru/C multilayer catalysts. The catalyst film structure and microstructure were analyzed by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and scanning electronic microscopy (SEM), respectively. The cell performance was tested using a potential stat/galvano-stat. The results reveal that the membrane electrode assembly (MEA) of four multilayer structures enhances the cell performance of DMFC. The measured power density is 2.2 mW/cm2 at a methanol concentration of 2 M, with an OCV of 0.493 V.

  17. A Cell-Adhesive Plasma Polymerized Allylamine Coating Reduces the In Vivo Inflammatory Response Induced by Ti6Al4V Modified with Plasma Immersion Ion Implantation of Copper

    PubMed Central

    Walschus, Uwe; Hoene, Andreas; Patrzyk, Maciej; Lucke, Silke; Finke, Birgit; Polak, Martin; Lukowski, Gerold; Bader, Rainer; Zietz, Carmen; Podbielski, Andreas; Nebe, J. Barbara; Schlosser, Michael

    2017-01-01

    Copper (Cu) could be suitable to create anti-infective implants based on Titanium (Ti), for example by incorporating Cu into the implant surface using plasma immersion ion implantation (Cu-PIII). The cytotoxicity of Cu might be circumvented by an additional cell-adhesive plasma polymerized allylamine film (PPAAm). Thus, this study aimed to examine in vivo local inflammatory reactions for Ti6Al4V implants treated with Cu-PIII (Ti-Cu), alone or with an additional PPAAm film (Ti-Cu-PPAAm), compared to untreated implants (Ti). Successful Cu-PIII and PPAAm treatment was confirmed with X-ray Photoelectron Spectroscopy. Storage of Ti-Cu and Ti-Cu-PPAAm samples in double-distilled water for five days revealed a reduction of Cu release by PPAAm. Subsequently, Ti, Ti-Cu and Ti-Cu-PPAAm samples were simultaneously implanted into the neck musculature of 24 rats. After 7, 14 and 56 days, peri-implant tissue was retrieved from 8 rats/day for morphometric immunohistochemistry of different inflammatory cells. On day 56, Ti-Cu induced significantly stronger reactions compared to Ti (tissue macrophages, antigen-presenting cells, T lymphocytes) and to Ti-Cu-PPAAm (tissue macrophages, T lymphocytes, mast cells). The response for Ti-Cu-PPAAm was comparable with Ti. In conclusion, PPAAm reduced the inflammatory reactions caused by Cu-PIII. Combining both plasma processes could be useful to create antibacterial and tissue compatible Ti-based implants. PMID:28726761

  18. Multiple Ion Implantation Effects on Wear and Wet Ability of Polyethylene Based Polymers

    NASA Astrophysics Data System (ADS)

    Torrisi, L.; Visco, A. M.; Campo, N.

    2004-10-01

    Polyethylene based polymers were ion implanted with multiple irradiations of different ions (N+, Ar+ and Kr+) at energies between 30 keV and 300 keV and doses ranging between 1013 and 1016 ions/cm2. The ion implantation dehydrogenises the polyethylene inducing cross-link effects in the residual polymer carbons. At high doses the irradiated surface show properties similar to graphite surfaces. The depth of the modified layers depends on the ion range in polyethylene at the incident ion energy. The chemical modification depends on the implanted doses and on the specie of the incident ions. A "pin-on-disc" machine was employed to measure the polymer wear against AISI-316 L stainless steel. A "contact-angle-test" machine was employed to measure the wet ability of the polymer surface for 1 μl pure water drop. Measurements demonstrate that the multiple ion implantation treatments decrease the surface wear and the surface wetting and produce a more resistant polymer surface. The properties of the treated surfaces improves the polymer functionality for many bio-medical applications, such as those relative to the polyethylene friction discs employed in knee and hip prosthesis joints. The possibility to use multiply ion implantations of polymers with traditional ion implanters and with laser ion sources producing plasmas is investigated.

  19. Improvements of anti-corrosion and mechanical properties of NiTi orthopedic materials by acetylene, nitrogen and oxygen plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Poon, Ray W. Y.; Ho, Joan P. Y.; Liu, Xuanyong; Chung, C. Y.; Chu, Paul K.; Yeung, Kelvin W. K.; Lu, William W.; Cheung, Kenneth M. C.

    2005-08-01

    Nickel-titanium shape memory alloys (NiTi) are useful materials in orthopedics and orthodontics due to their unique super-elasticity and shape memory effects. However, the problem associated with the release of harmful Ni ions to human tissues and fluids has been raising safety concern. Hence, it is necessary to produce a surface barrier to impede the out-diffusion of Ni ions from the materials. We have conducted acetylene, nitrogen and oxygen plasma immersion ion implantation (PIII) into NiTi alloys in an attempt to improve the surface properties. All the implanted and annealed samples surfaces exhibit outstanding corrosion and Ni out-diffusion resistance. Besides, the implanted layers are mechanically stronger than the substrate underneath. XPS analyses disclose that the layer formed by C2H2 PIII is composed of mainly TiCx with increasing Ti to C concentration ratios towards the bulk. The nitrogen PIII layer is observed to be TiN, whereas the oxygen PIII layer is composed of oxides of Ti4+, Ti3+ and Ti2+.

  20. An experiment on the dynamics of ion implantation and sputtering of surfaces

    NASA Astrophysics Data System (ADS)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B.

    2014-02-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  1. An experiment on the dynamics of ion implantation and sputtering of surfaces.

    PubMed

    Wright, G M; Barnard, H A; Kesler, L A; Peterson, E E; Stahle, P W; Sullivan, R M; Whyte, D G; Woller, K B

    2014-02-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  2. Plasma immersion ion implantation (and deposition) inside metallic tubes of different dimensions and configurations

    NASA Astrophysics Data System (ADS)

    Ueda, M.; Silva, C.; Santos, N. M.; Souza, G. B.

    2017-10-01

    There is a strong need for developing methods to coat or implant ions inside metallic tubes for many practical contemporary applications, both for industry and science. Therefore, stainless steel tubes with practical diameters of 4, 11 and 16 cm, but short lengths of 20 cm, were internally treated by nitrogen plasma immersion ion implantation (PIII). Different configurations as tube with lid in one of the ends or both sides open were tested for better PIII performance, in the case of smallest diameter tube. Among these PIII tests in tubes, using the 4 cm diameter one with a lid, it was possible to achieve tube temperatures of more than 700 °C in 15 min and maintain it during the whole treatment time (typically 2 h). Samples made of different materials were placed at the interior of the tube, as the monitors for posterior analysis, and the tube was solely pulsed by high voltage pulser producing high voltage glow discharge and hollow cathode discharge both driven by a moderate power source. In this experiment, samples of SS 304, pure Ti, Ti6Al4V and Si were used for the tests of the above methods. Results on the analysis of the surface of these nitrogen PIII treated materials, as well as on their processing methods, are presented and discussed in the paper.

  3. System design and operation of a 100 kilovolt, 2 kilohertz pulse modulator for plasma source ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reass, W.A.

    1994-07-01

    This paper describes the electrical design and operation of a high power modulator system implemented for the Los Alamos Plasma Source Ion Implantation (PSII) facility. To test the viability of the PSII process for various automotive components, the modulator must accept wide variations of load impedance. Components have varying area and composition which must be processed with different plasmas. Additionally, the load impedance may change by large factors during the typical 20 uS pulse, due to plasma displacement currents and sheath growth. As a preliminary design to test the system viability for automotive component implantation, suitable for a manufacturing environment,more » circuit topology must be able to directly scale to high power versions, for increased component through-put. We have chosen an evolutionary design approach with component families of characterized performance, which should Ion result in a reliable modulator system with component lifetimes. The modulator utilizes a pair of Litton L-3408 hollow beam amplifier tubes as switching elements in a ``hot-deck`` configuration. Internal to the main of planar triode hot deck, an additional pair decks, configured in a totem pole circuit, provide input drive to the L-3408 mod-anodes. The modulator can output over 2 amps average current (at 100 kV) with 1 kW of modanode drive. Diagnostic electronics monitor the load and stops pulses for 100 mS when a load arcs occur. This paper, in addition to providing detailed engineering design information, will provide operational characteristics and reliability data that direct the design to the higher power, mass production line capable modulators.« less

  4. Oxygen plasma immersion ion implantation treatment to enhance data retention of tungsten nanocrystal nonvolatile memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Jer-Chyi, E-mail: jcwang@mail.cgu.edu.tw; Chang, Wei-Cheng; Lai, Chao-Sung, E-mail: cslai@mail.cgu.edu.tw

    Data retention characteristics of tungsten nanocrystal (W-NC) memory devices using an oxygen plasma immersion ion implantation (PIII) treatment are investigated. With an increase of oxygen PIII bias voltage and treatment time, the capacitance–voltage hysteresis memory window is increased but the data retention characteristics become degraded. High-resolution transmission electron microscopy images show that this poor data retention is a result of plasma damage on the tunneling oxide layer, which can be prevented by lowering the bias voltage to 7 kV. In addition, by using the elevated temperature retention measurement technique, the effective charge trapping level of the WO{sub 3} film surrounding themore » W-NCs can be extracted. This measurement reveals that a higher oxygen PIII bias voltage and treatment time induces more shallow traps within the WO{sub 3} film, degrading the retention behavior of the W-NC memory.« less

  5. Magnesium ion implantation on a micro/nanostructured titanium surface promotes its bioactivity and osteogenic differentiation function

    PubMed Central

    Wang, Guifang; Li, Jinhua; Zhang, Wenjie; Xu, Lianyi; Pan, Hongya; Wen, Jin; Wu, Qianju; She, Wenjun; Jiao, Ting; Liu, Xuanyong; Jiang, Xinquan

    2014-01-01

    As one of the important ions associated with bone osseointegration, magnesium was incorporated into a micro/nanostructured titanium surface using a magnesium plasma immersion ion-implantation method. Hierarchical hybrid micro/nanostructured titanium surfaces followed by magnesium ion implantation for 30 minutes (Mg30) and hierarchical hybrid micro/nanostructured titanium surfaces followed by magnesium ion implantation for 60 minutes (Mg60) were used as test groups. The surface morphology, chemical properties, and amount of magnesium ions released were evaluated by field-emission scanning electron microscopy, energy dispersive X-ray spectroscopy, field-emission transmission electron microscopy, and inductively coupled plasma-optical emission spectrometry. Rat bone marrow mesenchymal stem cells (rBMMSCs) were used to evaluate cell responses, including proliferation, spreading, and osteogenic differentiation on the surface of the material or in their medium extraction. Greater increases in the spreading and proliferation ability of rBMMSCs were observed on the surfaces of magnesium-implanted micro/nanostructures compared with the control plates. Furthermore, the osteocalcin (OCN), osteopontin (OPN), and alkaline phosphatase (ALP) genes were upregulated on both surfaces and in their medium extractions. The enhanced cell responses were correlated with increasing concentrations of magnesium ions, indicating that the osteoblastic differentiation of rBMMSCs was stimulated through the magnesium ion function. The magnesium ion-implanted micro/nanostructured titanium surfaces could enhance the proliferation, spreading, and osteogenic differentiation activity of rBMMSCs, suggesting they have potential application in improving bone-titanium integration. PMID:24940056

  6. Ion-Implanted Diamond Films and Their Tribological Properties

    NASA Technical Reports Server (NTRS)

    Wu, Richard L. C.; Miyoshi, Kazuhisa; Korenyi-Both, Andras L.; Garscadden, Alan; Barnes, Paul N.

    1993-01-01

    This paper reports the physical characterization and tribological evaluation of ion-implanted diamond films. Diamond films were produced by microwave plasma, chemical vapor deposition technique. Diamond films with various grain sizes (0.3 and 3 microns) and roughness (9.1 and 92.1 nm r.m.s. respectively) were implanted with C(+) (m/e = 12) at an ion energy of 160 eV and a fluence of 6.72 x 10(exp 17) ions/sq cm. Unidirectional sliding friction experiments were conducted in ultrahigh vacuum (6.6 x 10(exp -7)Pa), dry nitrogen and humid air (40% RH) environments. The effects of C(+) ion bombardment on fine and coarse-grained diamond films are as follows: the surface morphology of the diamond films did not change; the surface roughness increased (16.3 and 135.3 nm r.m.s.); the diamond structures were damaged and formed a thin layer of amorphous non-diamond carbon; the friction coefficients dramatically decreased in the ultrahigh vacuum (0.1 and 0.4); the friction coefficients decreased slightly in the dry nitrogen and humid air environments.

  7. Ion radiation albedo effect: influence of surface roughness on ion implantation and sputtering of materials

    NASA Astrophysics Data System (ADS)

    Li, Yonggang; Yang, Yang; Short, Michael P.; Ding, Zejun; Zeng, Zhi; Li, Ju

    2017-01-01

    In fusion devices, ion retention and sputtering of materials are major concerns in the selection of compatible plasma-facing materials (PFMs), especially in the context of their microstructural conditions and surface morphologies. We demonstrate how surface roughness changes ion implantation and sputtering of materials under energetic ion irradiation. Using a new, sophisticated 3D Monte Carlo (MC) code, IM3D, and a random rough surface model, ion implantation and the sputtering yields of tungsten (W) with a surface roughness varying between 0-2 µm have been studied for irradiation by 0.1-1 keV D+, He+ and Ar+ ions. It is found that both ion backscattering and sputtering yields decrease with increasing roughness; this is hereafter called the ion radiation albedo effect. This effect is mainly dominated by the direct, line-of-sight deposition of a fraction of emitted atoms onto neighboring asperities. Backscattering and sputtering increase with more oblique irradiation angles. We propose a simple analytical formula to relate rough-surface and smooth-surface results.

  8. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    NASA Astrophysics Data System (ADS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  9. Retention of neon in graphite after ion beam implantation or exposures to the scrape-off layer plasma in the TEXTOR tokamak

    NASA Astrophysics Data System (ADS)

    Kim, Y. M.; Philipps, V.; Rubel, M.; Vietzke, E.; Pospieszczyk, A.; Unterberg, B.; Jaspers, R.

    2002-01-01

    The interaction of neon ions with graphite was investigated for targets either irradiated with ion beams (2-10 keV range) or exposed to the scrape-off layer plasma in the TEXTOR tokamak during discharges with neon edge cooling. The emphasis was on the influence of the target temperature (300-1200 K) and the implantation dose on the neon retention and reemission. The influence of deuterium impact on the retention of neon implanted into graphite has also been addressed. In ion beam experiments saturation is observed above a certain ion dose with a saturation level, which decreases with increasing target temperature. The temperature dependence of the thermal desorption corresponds to an apparent binding energy of about 2.06 eV. The retention of neon (CNe/CC) decreases with increasing ion energy with values from 0.55 to 0.15 following irradiation with 2 and 10 keV ions, respectively. The reemission yield during the irradiation increases with target temperature and above 1200 K all impinging ions are reemitted instantaneously. The retention densities measured using the sniffer probe at the TEXTOR tokamak are less than 1% of the total neon fluence and are over one order of magnitude smaller than those observed in ion beam experiments. The results are discussed in terms of different process decisive for ion deposition and release under the two experimental conditions.

  10. Bioactivity of plasma implanted biomaterials

    NASA Astrophysics Data System (ADS)

    Chu, Paul K.

    2006-01-01

    Plasma immersion ion implantation and deposition (PIII&D) is an effective technique to enhance the surface bioactivity of materials. In this paper, recent progress made in our laboratory on plasma surface modification of biomedical materials is described. NiTi alloys have unique super-elastic and shape memory properties and are suitable for orthopedic implants but the leaching of toxic Ni may pose health hazards in humans. We have recently investigated the use of acetylene, oxygen and nitrogen PIII&D to prevent out-diffusion of nickel and good results have been obtained. Silicon is the most important material in the microelectronics industry but its surface biocompatibility has not been investigated in details. We have recently performed hydrogen PIII into silicon to improve the surface bioactivity and observed biomimetic growth of apatite on the surface in simulated body fluids. Diamond-like carbon (DLC) is widely used in the industry due to its excellent mechanical properties and chemical inertness and by incorporation of elements such as nitrogen and phosphorus, the surface blood compatibility can be improved. The properties as well as in vitro biological test results are discussed in this article.

  11. Enhanced cytocompatibility and reduced genotoxicity of polydimethylsiloxane modified by plasma immersion ion implantation.

    PubMed

    Tong, Liping; Zhou, Wenhua; Zhao, Yuetao; Yu, Xuefeng; Wang, Huaiyu; Chu, Paul K

    2016-12-01

    Polydimethylsiloxane(PDMS) is a common industrial polymer with advantages such as ease of fabrication, tunable hardness, and other desirable properties, but the basic (-OSi(CH 3 ) 2 -) n structure in PDMS is inherently hydrophobic thereby hampering application to biomedical engineering. In this study, plasma immersion ion implantation (PIII) is conducted on PDMS to improve the biological properties. PIII forms wrinkled "herringbone" patterns and abundant O-containing functional groups on PDMS to alter the surface hydrophilicity. The biocompatibility of the modified PDMS is assessed with Chinese hamster ovarian cells and compared to that of the untreated PDMS. Our results reveal that the PDMS samples after undergoing PIII have better cytocompatibility and lower genotoxicity. PIII which is a non-line-of-sight technique extends the application of PDMS to the biomedical field. Copyright © 2016 Elsevier B.V. All rights reserved.

  12. Plasma immersion ion implantation on 15-5PH stainless steel: influence on fatigue strength and wear resistance

    NASA Astrophysics Data System (ADS)

    Bonora, R.; Cioffi, M. O. H.; Voorwald, H. J. C.

    2017-05-01

    Surface improvement in steels is of great interest for applications in industry. The aim of this investigation is to study the effect of nitrogen ion implantation on the axial fatigue strength and wear resistance of 15-5 PH stainless steel. It is well know that electroplated coatings, which are used to improve abrasive wear and corrosion properties, affects negatively the fatigue strength. It is also important to consider requirements to reduce the use of coated materials with electroplated chromium and cadmium, that produce waste, which is harmful to health and environment. The HVOF (High velocity oxygen fuel) process provides hardness, wear strength and higher fatigue resistance in comparison to electroplated chromium. Plasma immersion ion implantation has been used to enhance the hardness, wear, fatigue and corrosion properties of metals and alloys. In the present research the fatigue life increased twice for 15-5 PH three hours PIII treated in comparison to base material. From the abrasive wear tests a lower pin mass reduction was observed, associated to the superficial treatments. The improvement of fatigue and mechanical performance is attributed to a combination of nitrides phase structure and compressive residual stresses during the PIII treatment.

  13. Very Large Area/Volume Microwave ECR Plasma and Ion Source

    NASA Technical Reports Server (NTRS)

    Foster, John E. (Inventor); Patterson, Michael J. (Inventor)

    2009-01-01

    The present invention is an apparatus and method for producing very large area and large volume plasmas. The invention utilizes electron cyclotron resonances in conjunction with permanent magnets to produce dense, uniform plasmas for long life ion thruster applications or for plasma processing applications such as etching, deposition, ion milling and ion implantation. The large area source is at least five times larger than the 12-inch wafers being processed to date. Its rectangular shape makes it easier to accommodate to materials processing than sources that are circular in shape. The source itself represents the largest ECR ion source built to date. It is electrodeless and does not utilize electromagnets to generate the ECR magnetic circuit, nor does it make use of windows.

  14. Study of reticulated vitreous carbon surface treated by plasma immersion ion implantation for electrodes production

    NASA Astrophysics Data System (ADS)

    Silva, L. L. G.; Conceição, D. A. S.; Oishi, S. S.; Toth, A.; Ueda, M.

    2012-03-01

    RVC samples were treated by nitrogen plasma immersion ion implantation (N-PIII) for electrodes production. High-voltage pulses with amplitudes of -3.0 kV or -10.0 kV were applied to the RVC samples while the treatment time was 10, 20 and 30 min. The samples were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and electrochemical measurements. The SEM images present an apparent enhancement of the surface roughness after the treatment probably due to the surface sputtering during the PIII process. This observation is in agreement with the specific electrochemical surface area (SESA) of RVC electrodes. An increase was observed of the SESA values for the PIII-treated samples compared to the untreated specimen. Some oxygen and nitrogen containing groups were introduced on the RVC surface after the PIII treatment. Both plasma-induced process: the surface roughening and the introduction of the polar species on the RVC surface are beneficial for the RVC electrodes application.

  15. Study of ion-irradiated tungsten in deuterium plasma

    NASA Astrophysics Data System (ADS)

    Khripunov, B. I.; Gureev, V. M.; Koidan, V. S.; Kornienko, S. N.; Latushkin, S. T.; Petrov, V. B.; Ryazanov, A. I.; Semenov, E. V.; Stolyarova, V. G.; Danelyan, L. S.; Kulikauskas, V. S.; Zatekin, V. V.; Unezhev, V. N.

    2013-07-01

    Experimental study aimed at investigation of neutron induced damage influence on fusion reactor plasma facing materials is reported. Displacement damage was produced in tungsten by high-energy helium and carbon ions at 3-10 MeV. The reached level of displacement damage ranged from several dpa to 600 dpa. The properties of the irradiated tungsten were studied in steady-state deuterium plasma on the LENTA linear divertor simulator. Plasma exposures were made at 250 eV of ion energy to fluence 1021-1022 ion/сm2. Erosion dynamics of the damaged layer and deuterium retention were observed. Surface microstructure modifications and important damage of the 5 μm layer shown. Deuterium retention in helium-damaged tungsten (ERD) showed its complex behavior (increase or decrease) depending on implanted helium quantity and the structure of the surface layer.

  16. Structural modifications and corrosion behavior of martensitic stainless steel nitrided by plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Figueroa, C. A.; Alvarez, F.; Zhang, Z.; Collins, G. A.; Short, K. T.

    2005-07-01

    In this work we report a study of the structural modifications and corrosion behavior of martensitic stainless steels (MSS) nitrided by plasma immersion ion implantation (PI3). The samples were characterized by x-ray diffraction, scanning electron microscopy, energy dispersive x-ray spectroscopy, photoemission electron spectroscopy, and potentiodynamic electrochemical measurements. Depending on the PI3 treatment temperature, three different material property trends are observed. At lower implantation temperatures (e.g., 360 °C), the material corrosion resistance is improved and a compact phase of ɛ-(Fe,Cr)3N, without changes in the crystal morphology, is obtained. At intermediate temperatures (e.g., 430 °C), CrN precipitates form principally at grain boundaries, leading to a degradation in the corrosion resistance compared to the original MSS material. At higher temperatures (e.g., 500 °C), the relatively great mobility of the nitrogen and chromium in the matrix induced random precipitates of CrN, transforming the original martensitic phase into α-Fe (ferrite), and causing a further degradation in the corrosion resistance.

  17. BCA-kMC Hybrid Simulation for Hydrogen and Helium Implantation in Material under Plasma Irradiation

    NASA Astrophysics Data System (ADS)

    Kato, Shuichi; Ito, Atsushi; Sasao, Mamiko; Nakamura, Hiroaki; Wada, Motoi

    2015-09-01

    Ion implantation by plasma irradiation into materials achieves the very high concentration of impurity. The high concentration of impurity causes the deformation and the destruction of the material. This is the peculiar phenomena in the plasma-material interaction (PMI). The injection process of plasma particles are generally simulated by using the binary collision approximation (BCA) and the molecular dynamics (MD), while the diffusion of implanted atoms have been traditionally solved by the diffusion equation, in which the implanted atoms is replaced by the continuous concentration field. However, the diffusion equation has insufficient accuracy in the case of low concentration, and in the case of local high concentration such as the hydrogen blistering and the helium bubble. The above problem is overcome by kinetic Monte Carlo (kMC) which represents the diffusion of the implanted atoms as jumps on interstitial sites in a material. In this paper, we propose the new approach ``BCA-kMC hybrid simulation'' for the hydrogen and helium implantation under the plasma irradiation.

  18. Ion implantation of indium gallium arsenide

    NASA Astrophysics Data System (ADS)

    Almonte, Marlene Isabel

    The ternary compound In0.53Ga0.47As, lattice-matched to Inp, is a semiconductor alloy of technological importance for numerous electronic and optoelectronic device applications. One of these applications includes photodiodes to be developed for the 1.3--1.55 mum wavelength range where silica fibers have their lowest optical loss. With a rapid increase in its use there is an essential need to understand the effects of ion implantation of this alloy semiconductor for implant isolation purposes in which highly resistive layers are required. Due to the small band gap (0.75 eV at 300K) of In0.53Ga0.47As, the estimated maximum resistivity is of the order of 1000 O-cm. Implant isolation can be achieved by the implantation of either inert noble gas ions or electrically active ions. Ion bombardment with inert species introduces defects which trap charge carriers. In the case of implant isolation by electrically active ions, the implanted impurities form an electronic level located close to the middle of the bandgap. Studies of the effects of implantation in In0.53Ga0.47 As due to damage by implantation of Ne+ ions and to compensation by implantation of Fe+ ions are reported in this thesis. The former only involves lattice damage related effects while the latter leads to damage and dopant induced compensation. From the Ne+ implantation results it appears that the damage related energy levels in In0.53 Ga0.47M produced by ion bombardment of chemically inactive species, are not sufficiently deep to lead to effective isolation. A higher resistivity of the order of 770 O-cm is achieved with Fe+ implantation, indicating that Fe introduces an energy level deep in the bandgap. The changes in the electrical properties of the layers are correlated to the lattice damage (damage induced effects) and/or the diffusion of the compensating dopants (dopant induced compensation). Structural characterization of the layers is performed with channeling Rutherford Backscattering Spectrometry

  19. Evaluation of stabilization techniques for ion implant processing

    NASA Astrophysics Data System (ADS)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  20. The effect of plasma surface treatment on the bioactivity of titanium implant materials (in vitro)

    PubMed Central

    Abdelrahim, Ramy A.; Badr, Nadia A.; Baroudi, Kusai

    2016-01-01

    Background: The surface of an implantable biomaterial plays a very important role in determining the biocompatibility, osteoinduction, and osteointegration of implants because it is in intimate contact with the host bone and soft tissues. Objective: This study was aimed to assess the effect of plasma surface treatment on the bioactivity of titanium alloy (Ti–6Al–4V). Materials and Methods: Fifteen titanium alloy samples were used in this study. The samples were divided into three groups (with five samples in each group). Five samples were kept untreated and served as control (group A). Another five plasma samples were sprayed for nitrogen ion implantation on their surfaces (group B) and the last five samples were pre-etched with acid before plasma treatment (group C). All the investigated samples were immersed for 7 days in Hank's balanced salt solution (HBSS) which was used as a simulating body fluid (SBF) at pH 7.4 and 37°C. HBSS was renewed every 3 days. The different surfaces were characterized by X-ray diffraction (XRD), Atomic Force Microscopy (AFM), Scanning Electron Microscopy (SEM), Energy-dispersive X-ray spectroscopy (EDXA), and Fourier Transformation Infrared Spectroscopy (FTIR). Results: Nitriding of Ti-alloy samples via plasma nitrogen ion implantation increased the bioactivity of titanium. Moreover, the surface topography affected the chemical structure of the formed apatite. Increasing the surface roughness enhanced the bioactivity of the implant material. Conclusions: Nitridation can be exploited as an effective way to promote the formation of bone-like material on the implant surface. PMID:27011927

  1. Ion implanted dielectric elastomer circuits

    NASA Astrophysics Data System (ADS)

    O'Brien, Benjamin M.; Rosset, Samuel; Anderson, Iain A.; Shea, Herbert R.

    2013-06-01

    Starfish and octopuses control their infinite degree-of-freedom arms with panache—capabilities typical of nature where the distribution of reflex-like intelligence throughout soft muscular networks greatly outperforms anything hard, heavy, and man-made. Dielectric elastomer actuators show great promise for soft artificial muscle networks. One way to make them smart is with piezo-resistive Dielectric Elastomer Switches (DES) that can be combined with artificial muscles to create arbitrary digital logic circuits. Unfortunately there are currently no reliable materials or fabrication process. Thus devices typically fail within a few thousand cycles. As a first step in the search for better materials we present a preliminary exploration of piezo-resistors made with filtered cathodic vacuum arc metal ion implantation. DES were formed on polydimethylsiloxane silicone membranes out of ion implanted gold nano-clusters. We propose that there are four distinct regimes (high dose, above percolation, on percolation, low dose) in which gold ion implanted piezo-resistors can operate and present experimental results on implanted piezo-resistors switching high voltages as well as a simple artificial muscle inverter. While gold ion implanted DES are limited by high hysteresis and low sensitivity, they already show promise for a range of applications including hysteretic oscillators and soft generators. With improvements to implanter process control the promise of artificial muscle circuitry for soft smart actuator networks could become a reality.

  2. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    PubMed

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  3. Ion implantation and diamond-like coatings of aluminum alloys

    NASA Astrophysics Data System (ADS)

    Malaczynski, G. W.; Hamdi, A. H.; Elmoursi, A. A.; Qiu, X.

    1997-04-01

    In an attempt to increase the wear resistance of some key automotive components, General Motors Research and Development Center initiated a study to determine the potential of surface modification as a means of improving the tribological properties of automotive parts, and to investigate the feasibility of mass producing such parts. This paper describes the plasma immersion ion implantation system that was designed for the study of various options for surface treatment, and it discusses bench testing procedures used for evaluating the surface-treated samples. In particular, both tribological and microstructural analyses are discussed for nitrogen implants and diamond-like hydrocarbon coatings of some aluminum alloys.

  4. Broad ion energy distributions in helicon wave-coupled helium plasma

    NASA Astrophysics Data System (ADS)

    Woller, K. B.; Whyte, D. G.; Wright, G. M.

    2017-05-01

    Helium ion energy distributions were measured in helicon wave-coupled plasmas of the dynamics of ion implantation and sputtering of surface experiment using a retarding field energy analyzer. The shape of the energy distribution is a double-peak, characteristic of radiofrequency plasma potential modulation. The broad distribution is located within a radius of 0.8 cm, while the quartz tube of the plasma source has an inner radius of 2.2 cm. The ion energy distribution rapidly changes from a double-peak to a single peak in the radius range of 0.7-0.9 cm. The average ion energy is approximately uniform across the plasma column including the double-peak and single peak regions. The widths of the broad distribution, ΔE , in the wave-coupled mode are large compared to the time-averaged ion energy, ⟨E ⟩. On the axis (r = 0), ΔE / ⟨E ⟩ ≲ 3.4, and at a radius near the edge of the plasma column (r = 2.2 cm), ΔE / ⟨E ⟩ ˜ 1.2. The discharge parameter space is scanned to investigate the effects of the magnetic field, input power, and chamber fill pressure on the wave-coupled mode that exhibits the sharp radial variation in the ion energy distribution.

  5. Low energy implantation of boron with decaborane ions

    NASA Astrophysics Data System (ADS)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  6. DIN 1.7035 Steel Modification with High Intensity Nitrogen Ion Implantation

    NASA Astrophysics Data System (ADS)

    Ryabchikov, A. I.; Sivin, D. O.; Anan'in, P. S.; Ivanova, A. I.; Uglov, V. V.; Korneva, O. S.

    2018-06-01

    The paper presents research results on the formation of deep ion-modified layers of the grade DIN 1.7035 alloy steel due to a high intensity, repetitively-pulsed nitrogen ion beams with the ion current density of up to 0.5 A/cm2. The formation of a low-energy, high intensity nitrogen ion beam is based on a plasma immersion ion extraction followed by the ballistic focusing in the equipotential drift region. The nitrogen ion implantation in steel specimens is performed at a 1.2 keV energy and 450, 500, 580 and 650°C temperatures during 60 minutes. The morphology, elementary composition and mechanical properties are investigated in deep layers of steel specimens alloyed with nitrogen ions.

  7. Temperature-dependent phosphorous dopant activation in ZnO thin film deposited using plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Murkute, Punam; Ghadi, Hemant; Saha, Shantanu; Chavan, Vinayak; Chakrabarti, Subhananda

    2018-03-01

    High band gap (3.34 eV) and large exciton binding energy (60 meV) at room temperature facilitates ZnO as a useful candidate for optoelectronics devices. Presence of zinc interstitial and oxygen vacancies results in n-type ZnO film. Phosphorus implantation was carried out using plasma immersion ion implantation technique (2kV, 900W) for constant duration (50 s) on RF sputtered ZnO thin films (Sample A). For dopant activation, sample A was subjected to Rapid Thermal Annealing (RTA) at 700, 800, 900 and 1000°C for 10 s in Oxygen ambient (Sample B, C, D, E). Low temperature (18 K) photoluminescence measurement demonstrated strong donor bound exciton peak for sample A. Dominant donor to acceptor pair peak (DAP) was observed for sample D at around 3.22 eV with linewidth of 131.3 meV. High resolution x-ray diffraction measurement demonstrated (001) and (002) peaks for sample A. (002) peak with high intensity was observed from all annealed samples. Incorporation of phosphorus in ZnO films leads to peak shift towards higher 2θ angle indicate tensile strain in implanted samples. Scanning electron microscopy images reveals improvement in grain size distribution along with reduction of implantation related defects. Raman spectra measured A1(LO) peak at around 576 cm-1 for sample A. Low intensity E2 (high) peak was observed for sample D indicating formation of (PZn+2VZn) complexes. From room temperature Hall measurement, sample D measured 1.17 x 1018 cm -3 carrier concentration with low resistivity of 0.464 Ω.

  8. Nitrogen ion implantation into various materials using 28 GHz electron cyclotron resonance ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Chang Seouk; School of Mechanical Engineering, Pusan National University, Pusan 609-735; Lee, Byoung-Seob

    2016-02-15

    The installation of the 28 GHz electron cyclotron resonance ion source (ECRIS) ion implantation beamline was recently completed at the Korea Basic Science Institute. The apparatus contains a beam monitoring system and a sample holder for the ion implantation process. The new implantation system can function as a multipurpose tool since it can implant a variety of ions, ranging hydrogen to uranium, into different materials with precise control and with implantation areas as large as 1–10 mm{sup 2}. The implantation chamber was designed to measure the beam properties with a diagnostic system as well as to perform ion implantation withmore » an in situ system including a mass spectrometer. This advanced implantation system can be employed in novel applications, including the production of a variety of new materials such as metals, polymers, and ceramics and the irradiation testing and fabrication of structural and functional materials to be used in future nuclear fusion reactors. In this investigation, the first nitrogen ion implantation experiments were conducted using the new system. The 28 GHz ECRIS implanted low-energy, multi-charged nitrogen ions into copper, zinc, and cobalt substrates, and the ion implantation depth profiles were obtained. SRIM 2013 code was used to calculate the profiles under identical conditions, and the experimental and simulation results are presented and compared in this report. The depths and ranges of the ion distributions in the experimental and simulation results agree closely and demonstrate that the new system will enable the treatment of various substrates for advanced materials research.« less

  9. Compositional transformations in ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Abdul-Kader, A. M.; Turos, A.; Grambole, D.; Jagielski, J.; Piątkowska, A.; Madi, N. K.; Al-Maadeed, M.

    2005-10-01

    Changes of surface layer composition produced by ion bombardment of polyethylene and polypropylene samples were studied. These materials are under consideration for load bearing surfaces in biological and technical applications. To improve their tribological properties, surface layers are usually modified by ionizing radiation. Therefore, to study the mechanism of transformations induced by ion beam bombardment selected polymers were implanted with H, He and Ar ions to the fluences ranging from 1 × 1013 to 2 × 1016/cm2. RBS and NRA techniques were applied for sample analysis. Important hydrogen release was observed with increasing ion dose and was correlated with the ion stopping power. Another important effect observed was the rapid oxidation of samples, which apparently occurs after exposure of implanted samples to the air. Up to 10 at.% of oxygen can be incorporated in the implanted layer.

  10. Proliferation and differentiation of osteoblastic cells on titanium modified by ammonia plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Liu, Fei; Li, Bin; Sun, Junying; Li, Hongwei; Wang, Bing; Zhang, Shailin

    2012-03-01

    We report here a new method of titanium surface modification through ammonia (NH3) plasma immersion ion implantation (PIII) technique and its effect on the cellular behaviors of MC3T3-E1 osteoblastic cells. The NH3 PIII-treated titanium substrates (NH3-Ti) were characterized by X-ray photoelectron (XPS), which showed that NH3-Ti had a nitrogen-rich surface. However, there was no significant difference between the surface morphology of NH3-Ti and unmodified Ti. When MC3T3-E1 cells were cultured on NH3-Ti substrates, it was found that cell proliferation was accelerated at 4 and 7 days of culture. Meanwhile, cell differentiation was evaluated using type I collagen (COL I), osteocalcin (OC) and bone sialoprotein (BSP) as differentiation markers. It was found that expression of COL I and OC genes was up-regulated on NH3-Ti substrates. However, no significant difference was found in BSP gene expression between NH3-Ti and unmodified Ti substrates. Therefore, findings from this study indicate that surface modification of titanium through NH3 PIII favors osteoblastic proliferation and differentiation and as a result, it may be used to improve the biocompatibility of Ti implants in vivo.

  11. Mechanical properties of nitrogen-rich surface layers on SS304 treated by plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Fernandes, B. B.; Mändl, S.; Oliveira, R. M.; Ueda, M.

    2014-08-01

    The formation of hard and wear resistant surface regions for austenitic stainless steel through different nitriding and nitrogen implantation processes at intermediate temperatures is an established technology. As the inserted nitrogen remains in solid solution, an expanded austenite phase is formed, accounting for these surface improvements. However, experiments on long-term behavior and exact wear processes within the expanded austenite layer are still missing. Here, the modified layers were produced using plasma immersion ion implantation with nitrogen gas and had a thickness of up to 4 μm, depending on the processing temperature. Thicker layers or those with higher surface nitrogen contents presented better wear resistance, according to detailed microscopic investigation on abrasion, plastic deformation, cracking and redeposition of material inside the wear tracks. At the same time, cyclic fatigue testing employing a nanoindenter equipped with a diamond ball was carried out at different absolute loads and relative unloadings. As the stress distribution between the modified layer and the substrate changes with increasing load, additional simulations were performed for obtaining these complex stress distributions. While high nitrogen concentration and/or thicker layers improve the wear resistance and hardness, these modifications simultaneously reduce the surface fatigue resistance.

  12. Development of ion implanted gallium arsenide transistors

    NASA Technical Reports Server (NTRS)

    Hunsperger, R.; Baron, R.

    1972-01-01

    Techniques were developed for creating bipolar microwave transistors in GaAs by ion implantation doping. The electrical properties of doped layers produced by the implantation of the light ions Be, Mg, and S were studied. Be, Mg, and S are suitable for forming the relatively deep base-collector junction at low ion energies. The electrical characteristics of ion-implanted diodes of both the mesa and planar types were determined. Some n-p-n planar transistor structures were fabricated by implantation of Mg to form the base regions and Si to form the emitters. These devices were found to have reasonably good base-collector and emitter-base junctions, but the current gain beta was small. The low was attributable to radiative recombination in the base region, which was extremely wide.

  13. Ion implantation of solar cell junctions without mass analysis

    NASA Technical Reports Server (NTRS)

    Fitzgerald, D.; Tonn, D. G.

    1981-01-01

    This paper is a summary of an investigation to determine the feasibility of producing solar cells by means of ion implantation without the use of mass analysis. Ion implants were performed using molecular and atomic phosphorus produced by the vaporization of solid red phosphorus and ionized in an electron bombardment source. Solar cell junctions were ion implanted by mass analysis of individual molecular species and by direct unanalyzed implants from the ion source. The implant dose ranged from 10 to the 14th to 10 to the 16th atoms/sq cm and the energy per implanted atom ranged from 5 KeV to 40 KeV in this study.

  14. Laser-induced thermo-lens in ion-implanted optically-transparent polymer

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Ivanov, Victor G.; Hadjichristov, Georgi B.

    2009-10-01

    A strong laser-induced thermo-lens (LITL) effect is found in optically-transparent ion-implanted polymer upon irradiation by a cw laser with a power up to 100 mW (λ = 532 nm). The effect is observed in bulk polymethylmethacrylate (PMMA) implanted with silicon ions (Si+). A series of PMMA specimens is examined, subjected to low-energy (50 keV) Si+ implantation at various dosages in the range from 1014 to 1017 ions/cm2. The thermo-lensing is unambiguously attributed to the modification of the subsurface region of the polymer upon the ion implantation. Having a gradient refractive-index in-depth profile, the subsurface organic-carbonaceous layer produced in the polymer by ion implantation, is responsible for the LITL effect observed in reflection geometry. The LITL occurs due to optical absorption of the ion-implanted layer of a thickness of about 100 nm buried in a depth ~ 100 nm, and subsequent laser-induced change in the refractive index of the Si+-implanted PMMA. Being of importance as considering photonic applications of ion-implanted optically-transparent polymers, the LITL effect in Si+-implanted PMMA is studied as a function of the implant dose, the incident laser power and incidence angle, and is linked to the structure formed in this ion-implanted plastic.

  15. Corrosion resistance and blood compatibility of lanthanum ion implanted pure iron by MEVVA

    NASA Astrophysics Data System (ADS)

    Zhu, Shengfa; Huang, Nan; Shu, Hui; Wu, Yanping; Xu, Li

    2009-10-01

    Pure iron is a potential material applying for coronary artery stents based on its biocorrodible and nontoxic properties. However, the degradation characteristics of pure iron in vivo could reduce the mechanical stability of iron stents prematurely. The purpose of this work was to implant the lanthanum ion into pure iron specimens by metal vapor vacuum arc (MEVVA) source at an extracted voltage of 40 kV to improve its corrosion resistance and biocompatibility. The implanted fluence was up to 5 × 10 17 ions/cm 2. The X-ray photoelectron spectroscopy (XPS) was used to characterize the chemical state and depth profiles of La, Fe and O elements. The results showed lanthanum existed in the +3 oxidation state in the surface layer, most of the oxygen combined with lanthanum and form a layer of oxides. The lanthanum ion implantation layer could effectively hold back iron ions into the immersed solution and obviously improved the corrosion resistance of pure iron in simulated body fluids (SBF) solution by the electrochemical measurements and static immersion tests. The systematic evaluation of blood compatibility, including in vitro platelets adhesion, prothrombin time (PT), thrombin time (TT), indicated that the number of platelets adhesion, activation, aggregation and pseudopodium on the surface of the La-implanted samples were remarkably decreased compared with pure iron and 316L stainless steel, the PT and TT were almost the same as the original plasma. It was obviously showed that lanthanum ion implantation could effectively improve the corrosion resistance and blood compatibility of pure iron.

  16. Optical properties of P ion implanted ZnO

    NASA Astrophysics Data System (ADS)

    Pong, Bao-Jen; Chou, Bo-Wei; Pan, Ching-Jen; Tsao, Fu-Chun; Chi, Gou-Chung

    2006-02-01

    Red and green emissions are observed from P ion implanted ZnO. Red emission at ~680 nm (1.82 eV) is associated with the donor-acceptor pair (DAP) transition, where the corresponding donor and acceptor are interstitial zinc (Zn i) and interstitial oxygen (O i), respectively. Green emission at ~ 516 nm (2.40 eV) is associated with the transition between the conduction band and antisite oxygen (O Zn). Green emission at ~516nm (2.403 eV) was observed for ZnO annealed at 800 oC under ambient oxygen, whereas, it was not visible when it was annealed in ambient nitrogen. Hence, the green emission is most likely not related to oxygen vacancies on ZnO sample, which might be related to the cleanliness of ZnO surface, a detailed study is in progress. The observed micro-strain is larger for N ion implanted ZnO than that for P ion implanted ZnO. It is attributed to the larger straggle of N ion implanted ZnO than that of P ion implanted ZnO. Similar phenomenon is also observed in Be and Mg ion implanted GaN.

  17. Self-organized surface ripple pattern formation by ion implantation

    NASA Astrophysics Data System (ADS)

    Hofsäss, Hans; Zhang, Kun; Bobes, Omar

    2016-10-01

    Ion induced ripple pattern formation on solid surfaces has been extensively studied in the past and the theories describing curvature dependent ion erosion as well as redistribution of recoil atoms have been very successful in explaining many features of the pattern formation. Since most experimental studies use noble gas ion irradiation, the incorporation of the ions into the films is usually neglected. In this work we show that the incorporation or implantation of non-volatile ions also leads to a curvature dependent term in the equation of motion of a surface height profile. The implantation of ions can be interpreted as a negative sputter yield; and therefore, the effect of ion implantation is opposite to the one of ion erosion. For angles up to about 50°, implantation of ions stabilizes the surface, whereas above 50°, ion implantation contributes to the destabilization of the surface. We present simulations of the curvature coefficients using the crater function formalism and we compare the simulation results to the experimental data on the ion induced pattern formation using non-volatile ions. We present several model cases, where the incorporation of ions is a crucial requirement for the pattern formation.

  18. Passivated contact formation using ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, David L.; Stradins, Pauls; Nemeth, William

    2018-05-29

    Methods for forming passivated contacts include implanting compound-forming ions into a substrate to about a first depth below a surface of the substrate, and implanting dopant ions into the substrate to about a second depth below the surface. The second depth may be shallower than the first depth. The methods also include annealing the substrate.

  19. Ion Implantation Studies of Titanium Metal Surfaces.

    DTIC Science & Technology

    1981-01-01

    sf.Th. 82-0 327 11,y 604.)___ _ 4 . TITLE (and Subtitle) S. TYPE OF REPORT & PERIOD COVERED Final Ion Implantation Studies of Titanium Metal Suf s 6 ...AD-A113 7ag GEORGIA INST OF TECH ATLANTA SCHOOL OF PHYSICS FIG 11/ 6 ION IMPLANTATION STUDOIES OF TITANIUM METAL SURtFACES. (U) 1901 J R STEVENSON. K...LL0 kpproved ror 82 4 ±s~rutic iui.~o 82r-~~ ION IMPLANTATION STUDIES OF TITANIUM METAL SURFACES SECURITY CLASSIFICATION OIOF THIS PAGE (0fen Date

  20. Modification of polyvinyl alcohol surface properties by ion implantation

    NASA Astrophysics Data System (ADS)

    Pukhova, I. V.; Kurzina, I. A.; Savkin, K. P.; Laput, O. A.; Oks, E. M.

    2017-05-01

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 1014, 1 × 1015 and 1 × 1016 ion/cm2 and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (sbnd Cdbnd O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  1. Direct coupling of pulsed radio frequency and pulsed high power in novel pulsed power system for plasma immersion ion implantation.

    PubMed

    Gong, Chunzhi; Tian, Xiubo; Yang, Shiqin; Fu, Ricky K Y; Chu, Paul K

    2008-04-01

    A novel power supply system that directly couples pulsed high voltage (HV) pulses and pulsed 13.56 MHz radio frequency (rf) has been developed for plasma processes. In this system, the sample holder is connected to both the rf generator and HV modulator. The coupling circuit in the hybrid system is composed of individual matching units, low pass filters, and voltage clamping units. This ensures the safe operation of the rf system even when the HV is on. The PSPICE software is utilized to optimize the design of circuits. The system can be operated in two modes. The pulsed rf discharge may serve as either the seed plasma source for glow discharge or high-density plasma source for plasma immersion ion implantation (PIII). The pulsed high-voltage glow discharge is induced when a rf pulse with a short duration or a larger time interval between the rf and HV pulses is used. Conventional PIII can also be achieved. Experiments conducted on the new system confirm steady and safe operation.

  2. Thermal annealing behavior of hydrogen and surface topography of H 2 + ion implanted tungsten

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Jiandong; Jiang, Weilin; Zhu, Zihua

    2018-01-25

    Tungsten (W) has been proposed as a plasma-facing material (PFM) in fusion reactors due to its outstanding properties. Degradation of the material properties is expected to occur as a result of hydrogen (H) isotope permeation and trapping in W. In this study, two polycrystalline W plates were implanted with 80 keV H 2 + ions to a fluence of 2E21 H+/m2 at room temperature (RT). Time-of-flight secondary ion mass spectrometry (ToF-SIMS), focused ion beam (FIB) and scanning electron microscopy (SEM) were used for sample characterization. The SIMS data shows that H atoms are distributed well beyond the ion projected range.more » Isochronal annealing appears to suggest two H release stages that might be associated with the reported activation energies. H release at RT was observed between days 10 and 70 following ion implantation, and the level was maintained over the next 60 days. In addition, FIB/SEM results exhibit H2 blister formation near the surface of the as-implanted W. The blister distribution remains unchanged after thermal annealing up to 600 °C.« less

  3. Method for ion implantation induced embedded particle formation via reduction

    DOEpatents

    Hampikian, Janet M; Hunt, Eden M

    2001-01-01

    A method for ion implantation induced embedded particle formation via reduction with the steps of ion implantation with an ion/element that will chemically reduce the chosen substrate material, implantation of the ion/element to a sufficient concentration and at a sufficient energy for particle formation, and control of the temperature of the substrate during implantation. A preferred embodiment includes the formation of particles which are nano-dimensional (<100 m-n in size). The phase of the particles may be affected by control of the substrate temperature during and/or after the ion implantation process.

  4. Multifunctions of dual Zn/Mg ion co-implanted titanium on osteogenesis, angiogenesis and bacteria inhibition for dental implants.

    PubMed

    Yu, Yiqiang; Jin, Guodong; Xue, Yang; Wang, Donghui; Liu, Xuanyong; Sun, Jiao

    2017-02-01

    In order to improve the osseointegration and long-term survival of dental implants, it is urgent to develop a multifunctional titanium surface which would simultaneously have osteogeneic, angiogeneic and antibacterial properties. In this study, a potential dental implant material-dual Zn/Mg ion co-implanted titanium (Zn/Mg-PIII) was developed via plasma immersion ion implantation (PIII). The Zn/Mg-PIII surfaces were found to promote initial adhesion and spreading of rat bone marrow mesenchymal stem cells (rBMSCs) via the upregulation of the gene expression of integrin α1 and integrin β1. More importantly, it was revealed that Zn/Mg-PIII could increase Zn 2+ and Mg 2+ concentrations in rBMSCs by promoting the influx of Zn 2+ and Mg 2+ and inhibiting the outflow of Zn 2+ , and then could enhance the transcription of Runx2 and the expression of ALP and OCN. Meanwhile, Mg 2+ ions from Zn/Mg-PIII increased Mg 2+ influx by upregulating the expression of MagT1 transporter in human umbilical vein endothelial cells (HUVECs), and then stimulated the transcription of VEGF and KDR via activation of hypoxia inducing factor (HIF)-1α, thus inducing angiogenesis. In addition to this, it was discovered that zinc in Zn/Mg-PIII had certain inhibitory effects on oral anaerobic bacteria (Pg, Fn and Sm). Finally, the Zn/Mg-PIII implants were implanted in rabbit femurs for 4 and 12weeks with Zn-PIII, Mg-PIII and pure titanium as controls. Micro-CT evaluation, sequential fluorescent labeling, histological analysis and push-out test consistently demonstrated that Zn/Mg-PIII implants exhibit superior capacities for enhancing bone formation, angiogenesis and osseointegration, while consequently increasing the bonding strength at bone-implant interfaces. All these results suggest that due to the multiple functions co-produced by zinc and magnesium, rapid osseointegration and sustained biomechanical stability are enhanced by the novel Zn/Mg-PIII implants, which have the potential

  5. Retention of ion-implanted-xenon in olivine: Dependence on implantation dose

    NASA Technical Reports Server (NTRS)

    Melcher, C. L.; Tombrello, T. A.; Burnett, D. S.

    1982-01-01

    The diffusion of Xe in olivine, a major mineral in both meteorites and lunar samples, was studied. Xe ions were implanted at 200 keV into single-crystal synthetic-forsterite targets and the depth profiles were measured by alpha particle backscattering before and after annealing for 1 hour at temperatures up to 1500 C. The fraction of implanted Xe retained following annealing was strongly dependent on the implantation dose. Maximum retention of 100% occurred for an implantion dose of 3 x 10 to the 15th power Xe ions/sq cm. Retention was less at lower doses, with (approximately more than or = 50% loss at one hundred trillion Xe ions/sq cm. Taking the diffusion coefficient at this dose as a lower limit, the minimum activation energy necessary for Xe retention in a 10 micrometer layer for ten million years was calculated as a function of metamorphic temperature.

  6. Reflectivity modification of polymethylmethacrylate by silicon ion implantation

    NASA Astrophysics Data System (ADS)

    Hadjichristov, Georgi B.; Ivanov, Victor; Faulques, Eric

    2008-05-01

    The effect of silicon ion implantation on the optical reflection of bulk polymethylmethacrylate (PMMA) was examined in the visible and near UV. A low-energy (30 and 50 keV) Si + beam at fluences in the range from 10 13 to 10 17 cm -2 was used for ion implantation of PMMA. The results show that a significant enhancement of the reflectivity from Si +-implanted PMMA occurs at appropriate implantation energy and fluence. The structural modifications of PMMA by the silicon ion implantation were characterized by means of photoluminescence and Raman spectroscopy. Formation of hydrogenated amorphous carbon (HAC) layer beneath the surface of the samples was established and the corresponding HAC domain size was estimated.

  7. Ion-implanted planar-buried-heterostructure diode laser

    DOEpatents

    Brennan, Thomas M.; Hammons, Burrell E.; Myers, David R.; Vawter, Gregory A.

    1991-01-01

    A Planar-Buried-Heterostructure, Graded-Index, Separate-Confinement-Heterostructure semiconductor diode laser 10 includes a single quantum well or multi-quantum well active stripe 12 disposed between a p-type compositionally graded Group III-V cladding layer 14 and an n-type compositionally graded Group III-V cladding layer 16. The laser 10 includes an ion implanted n-type region 28 within the p-type cladding layer 14 and further includes an ion implanted p-type region 26 within the n-type cladding layer 16. The ion implanted regions are disposed for defining a lateral extent of the active stripe.

  8. Fabrication of poly(vinyl carbazole) waveguides by oxygen ion implantation

    NASA Astrophysics Data System (ADS)

    Ghailane, Fatima; Manivannan, Gurusamy; Knystautas, Émile J.; Lessard, Roger A.

    1995-08-01

    Polymer waveguides were fabricated by ion implantation involving poly(vinyl carbazole) films. This material was implanted by oxygen ions (O ++ ) of energies ranging from 50 to 250 keV. The ion doses varied from 1010 to 1015 ions / cm2. The conventional prism-film coupler method was used to determine the waveguiding nature of the implanted and unimplanted films. The increase of the surface refractive index in the implanted layer has been studied by measuring the effective refractive index (neff) for different optical modes. Electron spectroscopy chemical analysis measurements were also performed to assess the effect of ion implantation on the polymer matrix.

  9. Mechanical stresses and amorphization of ion-implanted diamond

    NASA Astrophysics Data System (ADS)

    Khmelnitsky, R. A.; Dravin, V. A.; Tal, A. A.; Latushko, M. I.; Khomich, A. A.; Khomich, A. V.; Trushin, A. S.; Alekseev, A. A.; Terentiev, S. A.

    2013-06-01

    Scanning white light interferometry and Raman spectroscopy were used to investigate the mechanical stresses and structural changes in ion-implanted natural diamonds with different impurity content. The uniform distribution of radiation defects in implanted area was obtained by the regime of multiple-energy implantation of keV He+ ions. A modification of Bosia's et al. (Nucl. Instrum. Meth. B 268 (2010) 2991) method for determining the internal stresses and the density variation in an ion-implanted diamond layer was proposed that suggests measuring, in addition to the surface swelling of a diamond plate, the radius of curvature of the plate. It is shown that, under multiple-energy implantation of He+, mechanical stresses in the implanted layer may be as high as 12 GPa. It is shown that radiation damage reaches saturation for the implantation fluence characteristic of amorphization of diamond but is appreciably lower than the graphitization threshold.

  10. Development of vertical compact ion implanter for gemstones applications

    NASA Astrophysics Data System (ADS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  11. Cd ion implantation in AlN

    NASA Astrophysics Data System (ADS)

    Miranda, S. M. C.; Franco, N.; Alves, E.; Lorenz, K.

    2012-10-01

    AlN thin films were implanted with cadmium, to fluences of 1 × 1013 and 8 × 1014 at/cm2. The implanted samples were annealed at 950 °C under flowing nitrogen. Although implantation damage in AlN is known to be extremely stable the crystal could be fully recovered at low fluences. At high fluences the implantation damage was only partially removed. Implantation defects cause an expansion of the c-lattice parameter. For the high fluence sample the lattice site location of the ions was studied by Rutherford Backscattering/Channelling Spectrometry. Cd ions are found to be incorporated in substitutional Al sites in the crystal and no significant diffusion is seen upon thermal annealing. The observed high solubility limit and site stability are prerequisite for using Cd as p-type dopant in AlN.

  12. Enhancement of Ag nanoparticles concentration by prior ion implantation

    NASA Astrophysics Data System (ADS)

    Mu, Xiaoyu; Wang, Jun; Liu, Changlong

    2017-09-01

    Thermally grown SiO2 layer on Si substrates were singly or sequentially implanted with Zn or Cu and Ag ions at the same fluence of 2 × 1016/cm2. The profiles of implanted species, structure, and spatial distribution of the formed nanoparticles (NPs) have been characterized by the cross-sectional transmission electron microscope (XTEM) and Rutherford backscattering spectrometry (RBS). It is found that pre-implantation of Zn or Cu ions could suppress the self sputtering of Ag atoms during post Ag ion implantation, which gives rise to fabrication of Ag NPs with a high density. Moreover, it has also been demonstrated that the suppressing effect strongly depends on the applied energy and mobility of pre-implanted ions. The possible mechanism for the enhanced Ag NPs concentration has been discussed in combination with SRIM simulations. Both vacancy-like defects acting as the increased nucleation sites for Ag NPs and a high diffusivity of prior implanted ions in SiO2 play key roles in enhancing the deposition of Ag implants.

  13. Investigation of the ion beam emission from a pulsed power plasma device

    NASA Astrophysics Data System (ADS)

    Henríquez, A.; Bhuyan, H.; Favre, M.; Retamal, M. J.; Volkmann, U.; Wyndham, E.; Chuaqui, H.

    2014-05-01

    Plasma Focus (PF) devices are well known as ion beam sources with characteristic energy among the hundreds of keV to tens of MeV. The information on ion beam energy, ion distribution and composition is essential from the viewpoint of understanding fundamental physics behind their production and acceleration and also their applications in various fields, such as surface properties modification, ion implantation, thin film deposition, semiconductor doping and ion assisted coating. An investigation from a low energy, 1.8 kJ 160 kA, Mather type plasma focus device operating with nitrogen using CR-39 detectors was conducted to study the emission of ions at different angular positions. Tracks on CR-39 detectors at different angular positions reveal the existence of angular ion anisotropy. The results obtained are comparable with the time integrated measurements using FC. Preliminary results of this work are presented.

  14. Formation of Ion Beam from High Density Plasma of ECR Discharge

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Izotov, I.; Razin, S.; Sidorov, A.

    2005-03-15

    One of the most promising directions of ECR multicharged ion sources evolution is related with increase in frequency of microwave pumping. During last years microwave generators of millimeter wave range - gyrotrons have been used more frequently. Creation of plasma with density 1013 cm-3 with medium charged ions and ion flux density through a plug of a magnetic trap along magnetic field lines on level of a few A/cm2 is possible under pumping by powerful millimeter wave radiation and quasigasdynamic (collisional) regime of plasma confinement in the magnetic trap. Such plasma has great prospects for application in plasma based ionmore » implantation systems for processing of surfaces with complicated and petit relief. Use it for ion beam formation seams to be difficult because of too high ion current density. This paper continues investigations described elsewhere and shows possibility to arrange ion extraction in zone of plasma expansion from the magnetic trap along axis of system and magnetic field lines.Plasma was created at ECR gas discharge by means of millimeter wave radiation of a gyrotron with frequency 37.5 GHz, maximum power 100 kW, pulse duration 1.5 ms. Two and three electrode quasi-Pierce extraction systems were used for ion beam formation.It is demonstrated that there is no changes in ion charge state distribution along expansion routing of plasma under collisional confinement. Also ion flux density decreases with distance from plug of the trap, it allows to control extracting ion current density. Multicharged ion beam of Nitrogen with total current up to 2.5 mA at diameter of extracting hole 1 mm, that corresponds current density 320 mA/cm2, was obtained. Magnitude of total ion current was limited due to extracting voltage (60 kV). Under such conditions characteristic transversal dimension of plasma equaled 4 cm, magnetic field value in extracting zone was about 0.1 T at axisymmetrical configuration.« less

  15. Evaluation of electron beam stabilization for ion implant processing

    NASA Astrophysics Data System (ADS)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  16. Implantation of sodium ions into germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Korol', V. M., E-mail: vkorol@ctsnet.ru; Kudriavtsev, Yu.

    The donor properties of Na atoms introduced by ion implantation into p-Ge with the resistivity 20-40 {Omega} cm are established for the first time. Na profiles implanted into Ge (the energies 70 and 77 keV and the doses (0.8, 3, 30) Multiplication-Sign 10{sup 14} cm{sup -2}) are studied. The doses and annealing temperatures at which the thermoprobe detects n-type conductivity on the sample surface are established. After implantation, the profiles exhibit an extended tail. The depth of the concentration maximum is in good agreement with the calculated mean projected range of Na ions R{sub p}. Annealing for 30 min atmore » temperatures of 250-700 Degree-Sign C brings about a redistribution of Na atoms with the formation of segregation peaks at a depth, which is dependent on the ion dose, and is accompanied by the diffusion of Na atoms to the surface with subsequent evaporation. After annealing at 700 Degree-Sign C less than 7% of the implanted ions remain in the matrix. The shape of the profile tail portions measured after annealing at temperatures 300-400 Degree-Sign C is indicative of the diffusion of a small fraction of Na atoms into the depth of the sample.« less

  17. Photosensitivity enhancement of PLZT ceramics by positive ion implantation

    DOEpatents

    Peercy, P.S.; Land, C.E.

    1980-06-13

    The photosensitivity of lead lanthanum zirconate titanate (PLZT) ceramic material used in high resolution, high contrast, and non-volatile photoferroelectric image storage and display devices is enhanced significantly by positive ion implantation of the PLZT near its surface. Ions that are implanted include H/sup +/, He/sup +/, Ar/sup +/, and a preferred co-implant of Ar/sup +/ and Ne/sup +/. The positive ion implantation advantageously serves to shift the band gap energy threshold of the PLZT material from near-uv light to visible blue light. As a result, photosensitivity enhancement is such that the positive ion implanted PLZT plate is sensitive even to sunlight and conventional room lighting, such as fluorescent and incandescent light sources. The method disclosed includes exposing the PLZT plate to these positive ions of sufficient density and with sufficient energy to provide an image. The PLZT material may have a lanthanum content ranging from 5 to 10%; a lead zirconate content ranging from 62 to 70 mole %; and a lead titanate content ranging from 38 to 30%. The region of ion implantation is in a range from 0.1 to 2 microns below the surface of the PLZT plate. Density of ions is in the range from 1 x 10/sup 12/ to 1 x 10/sup 17/ ions/cm/sup 2/ and having an energy in the range from 100 to 500 keV.

  18. Application of Coaxial Ion Gun for Film Generation and Ion Implantation

    NASA Astrophysics Data System (ADS)

    Takatsu, Mikio; Asai, Tomohiko; Kurumi, Satoshi; Suzuki, Kaoru; Hirose, Hideharu; Masutani, Shigeyuki

    A magnetized coaxial plasma gun (MCPG) is here utilized for deposition on high-melting-point metals. MCPGs have hitherto been studied mostly in the context of nuclear fusion research, for particle and magnetic helicity injection and spheromak formation. During spheromak formation, the electrode materials are ionized and mixed into the plasmoid. In this study, this ablation process by gun-current sputtering is enhanced for metallic thin-film generation. In the proposed system geometry, only ionized materials are electromagnetically accelerated by the self-Lorentz force, with ionized operating gas as a magnetized thermal plasmoid, contributing to the thin-film deposition. This reduces the impurity and non-uniformity of the deposited thin-film. Furthermore, as the ions are accelerated in a parallel direction to the injection axis, vertical implantation of the ions into the substrate surface is achieved. To test a potential application of the developed system, experiments were conducted involving the formation of a buffer layer on hard ceramics, for use in dental materials.

  19. Optical reflectivity study of silicon ion implanted poly(methyl methacrylate)

    NASA Astrophysics Data System (ADS)

    Hadjichristov, Georgi B.; Stefanov, Ivan L.; Florian, Bojana I.; Blaskova, Gergana D.; Ivanov, Victor G.; Faulques, Eric

    2009-11-01

    The optical reflectivity (both specular and off-specular) of poly(methyl methacrylate) (PMMA) implanted with silicon ions (Si +) at energy of 50 keV, is studied in the spectral range 0.25-25 μm. The effect from the Si + implantation on the reflectivity of two PMMA materials is examined in the dose range from 10 14 to 10 17 ions/cm 2 and is linked to the structure formed in this ion implanted plastic. As compared to the pristine PMMA, an enhancement of the reflectivity of Si + implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation. The ion-produced subsurface organic interface is also probed by laser-induced thermo-lens.

  20. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V.; Chesnokov, Yu. M.

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpestmore » boundaries at a lower energy of implantable ions.« less

  1. Biofunctionalization of surfaces by energetic ion implantation: Review of progress on applications in implantable biomedical devices and antibody microarrays

    NASA Astrophysics Data System (ADS)

    Bilek, Marcela M. M.

    2014-08-01

    Despite major research efforts in the field of biomaterials, rejection, severe immune responses, scar tissue and poor integration continue to seriously limit the performance of today's implantable biomedical devices. Implantable biomaterials that interact with their host via an interfacial layer of active biomolecules to direct a desired cellular response to the implant would represent a major and much sought after improvement. Another, perhaps equally revolutionary, development that is on the biomedical horizon is the introduction of cost-effective microarrays for fast, highly multiplexed screening for biomarkers on cell membranes and in a variety of analyte solutions. Both of these advances will rely on effective methods of functionalizing surfaces with bioactive molecules. After a brief introduction to other methods currently available, this review will describe recently developed approaches that use energetic ions extracted from plasma to facilitate simple, one-step covalent surface immobilization of bioactive molecules. A kinetic theory model of the immobilization process by reactions with long-lived, mobile, surface-embedded radicals will be presented. The roles of surface chemistry and microstructure of the ion treated layer will be discussed. Early progress on applications of this technology to create diagnostic microarrays and to engineer bioactive surfaces for implantable biomedical devices will be reviewed.

  2. Versatile plasma ion source with an internal evaporator

    NASA Astrophysics Data System (ADS)

    Turek, M.; Prucnal, S.; Drozdziel, A.; Pyszniak, K.

    2011-04-01

    A novel construction of an ion source with an evaporator placed inside a plasma chamber is presented. The crucible is heated to high temperatures directly by arc discharge, which makes the ion source suitable for substances with high melting points. The compact ion source enables production of intense ion beams for wide spectrum of solid elements with typical separated beam currents of ˜100-150 μA for Al +, Mn +, As + (which corresponds to emission current densities of 15-25 mA/cm 2) for the extraction voltage of 25 kV. The ion source works for approximately 50-70 h at 100% duty cycle, which enables high ion dose implantation. The typical power consumption of the ion source is 350-400 W. The paper presents detailed experimental data (e.g. dependences of ion currents and anode voltages on discharge and filament currents and magnetic flux densities) for Cr, Fe, Al, As, Mn and In. The discussion is supported by results of Monte Carlo method based numerical simulation of ionisation in the ion source.

  3. [Application of plasma sprayed zirconia coating in dental implant: study in implant].

    PubMed

    Huang, Z F; Wang, Z F; Li, C H; Hao, D; Lan, J

    2018-04-09

    Objective: To investigate the osseointegration of a novel coating-plasma-sprayed zirconia in dental implant. Methods: Zirconia coating on non-thread titanium implant was prepared using plasma spraying, the implant surface morphology, surface roughness and wettability were measured. In vivo , zirconia coated implants were inserted in rabbit tibia and animals were respectively sacrificed at 2, 4, 8 and 12 weeks after implantation. The bond strength between implant and bone was measured by push-out test. The osseointegration was observed by scanning electron microscopy (SEM), micro CT and histological analyses. Quantified parameters including removal torque, and bone-implant contact (BIC) percentage were calculated. Results: The surface roughness (1.6 µm) and wettability (54.6°) of zirconia coated implant was more suitable than those of titanium implant (0.6 µm and 74.4°) for osseointegration. At 12 weeks, the push-out value of zirconia coated implant and titanium implant were (64.9±3.0) and (50.4±2.9) N, and BIC value of these two groups were (54.7±3.6)% and (41.5±3.6)%. All these differences had statistical significance. Conclusions: The surface characters of zirconia coated implant were more suitable for osseointegration and present better osseointegration than smooth titanium implant in vivo , especially at early stage.

  4. Structural properties of buried conducting layers formed by very low energy ion implantation of gold into polymer

    NASA Astrophysics Data System (ADS)

    Teixeira, F. S.; Salvadori, M. C.; Cattani, M.; Brown, I. G.

    2009-09-01

    We have investigated the fundamental structural properties of conducting thin films formed by implanting gold ions into polymethylmethacrylate (PMMA) polymer at 49 eV using a repetitively pulsed cathodic arc plasma gun. Transmission electron microscopy images of these composites show that the implanted ions form gold clusters of diameter ˜2-12 nm distributed throughout a shallow, buried layer of average thickness 7 nm, and small angle x-ray scattering (SAXS) reveals the structural properties of the PMMA-gold buried layer. The SAXS data have been interpreted using a theoretical model that accounts for peculiarities of disordered systems.

  5. Zinc-ion implanted and deposited titanium surfaces reduce adhesion of Streptococccus mutans

    NASA Astrophysics Data System (ADS)

    Xu, Juan; Ding, Gang; Li, Jinlu; Yang, Shenhui; Fang, Bisong; Sun, Hongchen; Zhou, Yanmin

    2010-10-01

    While titanium (Ti) is a commonly used dental implant material with advantageous biocompatible and mechanical properties, native Ti surfaces do not have the ability to prevent bacterial colonization. The objective of this study was to evaluate the chemical composition and bacterial adhesive properties of zinc (Zn) ion implanted and deposited Ti surfaces (Zn-PIIID-Ti) as potential dental implant materials. Surfaces of pure Ti (cp-Ti) were modified with increasing concentrations of Zn using plasma immersion ion implantation and deposition (PIIID), and elemental surface compositions were characterized by X-ray photoelectron spectrometry (XPS). To evaluate bacterial responses, Streptococcus mutans were seeded onto the modifiedTi surfaces for 48 h and subsequently observed by scanning electron microscopy. Relative numbers of bacteria on each surface were assessed by collecting the adhered bacteria, reculturing and counting colony forming units after 48 h on bacterial grade plates. Ti, oxygen and carbon elements were detected on all surfaces by XPS. Increased Zn signals were detected on Zn-PIIID-Ti surfaces, correlating with an increase of Zn-deposition time. Substantial numbers of S. mutans adhered to cp-Ti samples, whereas bacterial adhesion on Zn-PIIID-Ti surfaces signficantly decreased as the Zn concentration increased ( p < 0.01). In conclusion, PIIID can successfully introduce Zn onto a Ti surface, forming a modified surface layer bearing Zn ions that consequently deter adhesion of S. mutans, a common bacterium in the oral environment.

  6. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    PubMed

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  7. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    NASA Astrophysics Data System (ADS)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  8. Effects of phosphorus doping by plasma immersion ion implantation on the structural and optical characteristics of Zn{sub 0.85}Mg{sub 0.15}O thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saha, S.; Nagar, S.; Chakrabarti, S., E-mail: subho@ee.iitb.ac.in

    2014-08-11

    ZnMgO thin films deposited on 〈100〉 Si substrates by RF sputtering were annealed at 800, 900, and 1000 °C after phosphorus plasma immersion ion implantation. X-ray diffraction spectra confirmed the presence of 〈101{sup ¯}0〉 and 〈101{sup ¯}3〉 peaks for all the samples. However, in case of the annealed samples, the 〈0002〉 peak was also observed. Scanning electron microscopy images revealed the variation in surface morphology caused by phosphorus implantation. Implanted and non-implanted samples were compared to examine the effects of phosphorus implantation on the optical properties of ZnMgO. Optical characteristics were investigated by low-temperature (15 K) photoluminescence experiments. Inelastic exciton–exciton scattering andmore » localized, and delocalized excitonic peaks appeared at 3.377, 3.42, and 3.45 eV, respectively, revealing the excitonic effect resulting from phosphorus implantation. This result is important because inelastic exciton–exciton scattering leads to nonlinear emission, which can improve the performance of many optoelectronic devices.« less

  9. Photosensitivity enhancement of PLZT ceramics by positive ion implantation

    DOEpatents

    Land, Cecil E.; Peercy, Paul S.

    1983-01-01

    The photosensitivity of lead lanthanum zirconate titanate (PLZT) ceramic material used in high resolution, high contrast, and non-volatile photoferroelectric image storage and display devices is enhanced significantly by positive ion implantation of the PLZT near its surface. Implanted ions include H.sup.+, He.sup.+, Ne.sup.+, Ar.sup.+, as well as chemically reactive ions from Fe, Cr, and Al. The positive ion implantation advantageously serves to shift the absorption characteristics of the PLZT material from near-UV light to visible light. As a result, photosensitivity enhancement is such that the positive ion implanted PLZT plate is sensitive even to sunlight and conventional room lighting, such as fluorescent and incandescent light sources. The method disclosed includes exposing the PLZT plate to the positive ions at sufficient density, from 1.times.10.sup.12 to 1.times.10.sup.17, and with sufficient energy, from 100 to 500 KeV, to provide photosensitivity enhancement. The PLZT material may have a lanthanum content ranging from 5 to 10%, a lead zirconate content of 62 to 70 mole %, and a lead titanate content of 38 to 30%. The ions are implanted at a depth of 0.1 to 2 microns below the surface of the PLZT plate.

  10. Ion extraction from a plasma

    NASA Technical Reports Server (NTRS)

    Aston, G.; Wilbur, P. J.

    1981-01-01

    The physical processes governing ion extraction from a plasma have been examined experimentally. The screen hole plasma sheath (the transition region wherein significant ion acceleration and complete electron retardation occurs) has been defined by equipotential plots for a variety of ion accelerator system geometries and operating conditions. It was found that the screen hole plasma sheath extends over a large distance, and influences ion and electron trajectories at least 15 Debye lengths within the discharge chamber. The electron density variation within the screen hole plasma sheath satisfied a Maxwell-Boltzmann density distribution at an effective electron temperature dependent on the discharge plasma primary-to-Maxwellian electron density ratio. Plasma ion flow up to and through the sheath was predominantly one-dimensional, and the ions entered the sheath region with a modified Bohm velocity. Low values of the screen grid thickness to screen hole diameter ratio were found to give good ion focusing and high extracted ion currents because of the effect of screen webbing on ion focusing.

  11. Method of fabricating optical waveguides by ion implantation doping

    DOEpatents

    Appleton, Bill R.; Ashley, Paul R.; Buchal, Christopher J.

    1989-01-01

    A method for fabricating high-quality optical waveguides in optical quality oxide crystals by ion implantation doping and controlled epitaxial recrystallization is provided. Masked LiNbO.sub.3 crystals are implanted with high concentrations of Ti dopant at ion energies of about 350 keV while maintaining the crystal near liquid nitrogen temperature. Ion implantation doping produces an amorphous, Ti-rich nonequilibrium phase in the implanted region. Subsequent thermal annealing in a water-saturated oxygen atmosphere at up to 1000.degree. C. produces solid-phase epitaxial regrowth onto the crystalline substrate. A high-quality single crystalline layer results which incorporates the Ti into the crystal structure at much higher concentrations than is possible by standard diffusion techniques, and this implanted region has excellent optical waveguides properties.

  12. Method of fabricating optical waveguides by ion implantation doping

    DOEpatents

    Appleton, B.R.; Ashley, P.R.; Buchal, C.J.

    1987-03-24

    A method for fabricating high-quality optical waveguides in optical quality oxide crystals by ion implantation doping and controlled epitaxial recrystallization is provided. Masked LiNbO/sub 3/ crystals are implanted with high concentrations of Ti dopant at ion energies of about 360 keV while maintaining the crystal near liquid nitrogen temperature. Ion implantation doping produces an amorphous, Ti-rich nonequilibrium phase in the implanted region. Subsequent thermal annealing in a water-saturated oxygen atmosphere at up to 1000/degree/C produces solid-phase epitaxial regrowth onto the crystalline substrate. A high-quality crystalline layer results which incorporates the Ti into the crystal structure at much higher concentrations than is possible by standard diffusion techniques, and this implanted region has excellent optical waveguiding properties.

  13. N and Cr ion implantation of natural ruby surfaces and their characterization

    NASA Astrophysics Data System (ADS)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan; Magudapathy, P.; Panigrahi, B. K.; Nayak, B. B.; Mishra, B. K.

    2016-04-01

    Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV-Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 1017 N-ions/cm2 fluence, formation of colour centres (F+, F2, F2+ and F22+) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  14. Production technology for high efficiency ion implanted solar cells

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, A. R.; Minnucci, J. A.; Greenwald, A. C.; Josephs, R. H.

    1978-01-01

    Ion implantation is being developed for high volume automated production of silicon solar cells. An implanter designed for solar cell processing and able to properly implant up to 300 4-inch wafers per hour is now operational. A machine to implant 180 sq m/hr of solar cell material has been designed. Implanted silicon solar cells with efficiencies exceeding 16% AM1 are now being produced and higher efficiencies are expected. Ion implantation and transient processing by pulsed electron beams are being integrated with electrostatic bonding to accomplish a simple method for large scale, low cost production of high efficiency solar cell arrays.

  15. Effect of W self-implantation and He plasma exposure on early-stage defect and bubble formation in tungsten

    NASA Astrophysics Data System (ADS)

    Thompson, M.; Drummond, D.; Sullivan, J.; Elliman, R.; Kluth, P.; Kirby, N.; Riley, D.; Corr, C. S.

    2018-06-01

    To determine the effect of pre-existing defects on helium-vacancy cluster nucleation and growth, tungsten samples were self-implanted with 1 MeV tungsten ions at varying fluences to induce radiation damage, then subsequently exposed to helium plasma in the MAGPIE linear plasma device. Positron annihilation lifetime spectroscopy was performed both immediately after self-implantation, and again after plasma exposure. After self-implantation vacancies clusters were not observed near the sample surface (<30 nm). At greater depths (30–150 nm) vacancy clusters formed, and were found to increase in size with increasing W-ion fluence. After helium plasma exposure in the MAGPIE linear plasma device at ~300 K with a fluence of 1023 He-m‑2, deep (30–150 nm) vacancy clusters showed similar positron lifetimes, while shallow (<30 nm) clusters were not observed. The intensity of positron lifetime signals fell for most samples after plasma exposure, indicating that defects were filling with helium. The absence of shallow clusters indicates that helium requires pre-existing defects in order to drive vacancy cluster growth at 300 K. Further samples that had not been pre-damaged with W-ions were also exposed to helium plasma in MAGPIE across fluences from 1  ×  1022 to 1.2  ×  1024 He-m‑2. Samples exposed to fluences up to 1  ×  1023 He-m‑2 showed no signs of damage. Fluences of 5  ×  1023 He-m‑2 and higher showed significant helium-cluster formation within the first 30 nm, with positron lifetimes in the vicinity 0.5–0.6 ns. The sample temperature was significantly higher for these higher fluence exposures (~400 K) due to plasma heating. This higher temperature likely enhanced bubble formation by significantly increasing the rate interstitial helium clusters generate vacancies, which is we suspect is the rate-limiting step for helium-vacancy cluster/bubble nucleation in the absence of pre-existing defects.

  16. Dynamic determination of secondary electron emission using a calorimetric probe in a plasma immersion ion implantation experiment

    NASA Astrophysics Data System (ADS)

    Haase, Fabian; Manova, Darina; Hirsch, Dietmar; Mändl, Stephan; Kersten, Holger

    2018-04-01

    A passive thermal probe has been used to detect dynamic changes in the secondary electron emission (SEE). Oxidized and nitrided materials have been studied during argon ion sputtering in a plasma immersion ion implantation process. Identical measurements have been performed for the metallic state with high voltage pulses accelerating nitrogen ions towards the surface, supposedly forming a nitride layer. Energy flux data were combined with scanning electron microscopy images of the surface to obtain information about the actual surface composition as well as trends and changes during the process. Within the measurements, a direct comparison of the SEE within both employed ion species (argon and nitrogen) is possible while an absolute quantification is still open. Additionally, the nominal composition of the investigated oxide and nitride layers does not always correspond to stoichiometric compounds. Nevertheless, the oxides showed a remarkably higher SEE compared to the pure metals, while an indistinct behavior was observed for the nitrides: some higher, some lower than the clean metal surfaces. For the aluminum alloy AlMg3 a complex time dependent evolution was observed with consecutive oxidation/sputtering cycles leading to a very rough surface with a diminished oxide layer, leading to an almost black surface of the metal and non-reproducible changes in the SEE. The presented method is a versatile technique for measuring dynamic changes of the surface for materials commonly used in PVD processes with a time resolution of about 1 min, e.g. magnetron sputtering or HiPIMS, where changes in the target or electrode composition are occurring but cannot be measured directly.

  17. A role for ion implantation in quantum computing

    NASA Astrophysics Data System (ADS)

    Jamieson, David N.; Prawer, Steven; Andrienko, Igor; Brett, David A.; Millar, Victoria

    2001-04-01

    We propose to create arrays of phosphorus atoms in silicon for quantum computing using ion implantation. Since the implantation of the ions is essentially random, the yield of usefully spaced atoms is low and therefore some method of registering the passage of a single ion is required. This can be accomplished by implantation of the ions through a thin surface layer consisting of resist. Changes to the chemical and/or electrical properties of the resist will be used to mark the site of the buried ion. For chemical changes, the latent damage will be developed and the atomic force microscope (AFM) used to image the changes in topography. Alternatively, changes in electrical properties (which obviate the need for post-irradiation chemical etching) will be used to register the passage of the ion using scanning tunneling microscopy (STM), the surface current imaging mode of the AFM. We address the central issue of the contrast created by the passage of a single ion through resist layers of PMMA and C 60.

  18. A simple ion implanter for material modifications in agriculture and gemmology

    NASA Astrophysics Data System (ADS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  19. Porcelain-coated antenna for radio-frequency driven plasma source

    DOEpatents

    Leung, Ka-Ngo; Wells, Russell P.; Craven, Glen E.

    1996-01-01

    A new porcelain-enamel coated antenna creates a clean plasma for volume or surface-conversion ion sources. The porcelain-enamel coating is hard, electrically insulating, long lasting, non fragile, and resistant to puncture by high energy ions in the plasma. Plasma and ion production using the porcelain enamel coated antenna is uncontaminated with filament or extraneous metal ion because the porcelain does not evaporate and is not sputtered into the plasma during operation. Ion beams produced using the new porcelain-enamel coated antenna are useful in ion implantation, high energy accelerators, negative, positive, or neutral beam applications, fusion, and treatment of chemical or radioactive waste for disposal. For ion implantation, the appropriate species ion beam generated with the inventive antenna will penetrate large or small, irregularly shaped conducting objects with a narrow implantation profile.

  20. Ion implantation of highly corrosive electrolyte battery components

    DOEpatents

    Muller, Rolf H.; Zhang, Shengtao

    1997-01-01

    A method of producing corrosion resistant electrodes and other surfaces in corrosive batteries using ion implantation is described. Solid electrically conductive material is used as the ion implantation source. Battery electrode grids, especially anode grids, can be produced with greatly increased corrosion resistance for use in lead acid, molten salt, end sodium sulfur.

  1. Formation of Porous Germanium Layers by Silver-Ion Implantation

    NASA Astrophysics Data System (ADS)

    Stepanov, A. L.; Vorob'ev, V. V.; Nuzhdin, V. I.; Valeev, V. F.; Osin, Yu. N.

    2018-04-01

    We propose a method for the formation of porous germanium ( P-Ge) layers containing silver nanoparticles by means of high-dose implantation of low-energy Ag+ ions into single-crystalline germanium ( c-Ge). This is demonstrated by implantation of 30-keV Ag+ ions into a polished c-Ge plate to a dose of 1.5 × 1017 ion/cm2 at an ion beam-current density of 5 μA/cm2. Examination by high-resolution scanning electron microscopy (SEM), atomic-force microscopy (AFM), X-ray diffraction (XRD), energy-dispersive X-ray (EDX) microanalysis, and reflection high-energy electron diffraction (RHEED) showed that the implantation of silver ions into c-Ge surface led to the formation of a P-Ge layer with spongy structure comprising a network of interwoven nanofibers with an average diameter of ˜10-20 nm Ag nanoparticles on the ends of fibers. It is also established that the formation of pores during Ag+ ion implantation is accompanied by effective sputtering of the Ge surface.

  2. Ion implantation of highly corrosive electrolyte battery components

    DOEpatents

    Muller, R.H.; Zhang, S.

    1997-01-14

    A method of producing corrosion resistant electrodes and other surfaces in corrosive batteries using ion implantation is described. Solid electrically conductive material is used as the ion implantation source. Battery electrode grids, especially anode grids, can be produced with greatly increased corrosion resistance for use in lead acid, molten salt, and sodium sulfur. 6 figs.

  3. Motion of negative ion plasma near the boundary with electron−ion plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Medvedev, Yu. V., E-mail: medve@mail.ru

    2017-01-15

    Processes occurring near the boundary between three-component plasma with negative ions and two-component electron−ion plasma are considered. The excited waves and instability are described. Stability condition at the boundary is determined.

  4. Optical characterization of poly(methyl methacrylate) implanted with low energy ions

    NASA Astrophysics Data System (ADS)

    Gupta, Renu; Kumar, Vijay; Goyal, Parveen Kumar; Kumar, Shyam

    2012-12-01

    The samples of poly(methyl methacrylate) (PMMA) were subjected to 100 keV N+ and Ar+ ion implantation up to a maximum fluence of 2 × 1016 ions/cm2. The effect of ion implantation on the optical energy gap and the refractive index has been studied through UV-visible spectroscopy. The results clearly indicate a decrease in the values of optical energy gap and an increase in the values of refractive index as an effect of ion implantation corresponding to both of the ions. It has also been observed that the changes induced by the implanted ions are more pronounced for N+ ions in comparison to Ar+ ions. This variation has been correlated with the calculated ranges of these ions in PMMA polymer using Stopping and Range of Ions in Matter (SRIM) code. Finally, an attempt has been made to correlate all the observed changes with the induced structural changes as revealed through Raman spectroscopy.

  5. Application of ion implantation in tooling industry

    NASA Astrophysics Data System (ADS)

    Straede, Christen A.

    1996-06-01

    In papers published during the last half of the 1980s it is often stated that the application of ion beams to non-semiconductor purposes seems ready for full-scale industrial exploitation. However, progress with respect to commercialisation of ion implantation has been slower than predicted, although the process is quite clearly building up niche markets, especially in the tooling industry. It is the main purpose of this paper to discuss the implementation of the process in the tooling market, and to describe strategies used to ensure its success. The basic idea has been to find niches where ion implantation out-performs other processes both technically and in prices. For instance, it has been clearly realised that one should avoid competing with physical vapour deposition or other coating techniques in market areas where they perform excellently, and instead find niches where the advantages of the ion implantation technique can be fully utilised. The paper will present typical case stories in order to illustrate market niches where the technique has its greatest successes and potential.

  6. Calibrating ion density profile measurements in ion thruster beam plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Zun; Tang, Haibin; Ren, Junxue; Zhang, Zhe; Wang, Joseph

    2016-11-01

    The ion thruster beam plasma is characterized by high directed ion velocity (104 m/s) and low plasma density (1015 m-3). Interpretation of measurements of such a plasma based on classical Langmuir probe theory can yield a large experimental error. This paper presents an indirect method to calibrate ion density determination in an ion thruster beam plasma using a Faraday probe, a retarding potential analyzer, and a Langmuir probe. This new method is applied to determine the plasma emitted from a 20-cm-diameter Kaufman ion thruster. The results show that the ion density calibrated by the new method can be as much as 40% less than that without any ion current density and ion velocity calibration.

  7. Porcelain-coated antenna for radio-frequency driven plasma source

    DOEpatents

    Leung, K.N.; Wells, R.P.; Craven, G.E.

    1996-12-24

    A new porcelain-enamel coated antenna creates a clean plasma for volume or surface-conversion ion sources. The porcelain-enamel coating is hard, electrically insulating, long lasting, non fragile, and resistant to puncture by high energy ions in the plasma. Plasma and ion production using the porcelain enamel coated antenna is uncontaminated with filament or extraneous metal ions because the porcelain does not evaporate and is not sputtered into the plasma during operation. Ion beams produced using the new porcelain-enamel coated antenna are useful in ion implantation, high energy accelerators, negative, positive, or neutral beam applications, fusion, and treatment of chemical or radioactive waste for disposal. For ion implantation, the appropriate species ion beam generated with the inventive antenna will penetrate large or small, irregularly shaped conducting objects with a narrow implantation profile. 8 figs.

  8. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    NASA Astrophysics Data System (ADS)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  9. Ion implantation modified stainless steel as a substrate for hydroxyapatite deposition. Part II. Biomimetic layer growth and characterization.

    PubMed

    Pramatarova, L; Pecheva, E; Krastev, V

    2007-03-01

    The interest in stainless steel as a material widely used in medicine and dentistry has stimulated extensive studies on improving its bone-bonding properties. AISI 316 stainless steel is modified by a sequential ion implantation of Ca and P ions (the basic ions of hydroxyapatite), and by Ca and P implantation and subsequent thermal treatment in air (600( composite function)C, 1 h). This paper investigates the ability of the as-modified surfaces to induce hydroxyapatite deposition by using a biomimetic approach, i.e. immersion in a supersaturated aqueous solution resembling the human blood plasma (the so-called simulated body fluid). We describe our experimental procedure and results, and discuss the physico-chemical properties of the deposed hydroxyapatite on the modified stainless steel surfaces. It is shown that the implantation of a selected combination of ions followed by the applied methodology of the sample soaking in the simulated body fluid yield the growth of hydroxyapatite layers with composition and structure resembling those of the bone apatite. The grown layers are found suitable for studying the process of mineral formation in nature (biomineralization).

  10. More-reliable SOS ion implantations

    NASA Technical Reports Server (NTRS)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  11. Nano-scale surface morphology, wettability and osteoblast adhesion on nitrogen plasma-implanted NiTi shape memory alloy.

    PubMed

    Liu, X M; Wu, S L; Chu, Paul K; Chung, C Y; Chu, C L; Chan, Y L; Lam, K O; Yeung, K W K; Lu, W W; Cheung, K M C; Luk, K D K

    2009-06-01

    Plasma immersion ion implantation (PIII) is an effective method to increase the corrosion resistance and inhibit nickel release from orthopedic NiTi shape memory alloy. Nitrogen was plasma-implanted into NiTi using different pulsing frequencies to investigate the effects on the nano-scale surface morphology, structure, wettability, as well as biocompatibility. X-ray photoelectron spectroscopy (XPS) results show that the implantation depth of nitrogen increases with higher pulsing frequencies. Atomic force microscopy (AFM) discloses that the nano-scale surface roughness increases and surface features are changed from islands to spiky cones with higher pulsing frequencies. This variation in the nano surface structures leads to different surface free energy (SFE) monitored by contact angle measurements. The adhesion, spreading, and proliferation of osteoblasts on the implanted NiTi surface are assessed by cell culture tests. Our results indicate that the nano-scale surface morphology that is altered by the implantation frequencies impacts the surface free energy and wettability of the NiTi surfaces, and in turn affects the osteoblast adhesion behavior.

  12. Ion Implantation Doping of Inertial Confinement Fusion Targets

    DOE PAGES

    Shin, S. J.; Lee, J. R. I.; van Buuren, T.; ...

    2017-12-19

    Controlled doping of inertial confinement fusion (ICF) targets is needed to enable nuclear diagnostics of implosions. Here in this study, we demonstrate that ion implantation with a custom-designed carousel holder can be used for azimuthally uniform doping of ICF fuel capsules made from a glow discharge polymer (GDP). Particular emphasis is given to the selection of the initial wall thickness of GDP capsules as well as implantation and postimplantation annealing parameters in order to minimize capsule deformation during a postimplantation thermal treatment step. In contrast to GDP, ion-implanted high-density carbon exhibits excellent thermal stability and ~100% implantation efficiency for themore » entire range of ion doses studied (2 × 10 14 to 1 × 10 16 cm -2) and for annealing temperatures up to 700°C. Lastly, we demonstrate a successful doping of planar Al targets with isotopes of Kr and Xe to doses of ~10 17 cm -2.« less

  13. Ion Implantation Doping of Inertial Confinement Fusion Targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, S. J.; Lee, J. R. I.; van Buuren, T.

    Controlled doping of inertial confinement fusion (ICF) targets is needed to enable nuclear diagnostics of implosions. Here in this study, we demonstrate that ion implantation with a custom-designed carousel holder can be used for azimuthally uniform doping of ICF fuel capsules made from a glow discharge polymer (GDP). Particular emphasis is given to the selection of the initial wall thickness of GDP capsules as well as implantation and postimplantation annealing parameters in order to minimize capsule deformation during a postimplantation thermal treatment step. In contrast to GDP, ion-implanted high-density carbon exhibits excellent thermal stability and ~100% implantation efficiency for themore » entire range of ion doses studied (2 × 10 14 to 1 × 10 16 cm -2) and for annealing temperatures up to 700°C. Lastly, we demonstrate a successful doping of planar Al targets with isotopes of Kr and Xe to doses of ~10 17 cm -2.« less

  14. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Kai; Wang, Yibo; Li, Zhuguo, E-mail: lizg@sjtu.edu.cn

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enrichedmore » region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.« less

  15. The Evolution of the Plasma Sheet Ion Composition: Storms and Recoveries: Plasma Sheet Ion Composition

    DOE PAGES

    Denton, M. H.; Thomsen, M. F.; Reeves, G. D.; ...

    2017-10-03

    The ion plasma sheet (~few hundred eV to ~few 10s keV) is usually dominated by H + ions. Here, changes in ion composition within the plasma sheet are explored both during individual events, and statistically during 54 calm-to-storm events and during 21 active-to-calm events. Ion composition data from the HOPE (Helium, Oxygen, Proton, Electron) instruments onboard Van Allen Probes satellites provide exceptional spatial and temporal resolution of the H +, O +, and He + ion fluxes in the plasma sheet. H+ shown to be the dominant ion in the plasma sheet in the calm-to-storm transition. However, the energy-flux ofmore » each ion changes in a quasi-linear manner during extended calm intervals. Heavy ions (O + and He +) become increasingly important during such periods as charge-exchange reactions result in faster loss for H + than for O + or He +. Results confirm previous investigations showing that the ion composition of the plasma sheet can be largely understood (and predicted) during calm intervals from knowledge of: (a) the composition of previously injected plasma at the onset of calm conditions, and (b) use of simple drift-physics models combined with calculations of charge-exchange losses.« less

  16. The Evolution of the Plasma Sheet Ion Composition: Storms and Recoveries: Plasma Sheet Ion Composition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Denton, M. H.; Thomsen, M. F.; Reeves, G. D.

    The ion plasma sheet (~few hundred eV to ~few 10s keV) is usually dominated by H + ions. Here, changes in ion composition within the plasma sheet are explored both during individual events, and statistically during 54 calm-to-storm events and during 21 active-to-calm events. Ion composition data from the HOPE (Helium, Oxygen, Proton, Electron) instruments onboard Van Allen Probes satellites provide exceptional spatial and temporal resolution of the H +, O +, and He + ion fluxes in the plasma sheet. H+ shown to be the dominant ion in the plasma sheet in the calm-to-storm transition. However, the energy-flux ofmore » each ion changes in a quasi-linear manner during extended calm intervals. Heavy ions (O + and He +) become increasingly important during such periods as charge-exchange reactions result in faster loss for H + than for O + or He +. Results confirm previous investigations showing that the ion composition of the plasma sheet can be largely understood (and predicted) during calm intervals from knowledge of: (a) the composition of previously injected plasma at the onset of calm conditions, and (b) use of simple drift-physics models combined with calculations of charge-exchange losses.« less

  17. Comparison of monomode KTiOPO4 waveguide formed by C3+ ion implantation and Rb+ ion exchange

    NASA Astrophysics Data System (ADS)

    Cui, Xiao-Jun; Wang, Liang-Ling

    2017-02-01

    In this work, we report on the formation and characterization of monomode KTiOPO4 waveguide at 1539 nm by 6.0 MeV C3+ ion implantation with the dose of 2×1015 ions/cm2 and Rb+-K+ ion exchange, respectively. The relative intensity of light as a function of effective refractive index of TM modes at 633 nm and 1539 nm for KTiOPO4 waveguide formed by two different methods were compared with the prism coupling technique. The refractive index (nz) profile for the ion implanted waveguide was reconstructed by reflectivity calculation method, and one for the ion exchanged waveguide was by inverse Wentzel-Kramers-Brillouin. The nuclear energy loss versus penetration depth of the C3+ ions implantation into KTiOPO4 was simulated using the Stopping Range of Ions in Matter software. The Rutherford Backscattering Spectrometry spectrum of KTiOPO4 waveguide was analyzed after ions exchanged. The results showed that monomode waveguide at 1539 nm can be formed by ion implantation and Rb+ -K+ ion exchange, respectively.

  18. Electron-less negative ion extraction from ion-ion plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rafalskyi, Dmytro; Aanesland, Ane

    2015-03-09

    This paper presents experimental results showing that continuous negative ion extraction, without co-extracted electrons, is possible from highly electronegative SF{sub 6} ion-ion plasma at low gas pressure (1 mTorr). The ratio between the negative ion and electron densities is more than 3000 in the vicinity of the two-grid extraction and acceleration system. The measurements are conducted by both magnetized and non-magnetized energy analyzers attached to the external grid. With these two analyzers, we show that the extracted negative ion flux is almost electron-free and has the same magnitude as the positive ion flux extracted and accelerated when the grids aremore » biased oppositely. The results presented here can be used for validation of numerical and analytical models of ion extraction from ion-ion plasma.« less

  19. Effects of CPII implantation on the characteristics of diamond-like carbon films

    NASA Astrophysics Data System (ADS)

    Chen, Ya-Chi; Weng, Ko-Wei; Chao, Ching-Hsun; Lien, Shui-Yang; Han, Sheng; Chen, Tien-Lai; Lee, Ying-Chieh; Shih, Han-Chang; Wang, Da-Yung

    2009-05-01

    A diamond-like carbon film (DLC) was successfully synthesized using a hybrid PVD process, involving a filter arc deposition source (FAD) and a carbon plasma ion implanter (CPII). A quarter-torus plasma duct filter markedly reduced the density of the macro-particles. Graphite targets were used in FAD. Large electron and ion energies generated from the plasma duct facilitate the activation of carbon plasma and the deposition of high-quality DLC films. M2 tool steel was pre-implanted with 45 kV carbon ions before the DLC was deposited to enhance the adhesive and surface properties of the film. The ion mixing effect, the induction of residual stress and the phase transformation at the interface were significantly improved. The hardness of the DLC increased to 47.7 GPa and 56.5 GPa, and the wear life was prolonged to over 70 km with implantation fluences of 1 × 10 17 ions/cm 2 and 2 × 10 17 ions/cm 2, respectively.

  20. Ion beams in multi-species plasmas

    NASA Astrophysics Data System (ADS)

    Aguirre, E. M.; Scime, E. E.; Good, T. N.

    2018-04-01

    Argon and xenon ion velocity distribution functions are measured in Ar-He, Ar-Xe, and Xe-He expanding helicon plasmas to determine if ion beam velocity is enhanced by the presence of lighter ions. Contrary to observations in mixed gas sheath experiments, we find that adding a lighter ion does not increase the ion beam speed. The predominant effect is a reduction of ion beam velocity consistent with increased drag arising from increased gas pressure under all conditions: constant total gas pressure, equal plasma densities of different ions, and very different plasma densities of different ions. These results suggest that the physics responsible for the acceleration of multiple ion species in simple sheaths is not responsible for the ion acceleration observed in expanding helicon plasmas.

  1. Friction and Wear Properties of As-Deposited and Carbon Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1996-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 keV ion energy, resulting in a dose of 1.2 x 10(exp 17) carbon ions per cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, X-ray photoelectron spectroscopy, and X-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40% relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and wear properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to lO(exp -8) mm(exp 3) N(exp -1) m(exp -1)) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4) mm(exp 7) N(exp -1) m(exp -1)) in ultrahigh vacuum. The carbon ion implantation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, non-diamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7) mm(exp 3) N(exp -1) m(exp-1)). Even in ultrahigh vacuum, the presence of the non-diamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6

  2. Study of the amorphization of surface silicon layers implanted by low-energy helium ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V.; Oreshko, A. P.

    2016-03-15

    The structural changes in surface layers of Si(001) substrates subjected to plasma-immersion implantation by (2–5)-keV helium ions to a dose of D = 6 × 10{sup 15}–5 × 10{sup 17} cm{sup –2} have been studied by highresolution X-ray diffraction, Rutherford backscattering, and spectral ellipsometry. It is found that the joint application of these methods makes it possible to determine the density depth distribution ρ(z) in an implanted layer, its phase state, and elemental composition. Treatment of silicon substrates in helium plasma to doses of 6 × 10{sup 16} cm{sup –2} leads to the formation of a 20- to 30-nm-thick amorphizedmore » surface layer with a density close to the silicon density. An increase in the helium dose causes the formation of an internal porous layer.« less

  3. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  4. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    NASA Astrophysics Data System (ADS)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  5. Preshock region acceleration of implanted cometary H(+) and O(+)

    NASA Astrophysics Data System (ADS)

    Gombosi, T. I.

    1988-01-01

    A self-consistent, three-fluid model of plasma transport and implanted ion acceleration in the unshocked solar wind is presented. The solar wind plasma is depleted by charge exchange with the expanding cometary exosphere, while implanted protons and heavy ions are produced by photoionization and charge transfer and lost by charge exchange. A generalized transport equation describing convection, adiabatic and diffusive velocity change, and the appropriate production terms is used to describe the evolution of the two cometary ion components, while the moments of the Boltzmann equation are used to calculate the solar wind density and pressure. The flow velocity is obtained self-consistently by combining the conservation equations of the three ion species. The results imply that second-order Fermi acceleration can explain the implanted spectra observed in the unshocked solar wind. Comparison of measured and calculated distribution indicates that spatial diffusion of implanted ions probably plays an important role in forming the energetic particle environment in the shock vicinity.

  6. Heavy doping of CdTe single crystals by Cr ion implantation

    NASA Astrophysics Data System (ADS)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  7. Study on ion implantation conditions in fabricating compressively strained Si/relaxed Si1-xCx heterostructures using the defect control by ion implantation technique

    NASA Astrophysics Data System (ADS)

    Arisawa, You; Sawano, Kentarou; Usami, Noritaka

    2017-06-01

    The influence of ion implantation energies on compressively strained Si/relaxed Si1-xCx heterostructures formed on Ar ion implanted Si substrates was investigated. It was found that relaxation ratio can be enhanced over 100% at relatively low implantation energies, and compressive strain in the topmost Si layer is maximized at 45 keV due to large lattice mismatch. Cross-sectional transmission electron microscope images revealed that defects are localized around the hetero-interface between the Si1-xCx layer and the Ar+-implanted Si substrate when the implantation energy is 45 keV, which decreases the amount of defects in the topmost Si layer and the upper part of the Si1-xCx buffer layer.

  8. Long-range effect of ion implantation of Raex and Hardox steels

    NASA Astrophysics Data System (ADS)

    Budzyński, P.; Kamiński, M.; Droździel, A.; Wiertel, M.

    2016-09-01

    Ion implantation involves introduction of ionized atoms of any element (nitrogen) to metals thanks to the high kinetic energy that they acquired in the electric field. The distribution of nitrogen ions implanted at E = 65 keV energy and D = 1.1017 N+ /cm2 fluence in the steel sample and vacancies produced by them was calculated using the SRIM program. This result was confirmed by RBS measurements. The initial maximum range of the implanted nitrogen ions is ∼⃒0.17 μm. This value is relatively small compared to the influence of nitriding on the thickness surface layer of modified steel piston rings. Measurements of the friction coefficient during the pin-on-disc tribological test were performed under dry friction conditions. The friction coefficient of the implanted sample increased to values characteristic of an unimplanted sample after ca. 1500 measurement cycles. The depth of wear trace is ca. 2.4 μm. This implies that the thickness of the layer modified by the implantation process is ∼⃒2.4 μm and exceeds the initial range of the implanted ions by an order of magnitude. This effect, referred to as a long-range implantation effect, is caused by migration of vacancies and nitrogen atoms into the sample. This phenomenon makes ion implantation a legitimate process of modification of the surface layer in order to enhance the tribological properties of critical components of internal combustion engines such as steel piston rings.

  9. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    NASA Astrophysics Data System (ADS)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  10. Investigation of microstructure and properties of ultrathin graded ZrNx self-assembled diffusion barrier in deep nano-vias prepared by plasma ion immersion implantation

    NASA Astrophysics Data System (ADS)

    Zou, Jianxiong; Liu, Bo; Lin, Liwei; Lu, Yuanfu; Dong, Yuming; Jiao, Guohua; Ma, Fei; Li, Qiran

    2018-01-01

    Ultrathin graded ZrNx self-assembled diffusion barriers with controllable stoichiometry was prepared in Cu/p-SiOC:H interfaces by plasma immersion ion implantation (PIII) with dynamic regulation of implantation fluence. The fundamental relationship between the implantation fluence of N+ and the stoichiometry and thereby the electrical properties of the ZrNx barrier was established. The optimized fluence of a graded ZrN thin film with gradually decreased Zr valence was obtained with the best electrical performance as well. The Cu/p-SiOC:H integration is thermally stable up to 500 °C due to the synergistic effect of Cu3Ge and ZrNx layers. Accordingly, the PIII process was verified in a 100-nm-thick Cu dual-damascene interconnect, in which the ZrNx diffusion barrier of 1 nm thick was successfully self-assembled on the sidewall without barrier layer on the via bottom. In this case, the via resistance was reduced by approximately 50% in comparison with Ta/TaN barrier. Considering the results in this study, ultrathin ZrNx conformal diffusion barrier can be adopted in the sub-14 nm technology node.

  11. Ion implantation for manufacturing bent and periodically bent crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bellucci, Valerio; Camattari, Riccardo; Guidi, Vincenzo, E-mail: guidi@fe.infn.it

    2015-08-10

    Ion implantation is proposed to produce self-standing bent monocrystals. A Si sample 0.2 mm thick was bent to a radius of curvature of 10.5 m. The sample curvature was characterized by interferometric measurements; the crystalline quality of the bulk was tested by X-ray diffraction in transmission geometry through synchrotron light at ESRF (Grenoble, France). Dislocations induced by ion implantation affect only a very superficial layer of the sample, namely, the damaged region is confined in a layer 1 μm thick. Finally, an elective application of a deformed crystal through ion implantation is here proposed, i.e., the realization of a crystalline undulator to producemore » X-ray beams.« less

  12. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    NASA Astrophysics Data System (ADS)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-07-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 1017 ions/cm2. The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, CrxCy phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties.

  13. Less-Costly Ion Implantation of Solar Cells

    NASA Technical Reports Server (NTRS)

    Fitzgerald, D. J.

    1984-01-01

    Experiments point way toward more relaxed controls over ion-implanation dosage and uniformity in solar-cell fabrication. Data indicate cell performance, measured by output current density at fixed voltage, virtually same whether implant is particular ion species or broad-beam mixture of several species.

  14. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    NASA Astrophysics Data System (ADS)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  15. Development of the ion source for cluster implantation

    NASA Astrophysics Data System (ADS)

    Kulevoy, T. V.; Seleznev, D. N.; Kozlov, A. V.; Kuibeda, R. P.; Kropachev, G. N.; Alexeyenko, O. V.; Dugin, S. N.; Oks, E. M.; Gushenets, V. I.; Hershcovitch, A.; Jonson, B.; Poole, H. J.

    2014-02-01

    Bernas ion source development to meet needs of 100s of electron-volt ion implanters for shallow junction production is in progress in Institute for Theoretical and Experimental Physics. The ion sources provides high intensity ion beam of boron clusters under self-cleaning operation mode. The last progress with ion source operation is presented. The mechanism of self-cleaning procedure is described.

  16. Erbium ion implantation into different crystallographic cuts of lithium niobate

    NASA Astrophysics Data System (ADS)

    Nekvindova, P.; Svecova, B.; Cajzl, J.; Mackova, A.; Malinsky, P.; Oswald, J.; Kolistsch, A.; Spirkova, J.

    2012-02-01

    Single crystals like lithium niobate are frequently doped with optically active rare-earth or transition-metal ions for a variety of applications in optical devices such as solid-state lasers, amplifiers or sensors. To exploit the potential of the Er:LiNbO 3, one must ensure high intensity of the 1.5 μm luminescence as an inevitable prerequisite. One of the important factors influencing the luminescence properties of a lasing ion is the crystal field of the surrounding, which is inevitably determined by the crystal structure of the pertinent material. From that point it is clear that it cannot be easy to affect the resulting luminescence properties - intensity or position of the luminescence band - without changing the structure of the substrate. However, there is a possibility to utilise a potential of the ion implantation of the lasing ions, optionally accompanied with a sensitising one, that can, besides the doping, also modify the structure of the treated area od the crystal. This effect can be eventually enhanced by a post-implantation annealing that may help to recover the damaged structure and hence to improve the desired luminescence. In this paper we are going to report on our experiments with ion-implantation technique followed with subsequent annealing could be a useful way to influence the crystal field of LN. Optically active Er:LiNbO 3 layers were fabricated by medium energy implantation under various experimental conditions. The Er + ions were implanted at energies of 330 and 500 keV with fluences ranging from 1.0 × 10 15 to 1.0 × 10 16 ion cm -2 into LiNbO 3 single-crystal cuts of both common and special orientations. The as-implanted samples were annealed in air and oxygen at two different temperatures (350 and 600 °C) for 5 h. The depth concentration profiles of the implanted erbium were measured by Rutherford Backscattering Spectroscopy (RBS) using 2 MeV He + ions. The photoluminescence spectra of the samples were measured to determine the

  17. Application of TXRF for ion implanter dose matching experiments

    NASA Astrophysics Data System (ADS)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  18. The Effect of Low Energy Nitrogen Ion Implantation on Graphene Nanosheets

    NASA Astrophysics Data System (ADS)

    Mishra, Mukesh; Alwarappan, Subbiah; Kanjilal, Dinakar; Mohanty, Tanuja

    2018-03-01

    Herein, we report the effect 50 keV nitrogen ion implantation at varying fluence on the optical properties of graphene nanosheets (number of layers < 5). Initially, graphene nanosheets synthesized by the direct liquid exfoliation of graphite layers were deposited on a cleaned Si-substrate by drop cast method. These graphene nanosheets are implanted with 50 keV nitrogen-ion beam at six different fluences. Raman spectroscopic results show that the D, D' and G peak get broadened up to the nitrogen ion fluence of 1 × 1015 ions/cm2, while 2D peak of graphene nanosheets disappeared for nitrogen-ions have fluence more than 1014 ions/cm2. However, further increase of fluence causes the indistinguishable superimposition of D, D' and G peaks. Surface contact potential value analysis for ion implanted graphene nanosheets shows the increase in defect concentration from 1.15 × 1012 to 1.98 × 1014 defects/cm2 with increasing the nitrogen ion fluence, which resembles the Fermi level shift towards conduction band. XRD spectra confirmed that the crystallinity of graphene nanosheets was found to tamper with increasing fluence. These results revealed that the limit of nitrogen ion implantation resistant on the vibrational behaviors for graphene nanosheets was 1015 ions/cm2 that opens up the scope of application of graphene nanosheets in device fabrication for ion-active environment and space applications.

  19. Ion-implanted epitaxially grown ZnSe

    NASA Technical Reports Server (NTRS)

    Chernow, F.

    1975-01-01

    The use of ZnSe to obtain efficient, short wavelength injection luminescence was investigated. It was proposed that shorter wavelength emission and higher efficiency be achieved by employing a p-i-n diode structure rather than the normal p-n diode structure. The intervening i layer minimizes concentration quenching effects and the donor-acceptor pair states leading to long wavelength emission. The surface p layer was formed by ion implantation; implantation of the i layer rather than the n substrate permits higher, uncompensated p-type doping. An ion implanted p-n junction in ZnSe is efficiency-limited by high electron injection terminating in nonradiative recombination at the front surface, and by low hole injection resulting from the inability to obtain high conductivity p-type surface layers. While the injection ratio in p-n junctions was determined by the radio of majority carrier concentrations, the injection ratio in p-i-n structures was determined by the mobility ratios and/or space charge neutrality requirements in the i layer.

  20. Microfabrication Method using a Combination of Local Ion Implantation and Magnetorheological Finishing

    NASA Astrophysics Data System (ADS)

    Han, Jin; Kim, Jong-Wook; Lee, Hiwon; Min, Byung-Kwon; Lee, Sang Jo

    2009-02-01

    A new microfabrication method that combines localized ion implantation and magnetorheological finishing is proposed. The proposed technique involves two steps. First, selected regions of a silicon wafer are irradiated with gallium ions by using a focused ion beam system. The mechanical properties of the irradiated regions are altered as a result of the ion implantation. Second, the wafer is processed by using a magnetorheological finishing method. During the finishing process, the regions not implanted with ion are preferentially removed. The material removal rate difference is utilized for microfabrication. The mechanisms of the proposed method are discussed, and applications are presented.

  1. Semiconductor Ion Implanters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    MacKinnon, Barry A.; Ruffell, John P.

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion. Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intelmore » product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.« less

  2. Ion beam sputter modification of the surface morphology of biological implants

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Banks, B. A.

    1976-01-01

    The surface chemistry and texture of materials used for biological implants may significantly influence their performance and biocompatibility. Recent interest in the microscopic control of implant surface texture has led to the evaluation of ion beam sputtering as a potentially useful surface roughening technique. Ion sources, similar to electron bombardment ion thrusters designed for propulsive applications, are used to roughen the surfaces of various biocompatible alloys or polymer materials. These materials are typically used for dental implants, orthopedic prostheses, vascular prostheses, and artificial heart components. Masking techniques and resulting surface textures are described along with progress concerning evaluation of the biological response to the ion beam sputtered surfaces.

  3. Ion-beam-sputter modification of the surface morphology of biological implants

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Banks, B. A.

    1977-01-01

    The surface chemistry and texture of materials used for biological implants may significantly influence their performance and biocompatibility. Recent interest in the microscopic control of implant surface texture has led to the evaluation of ion-beam sputtering as a potentially useful surface roughening technique. Ion sources, similar to electron-bombardment ion thrusters designed for propulsive applications, are used to roughen the surfaces of various biocompatible alloys or polymer materials. These materials are typically used for dental implants, orthopedic prostheses, vascular prostheses, and artificial heart components. Masking techniques and resulting surface textures are described along with progress concerning evaluation of the biological response to the ion-beam-sputtered surfaces.

  4. Low-temperature positron annihilation study of B+-ion implanted PMMA

    NASA Astrophysics Data System (ADS)

    Kavetskyy, T. S.; Tsmots, V. M.; Voloshanska, S. Ya.; Šauša, O.; Nuzhdin, V. I.; Valeev, V. F.; Osin, Y. N.; Stepanov, A. L.

    2014-08-01

    Temperature dependent positron annihilation lifetime spectroscopy (PALS) measurements in the range of 50-300 K are carried out to study positronium formation in 40 KeV B+-ion implanted polymethylmethacrylate (B:PMMA) with two ion doses of 3.13 × 1015 and 3.75 × 1016 ions/cm2. The investigated samples show the various temperature trends of ortho-positronium (o-Ps) lifetime τ3 and intensity I3 in PMMA before and after ion implantation. Two transitions in the vicinity of ˜150 and ˜250 K, ascribed to γ and β transitions, respectively, are observed in the PMMA and B:PMMA samples in consistent with reference data for pristine sample. The obtained results are compared with room temperature PALS study of PMMA with different molecular weight (Mw) which known from literature. It is found that B+-ion implantation leads to decreasing Mw in PMMA at lower ion dose. At higher ion dose the local destruction of polymeric structure follows to broadening of lifetime distribution (hole size distribution).

  5. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source.

    PubMed

    Pilz, W; Laufer, P; Tajmar, M; Böttger, R; Bischoff, L

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi 2 + ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  6. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    NASA Astrophysics Data System (ADS)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  7. Formation of carbon nanoclusters by implantation of keV carbon ions in fused silica followed by thermal annealing

    NASA Astrophysics Data System (ADS)

    Olivero, P.; Peng, J. L.; Liu, A.; Reichart, P.; McCallum, J. C.; Sze, J. Y.; Lau, S. P.; Tay, B. K.; Kalish, R.; Dhar, S.; Feldman, Leonard; Jamieson, David N.; Prawer, Steven

    2005-02-01

    In the last decade, the synthesis and characterization of nanometer sized carbon clusters have attracted growing interest within the scientific community. This is due to both scientific interest in the process of diamond nucleation and growth, and to the promising technological applications in nanoelectronics and quantum communications and computing. Our research group has demonstrated that MeV carbon ion implantation in fused silica followed by thermal annealing in the presence of hydrogen leads to the formation of nanocrystalline diamond, with cluster size ranging from 5 to 40 nm. In the present paper, we report the synthesis of carbon nanoclusters by the implantation into fused silica of keV carbon ions using the Plasma Immersion Ion Implantation (PIII) technique, followed by thermal annealing in forming gas (4% 2H in Ar). The present study is aimed at evaluating this implantation technique that has the advantage of allowing high fluence-rates on large substrates. The carbon nanostructures have been characterized with optical absorption and Raman spectroscopies, cross sectional Transmission Electron Microscopy (TEM), and Parallel Electron Energy Loss Spectroscopy (PEELS). Nuclear Reaction Analysis (NRA) has been employed to evaluate the deuterium incorporation during the annealing process, as a key mechanism to stabilize the formation of the clusters.

  8. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    DOEpatents

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  9. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    DOEpatents

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  10. Effects of nitrogen ion implantation time on tungsten films deposited by DC magnetron sputtering on AISI 410 martensitic stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malau, Viktor, E-mail: malau@ugm.ac.id; Ilman, Mochammad Noer, E-mail: noer-ilman@yahoo.com; Iswanto, Priyo Tri, E-mail: priyatri@yahoo.com

    Nitrogen ion implantation time on tungsten thin film deposited on surface of AISI 410 steel has been performed. Tungsten thin film produced by dc magnetron sputtering method was deposited on AISI 410 martensitic stainless steel substrates, and then the nitrogen ions were implanted on tungsten thin film. The objective of this research is to investigate the effects of implantation deposition time on surface roughness, microhardness, specific wear and corrosion rate of nitrogen implanted on tungsten film. Magnetron sputtering process was performed by using plasma gas of argon (Ar) to bombardier tungsten target (W) in a vacuum chamber with a pressuremore » of 7.6 x 10{sup −2} torr, a voltage of 300 V, a sputter current of 80 mA for sputtered time of 10 minutes. Nitrogen implantation on tungsten film was done with an initial pressure of 3x10{sup −6} mbar, a fluence of 2 x 10{sup 17} ions/cm{sup 2}, an energy of 100 keV and implantation deposition times of 0, 20, 30 and 40 minutes. The surface roughness, microhardness, specific wear and corrosion rate of the films were evaluated by surfcorder test, Vickers microhardness test, wear test and potentiostat (galvanostat) test respectively. The results show that the nitrogen ions implanted deposition time on tungsten film can modify the surface roughness, microhardness, specific wear and corrosion rate. The minimum surface roughness, specific wear and corrosion rate can be obtained for implantation time of 20 minutes and the maximum microhardness of the film is 329 VHN (Vickers Hardness Number) for implantation time of 30 minutes. The specific wear and corrosion rate of the film depend directly on the surface roughness.« less

  11. X-ray photoelectron study of Si+ ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Tsvetkova, T.; Balabanov, S.; Bischoff, L.; Krastev, V.; Stefanov, P.; Avramova, I.

    2010-11-01

    X-ray photoelectron spectroscopy was used to characterize different polymer materials implanted with low energy Si+ ions (E=30 keV, D= 1.1017 cm-2). Two kinds of polymers were studied - ultra-high-molecular-weight poly-ethylene (UHMWPE), and poly-methyl-methacrylate (PMMA). The non-implanted polymer materials show the expected variety of chemical bonds: carbon-carbon, carbon being three- and fourfold coordinated, and carbon-oxygen in the case of PMMA samples. The X-ray photoelectron and Raman spectra show that Si+ ion implantation leads to the introduction of additional disorder in the polymer material. The X-ray photoelectron spectra of the implanted polymers show that, in addition to already mentioned bonds, silicon creates new bonds with the host elements - Si-C and Si-O, together with additional Si dangling bonds as revealed by the valence band study of the implanted polymer materials.

  12. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    NASA Astrophysics Data System (ADS)

    Nikolaev, A. G.; Yushkov, G. Yu.; Oks, E. M.; Oztarhan, A.; Akpek, A.; Hames-Kocabas, E.; Urkac, E. S.; Brown, I. G.

    2014-08-01

    Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal-gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the "inverse" concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material.

  13. Friction and Wear Properties of As-deposited and Carbon Ion-implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1994-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 ke V ion energy, resulting in a dose of 1.2310(exp 17) carbon ions/cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, x-ray photoelectron spectroscopy, and x-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40 percent relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and were properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to 10(exp -8)mm(exp 3)/N-m) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4)mm(exp 3/N-m) in ultrahigh vacuum. The carbon ion implanation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, nondiamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine- and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7)mm(exp 3/N-m). Even in ultrahigh vacuum, the presence of the nondiamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6)mm(exp 3)/N-m. Thus, the carbon ion-implanted, fine

  14. Improved cell viability and hydroxyapatite growth on nitrogen ion-implanted surfaces

    NASA Astrophysics Data System (ADS)

    Shafique, Muhammad Ahsan; Murtaza, G.; Saadat, Shahzad; Uddin, Muhammad K. H.; Ahmad, Riaz

    2017-08-01

    Stainless steel 306 is implanted with various doses of nitrogen ions using a 2 MV pelletron accelerator for the improvement of its surface biomedical properties. Raman spectroscopy reveals incubation of hydroxyapatite (HA) on all the samples and it is found that the growth of incubated HA is greater in higher ion dose samples. SEM profiles depict uniform growth and greater spread of HA with higher ion implantation. Human oral fibroblast response is also found consistent with Raman spectroscopy and SEM results; the cell viability is found maximum in samples treated with the highest (more than 300%) dose. XRD profiles signified greater peak intensity of HA with ion implantation; a contact angle study revealed hydrophilic behavior of all the samples but the treated samples were found to be lesser hydrophilic compared to the control samples. Nitrogen implantation yields greater bioactivity, improved surface affinity for HA incubation and improved hardness of the surface.

  15. System OptimizatIon of the Glow Discharge Optical Spectroscopy Technique Used for Impurity Profiling of ION Implanted Gallium Arsenide.

    DTIC Science & Technology

    1980-12-01

    AFIT/GEO/EE/80D-1 I -’ SYSTEM OPTIMIZATION OF THE GLOW DISCHARGE OPTICAL SPECTROSCOPY TECHNIQUE USED FOR IMPURITY PROFILING OF ION IMPLANTED GALLIUM ...EE/80D-1 (\\) SYSTEM OPTIMIZATION OF THE GLOW DISCHARGE OPTICAL SPECTROSCOPY TECHNIQUE USED FOR IMPURITY PROFILING OF ION IMPLANTED GALLIUM ARSENIDE...semiconductors, specifically annealed and unan- nealed ion implanted gallium arsenide (GaAs). Methods to improve the sensitivity of the GDOS system have

  16. Photoreflectance Study of Boron Ion-Implanted (100) Cadmium Telluride

    NASA Technical Reports Server (NTRS)

    Amirtharaj, P. M.; Odell, M. S.; Bowman, R. C., Jr.; Alt, R. L.

    1988-01-01

    Ion implanted (100) cadmium telluride was studied using the contactless technique of photoreflectance. The implantations were performed using 50- to 400-keV boron ions to a maximum dosage of 1.5 x 10(16)/sq cm, and the annealing was accomplished at 500 C under vacuum. The spectral measurements were made at 77 K near the E(0) and E(1) critical points; all the spectra were computer-fitted to Aspnes' theory. The spectral line shapes from the ion damaged, partially recovered and undamaged, or fully recovered regions could be identified, and the respective volume fraction of each phase was estimated.

  17. Compositional, structural, and optical changes of polyimide implanted by 1.0 MeV Ni+ ions

    NASA Astrophysics Data System (ADS)

    Mikšová, R.; Macková, A.; Pupikova, H.; Malinský, P.; Slepička, P.; Švorčík, V.

    2017-09-01

    The ion irradiation leads to deep structural and compositional changes in the irradiated polymers. Ni+ ions implanted polymers were investigated from the structural and compositional changes point of view and their optical properties were investigated. Polyimide (PI) foils were implanted with 1.0 MeV Ni+ ions at room temperature with fluencies of 1.0 × 1013-1.0 × 1015 cm-2 and two different ion implantation currents densities (3.5 and 7.2 nA/cm2). Rutherford Back-Scattering (RBS) and Elastic Recoil Detection Analysis (ERDA) were used for determination of oxygen and hydrogen escape in implanted PI. Atomic Force Microscopy (AFM) was used to follow surface roughness modification after the ion implantation and UV-Vis spectroscopy was employed to check the optical properties of the implanted PI. The implanted PI structural changes were analysed using Attenuated Total Reflection Fourier Transform Infrared Spectroscopy (ATR-FTIR). High energy Ni-ion implantation causes only a minor release of hydrogen and oxygen close to the polymer sub-surface region in about 60 nm thick layer penetrated by the ion beam; especially at ion fluencies below 1.0 × 1014 cm-2. The mostly pronounced structural changes of the Ni implanted PI were found for the samples implanted above ion fluence 1.0 × 1015 cm-2 and at the ion current density 7.2 nA/cm2, where the optical band gap significantly decreases and the reduction of more complex structural unit of PI monomer was observed.

  18. Electronic excitation effects on nanoparticle formation in insulators under heavy-ion implantation

    NASA Astrophysics Data System (ADS)

    Kishimoto, N.; Plaksin, O. A.; Masuo, K.; Okubo, N.; Umeda, N.; Takeda, Y.

    2006-01-01

    Kinetic processes of nanoparticle formation by ion implantation was studied for the insulators of a-SiO2, LiNbO3, MgO · 2.4(Al2O3) and PMMA, either by changing ion flux or by using a co-irradiation technique of ions and photons. Under Cu-implantation of 60 keV Cu-, nanoparticles spontaneously formed without thermal annealing, indicating radiation-induced diffusion of implants. The high-flux implantation caused instable behaviors of nanoparticle morphology in a-SiO2, LiNbO3 and PMMA, i.e. enhanced atomic rearrangement or loss of nanoparticles. The spinel MgO · 2.4(Al2O3) also showed nanoparticle precipitation at 60 keV, but the precipitation tendency is less than the others. Combined irradiation of 3 MeV Cu ions and photons of 2.3 eV or 3.5 eV indicates that the electronic excitation during ion implantation significantly enhances nanoparticle precipitation, greatly depending on photon energy and fluence. The selectivity for photons can be applied to control nanoparticle precipitation.

  19. Adhesive and abrasive wear mechanisms in ion implanted metals

    NASA Astrophysics Data System (ADS)

    Dearnaley, G.

    1985-03-01

    The distinction between adhesive and abrasive wear processes was introduced originally by Burwell during the nineteen-fifties, though some authors prefer to classify wear according to whether it is mild or severe. It is argued here that, on the basis of the performance of a variety of ion implanted metal surfaces, exposed to different modes of wear, the Burwell distinction is a valid one which, moreover, enables us to predict under which circumstances a given treatment will perform well. It is shown that, because wear rates under abrasive conditions are very sensitive to the ratio of the hardness of the surface to that of the abrasive particles, large increases in working life are attainable as a result of ion implantation. Under adhesive wear conditions, the wear rate appears to fall inversely as the hardness increases, and it is advantageous to implant species which will create and retain a hard surface oxide or other continuous film in order to reduce metal-metal contact. By the appropriate combination of physico-chemical changes in an implanted layer it has been possible to reduce wear rates by up to three orders of magnitude. Such rates compensate for the shallow depths achievable by ion implantation.

  20. Role of stresses in annealing of ion-implantation damage in Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seshan, K.; EerNisse, E.P.

    Recent results showing a crystallographic orientation dependence of growth kinetics, secondary defects, and stress relief in annealing of ion-implanted Si are shown to be self-consistent if interpreted in terms of the influence of stresses upon annealing processes. The stress influence proposed is microplastic shear which is induced in (112) directions on (111) planes inclined to the implant surface by the biaxial stress created in the implant region by ion-implantation damage. The shear stresses are shown to be dependent on crystallographic orientation in a manner consistent with the model.

  1. Persistent photoconductivity in oxygen-ion implanted KNbO3 bulk single crystal

    NASA Astrophysics Data System (ADS)

    Tsuruoka, R.; Shinkawa, A.; Nishimura, T.; Tanuma, C.; Kuriyama, K.; Kushida, K.

    2016-12-01

    Persistent Photoconductivity (PPC) in oxygen-ion implanted KNbO3 ([001] oriented bulk single crystals; perovskite structure; ferroelectric with a band gap of 3.16 eV) is studied in air at room temperature to prevent the degradation of its crystallinity caused by the phase transition. The residual hydrogens in un-implanted samples are estimated to be 5×1014 cm-2 from elastic recoil detection analysis (ERDA). A multiple-energy implantation of oxygen ions into KNbO3 is performed using energies of 200, 400, and 600 keV (each ion fluence:1.0×1014 cm-2). The sheet resistance varies from >108 Ω/□ for an un-implanted sample to 1.9×107 Ω/□ for as-implanted one, suggesting the formation of donors due to hydrogen interstitials and oxygen vacancies introduced by the ion implantation. The PPC is clearly observed with ultraviolet and blue LEDs illumination rather than green, red, and infrared, suggesting the release of electrons from the metastable conductive state below the conduction band relating to the charge states of the oxygen vacancy.

  2. Oxygen-related vacancy-type defects in ion-implanted silicon

    NASA Astrophysics Data System (ADS)

    Pi, X. D.; Burrows, C. P.; Coleman, P. G.; Gwilliam, R. M.; Sealy, B. J.

    2003-10-01

    Czochralski silicon samples implanted to a dose of 5 × 1015 cm-2 with 0.5 MeV O and to a dose of 1016 cm-2 with 1 MeV Si, respectively, have been studied by positron annihilation spectroscopy. The evolution of divacancies to vacancy (V)-O complexes is out-competed by V-interstitial (I) recombination at 400 and 500 °C in the Si- and O-implanted samples; the higher oxygen concentration makes the latter temperature higher. The defective region shrinks as the annealing temperature increases as interstitials are injected from the end of the implantation range (Rp). VmOn (m> n) are formed in the shallow region most effectively at 700 °C for both Si and O implantation. VxOy (x< y) are produced near Rp by the annealing. At 800 °C, implanted Si ions diffuse and reduce m and implanted O ions diffuse and increase n in VmOn. All oxygen-related vacancy-type defects appear to begin to dissociate at 950 °C, with the probable formation of oxygen clusters. At 1100 °C, oxygen precipitates appear to form just before Rp in O-implanted silicon.

  3. Chemical characterization of 4140 steel implanted by nitrogen ions

    NASA Astrophysics Data System (ADS)

    Niño, E. D. V.; Pinto, J. L.; Dugar-Zhabon, V.; Henao, J. A.

    2012-06-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 1017 ions/cm2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  4. The Effect of Ag and Ag+N Ion Implantation on Cell Attachment Properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Urkac, Emel Sokullu; Oztarhan, Ahmet; Gurhan, Ismet Deliloglu

    2009-03-10

    Implanted biomedical prosthetic devices are intended to perform safely, reliably and effectively in the human body thus the materials used for orthopedic devices should have good biocompatibility. Ultra High Molecular Weight Poly Ethylene (UHMWPE) has been commonly used for total hip joint replacement because of its very good properties. In this work, UHMWPE samples were Ag and Ag+N ion implanted by using the Metal-Vapor Vacuum Arc (MEVVA) ion implantation technique. Samples were implanted with a fluency of 1017 ion/cm2 and extraction voltage of 30 kV. Rutherford Backscattering Spectrometry (RBS) was used for surface studies. RBS showed the presence of Agmore » and N on the surface. Cell attachment properties investigated with model cell lines (L929 mouse fibroblasts) to demonstrate that the effect of Ag and Ag+N ion implantation can favorably influence the surface of UHMWPE for biomedical applications. Scanning electron microscopy (SEM) was used to demonstrate the cell attachment on the surface. Study has shown that Ag+N ion implantation represents more effective cell attachment properties on the UHMWPE surfaces.« less

  5. Surface modification of NiTi by plasma based ion implantation for application in harsh environments

    NASA Astrophysics Data System (ADS)

    Oliveira, R. M.; Fernandes, B. B.; Carreri, F. C.; Gonçalves, J. A. N.; Ueda, M.; Silva, M. M. N. F.; Silva, M. M.; Pichon, L.; Camargo, E. N.; Otubo, J.

    2012-12-01

    The substitution of conventional components for NiTi in distinct devices such as actuators, valves, connectors, stents, orthodontic arc-wires, e.g., usually demands some kind of treatment to be performed on the surface of the alloy. A typical case is of biomaterials made of NiTi, in which the main drawback is the Ni out-diffusion, an issue that has been satisfactorily addressed by plasma based ion implantation (PBII). Even though PBII can tailor selective surface properties of diverse materials, usually, only thin modified layers are attained. When NiTi alloys are to be used in the harsh space environment, as is the case of devices designed to remotely release the solar panels and antenna arrays of satellites, e.g., superior mechanical and tribological properties are demanded. For this case the thickness of the modified layer must be larger than the one commonly achieved by conventional PBII. In this paper, new nitrogen PBII set up was used to treat samples of NiTi in moderate temperature of 450 °C, with negative voltage pulses of 7 kV/250 Hz/20 μs, in a process lasting 1 h. A rich nitrogen atomic concentration of 85 at.% was achieved on the near surface and nitrogen diffused at least for 11 μm depth. Tribological properties as well as corrosion resistance were evaluated.

  6. Motion of the plasma critical layer during relativistic-electron laser interaction with immobile and comoving ion plasma for ion accelerationa)

    NASA Astrophysics Data System (ADS)

    Sahai, Aakash A.

    2014-05-01

    We analyze the motion of the plasma critical layer by two different processes in the relativistic-electron laser-plasma interaction regime (a0>1). The differences are highlighted when the critical layer ions are stationary in contrast to when they move with it. Controlling the speed of the plasma critical layer in this regime is essential for creating low-β traveling acceleration structures of sufficient laser-excited potential for laser ion accelerators. In Relativistically Induced Transparency Acceleration (RITA) scheme, the heavy plasma-ions are fixed and only trace-density light-ions are accelerated. The relativistic critical layer and the acceleration structure move longitudinally forward by laser inducing transparency through apparent relativistic increase in electron mass. In the Radiation Pressure Acceleration (RPA) scheme, the whole plasma is longitudinally pushed forward under the action of the laser radiation pressure, possible only when plasma ions co-propagate with the laser front. In RPA, the acceleration structure velocity critically depends upon plasma-ion mass in addition to the laser intensity and plasma density. In RITA, mass of the heavy immobile plasma-ions does not affect the speed of the critical layer. Inertia of the bared immobile ions in RITA excites the charge separation potential, whereas RPA is not possible when ions are stationary.

  7. Motion of the plasma critical layer during relativistic-electron laser interaction with immobile and comoving ion plasma for ion acceleration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahai, Aakash A., E-mail: aakash.sahai@gmail.com

    2014-05-15

    We analyze the motion of the plasma critical layer by two different processes in the relativistic-electron laser-plasma interaction regime (a{sub 0}>1). The differences are highlighted when the critical layer ions are stationary in contrast to when they move with it. Controlling the speed of the plasma critical layer in this regime is essential for creating low-β traveling acceleration structures of sufficient laser-excited potential for laser ion accelerators. In Relativistically Induced Transparency Acceleration (RITA) scheme, the heavy plasma-ions are fixed and only trace-density light-ions are accelerated. The relativistic critical layer and the acceleration structure move longitudinally forward by laser inducing transparencymore » through apparent relativistic increase in electron mass. In the Radiation Pressure Acceleration (RPA) scheme, the whole plasma is longitudinally pushed forward under the action of the laser radiation pressure, possible only when plasma ions co-propagate with the laser front. In RPA, the acceleration structure velocity critically depends upon plasma-ion mass in addition to the laser intensity and plasma density. In RITA, mass of the heavy immobile plasma-ions does not affect the speed of the critical layer. Inertia of the bared immobile ions in RITA excites the charge separation potential, whereas RPA is not possible when ions are stationary.« less

  8. Super-hard cubic BN layer formation by nitrogen ion implantation

    NASA Astrophysics Data System (ADS)

    Komarov, F. F.; Pilko, V. V.; Yakushev, V. A.; Tishkov, V. S.

    1994-11-01

    Microcrystalline and amorphous boron thin films were implanted with nitrogen ions at energies from 25 to 125 keV and with doses from 2 × 10 17 to 1 × 10 18 at.cm 2 at temperatures below 200°C. The structure of boron nitride phases after ion implantation, formation of phases and phase transformations were investigated by TEM and TED methods. The cubic boron nitride phase is revealed. The microhardness of the formed films was satisfactorily explained in terms of chemical compound formation by polyenergetic ion implantation. The influence of the copper impurity on the formation of the cubic boron nitride phase is demonstrated. It has also been shown that low concentrations of copper promote cubic BN boundary formation.

  9. Preparation of Ag-containing diamond-like carbon films on the interior surface of tubes by a combined method of plasma source ion implantation and DC sputtering

    NASA Astrophysics Data System (ADS)

    Hatada, R.; Flege, S.; Bobrich, A.; Ensinger, W.; Dietz, C.; Baba, K.; Sawase, T.; Watamoto, T.; Matsutani, T.

    2014-08-01

    Adhesive diamond-like carbon (DLC) films can be prepared by plasma source ion implantation (PSII), which is also suitable for the treatment of the inner surface of a tube. Incorporation of a metal into the DLC film provides a possibility to change the characteristics of the DLC film. One source for the metal is DC sputtering. In this study PSII and DC sputtering were combined to prepare DLC films containing low concentrations of Ag on the interior surfaces of stainless steel tubes. A DLC film was deposited using a C2H4 plasma with the help of an auxiliary electrode inside of the tube. This electrode was then used as a target for the DC sputtering. A mixture of the gases Ar and C2H4 was used to sputter the silver. By changing the gas flow ratios and process time, the resulting Ag content of the films could be varied. Sample characterizations were performed by X-ray photoelectron spectroscopy, secondary ion mass spectrometry, atomic force microscopy and Raman spectroscopy. Additionally, a ball-on-disk test was performed to investigate the tribological properties of the films. The antibacterial activity was determined using Staphylococcus aureus bacteria.

  10. Lattice disorder produced in GaN by He-ion implantation

    NASA Astrophysics Data System (ADS)

    Han, Yi; Peng, Jinxin; Li, Bingsheng; Wang, Zhiguang; Wei, Kongfang; Shen, Tielong; Sun, Jianrong; Zhang, Limin; Yao, Cunfeng; Gao, Ning; Gao, Xing; Pang, Lilong; Zhu, Yabin; Chang, Hailong; Cui, Minghuan; Luo, Peng; Sheng, Yanbin; Zhang, Hongpeng; Zhang, Li; Fang, Xuesong; Zhao, Sixiang; Jin, Jin; Huang, Yuxuan; Liu, Chao; Tai, Pengfei; Wang, Dong; He, Wenhao

    2017-09-01

    The lattice disorders induced by He-ion implantation in GaN epitaxial films to fluences of 2 × 1016, 5 × 1016 and 1 × 1017 cm-2 at room temperature (RT) have been investigated by a combination of Raman spectroscopy, high-resolution X-ray diffraction (HRXRD), nano-indentation, and transmission electron microscopy (TEM). The experimental results present that Raman intensity decreases with increasing fluence. Raman frequency "red shift" occurs after He-ion implantation. Strain increases with increasing fluence. The hardness of the highly damaged layer increases monotonically with increasing fluence. Microstructural results demonstrate that the width of the damage band and the number density of observed dislocation loops increases with increasing fluence. High-resolution TEM images exhibit that He-ion implantation lead to the formation of planar defects and most of the lattice defects are of interstitial-type basal loops. The relationships of Raman intensity, lattice strain, swelling and hardness with He-implantation-induced lattice disorders are discussed.

  11. Characterization of silicon-gate CMOS/SOS integrated circuits processed with ion implantation

    NASA Technical Reports Server (NTRS)

    Woo, D. S.

    1977-01-01

    Progress in developing the application of ion implantation techniques to silicon gate CMOS/SOS processing is described. All of the conventional doping techniques such as in situ doping of the epi-film and diffusion by means of doped oxides are replaced by ion implantation. Various devices and process parameters are characterized to generate an optimum process by the use of an existing SOS test array. As a result, excellent circuit performance is achieved. A general description of the all ion implantation process is presented.

  12. Ion Implantation with in-situ Patterning for IBC Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graff, John W.

    2014-10-24

    Interdigitated back-side Contact (IBC) solar cells are the highest efficiency silicon solar cells currently on the market. Unfortunately the cost to produce these solar cells is also very high, due to the large number of processing steps required. Varian believes that only the combination of high efficiency and low cost can meet the stated goal of $1/Wp. The core of this program has been to develop an in-situ patterning capability for an ion implantation system capable of producing patterned doped regions for IBC solar cells. Such a patterning capable ion implanter can reduce the number of process steps required tomore » manufacture IBC cells, and therefore significantly reduce the cost. The present program was organized into three phases. Phase I was to select a patterning approach and determine the patterning requirements for IBC cells. Phase II consists of construction of a Beta ion implantation system containing in-situ patterning capability. Phase III consists of shipping and installation of the ion implant system in a customer factory where it will be tested and proven in a pilot production line.« less

  13. Observations of strong ion-ion correlations in dense plasmas

    DOE PAGES

    Ma, T.; Fletcher, L.; Pak, A.; ...

    2014-04-24

    Using simultaneous spectrally, angularly, and temporally resolved x-ray scattering, we measure the pronounced ion-ion correlation peak in a strongly coupled plasma. Laser-driven shock-compressed aluminum at ~3× solid density is probed with high-energy photons at 17.9 keV created by molybdenum He-α emission in a laser-driven plasma source. The measured elastic scattering feature shows a well-pronounced correlation peak at a wave vector of k=4Å –1. The magnitude of this correlation peak cannot be described by standard plasma theories employing a linear screened Coulomb potential. Advanced models, including a strong short-range repulsion due to the inner structure of the aluminum ions are howevermore » in good agreement with the scattering data. These studies have demonstrated a new highly accurate diagnostic technique to directly measure the state of compression and the ion-ion correlations. Furthermore, we have since applied this new method in single-shot wave-number resolved S(k) measurements to characterize the physical properties of dense plasmas.« less

  14. Plasma-sprayed titanium coating to polyetheretherketone improves the bone-implant interface.

    PubMed

    Walsh, William R; Bertollo, Nicky; Christou, Chrisopher; Schaffner, Dominik; Mobbs, Ralph J

    2015-05-01

    Rapid and stable fixation at the bone-implant interface would be regarded as one of the primary goals to achieve clinical efficacy, regardless of the surgical site. Although mechanical and physical properties of polyetheretherketone (PEEK) provide advantages for implant devices, the hydrophobic nature and the lack of direct bone contact remains a limitation. To examine the effects of a plasma-sprayed titanium coated PEEK on the mechanical and histologic properties at the bone-implant interface. A preclinical laboratory study. Polyetheretherketone and plasma-sprayed titanium coated PEEK implants (Ti-bond; Spinal Elements, Carlsbad, CA, USA) were placed in a line-to-line manner in cortical bone and in a press-fit manner in cancellous bone of adult sheep using an established ovine model. Shear strength was assessed in the cortical sites at 4 and 12 weeks, whereas histology was performed in cortical and cancellous sites at both time points. The titanium coating dramatically improved the shear strength at the bone-implant interface at 4 weeks and continued to improve with time compared with PEEK. Direct bone ongrowth in cancellous and cortical sites can be achieved using a plasma-sprayed titanium coating on PEEK. Direct bone to implant bonding can be achieved on PEEK in spite of its hydrophobic nature using a plasma-sprayed titanium coating. The plasma-sprayed titanium coating improved mechanical properties in the cortical sites and the histology in cortical and cancellous sites. Copyright © 2015 Elsevier Inc. All rights reserved.

  15. Hybrid Donor-Dot Devices made using Top-down Ion Implantation for Quantum Computing

    NASA Astrophysics Data System (ADS)

    Bielejec, Edward; Bishop, Nathan; Carroll, Malcolm

    2012-02-01

    We present progress towards fabricating hybrid donor -- quantum dots (QD) for quantum computing. These devices will exploit the long coherence time of the donor system and the surface state manipulation associated with a QD. Fabrication requires detection of single ions implanted with 10's of nanometer precision. We show in this talk, 100% detection efficiency for single ions using a single ion Geiger mode avalanche (SIGMA) detector integrated into a Si MOS QD process flow. The NanoImplanter (nI) a focused ion beam system is used for precision top-down placement of the implanted ion. This machine has a 10 nm resolution combined with a mass velocity filter, allowing for the use of multi-species liquid metal ion sources (LMIS) to implant P and Sb ions, and a fast blanking and chopping system for single ion implants. The combination of the nI and integration of the SIGMA with the MOS QD process flow establishes a path to fabricate hybrid single donor-dot devices. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  16. Ion beam technology applications study. [ion impact, implantation, and surface finishing

    NASA Technical Reports Server (NTRS)

    Sellen, J. M., Jr.; Zafran, S.; Komatsu, G. K.

    1978-01-01

    Specific perceptions and possible ion beam technology applications were obtained as a result of a literature search and contact interviews with various institutions and individuals which took place over a 5-month period. The use of broad beam electron bombardment ion sources is assessed for materials deposition, removal, and alteration. Special techniques examined include: (1) cleaning, cutting, and texturing for surface treatment; (2) crosslinking of polymers, stress relief in deposited layers, and the creation of defect states in crystalline material by ion impact; and (3) ion implantation during epitaxial growth and the deposition of neutral materials sputtered by the ion beam. The aspects, advantages, and disadvantages of ion beam technology and the competitive role of alternative technologies are discussed.

  17. Synergistic effect of nanotopography and bioactive ions on peri-implant bone response

    PubMed Central

    Su, Yingmin; Komasa, Satoshi; Li, Peiqi; Nishizaki, Mariko; Chen, Luyuan; Terada, Chisato; Yoshimine, Shigeki; Nishizaki, Hiroshi; Okazaki, Joji

    2017-01-01

    Both bioactive ion chemistry and nanoscale surface modifications are beneficial for enhanced osseointegration of endosseous implants. In this study, a facile synthesis approach to the incorporation of bioactive Ca2+ ions into the interlayers of nanoporous structures (Ca-nano) formed on a Ti6Al4V alloy surface was developed by sequential chemical and heat treatments. Samples with a machined surface and an Na+ ion-incorporated nanoporous surface (Na-nano) fabricated by concentrated alkali and heat treatment were used in parallel for comparison. The bone response was investigated by microcomputed tomography assessment, sequential fluorescent labeling analysis, and histological and histomorphometric evaluation after 8 weeks of implantation in rat femurs. No significant differences were found in the nanotopography, surface roughness, or crystalline properties of the Ca-nano and Na-nano surfaces. Bone–implant contact was better in the Ca-nano and Na-nano implants than in the machined implant. The Ca-nano implant was superior to the Na-nano implant in terms of enhancing the volume of new bone formation. The bone formation activity consistently increased for the Ca-nano implant but ceased for the Na-nano implant in the late healing stage. These results suggest that Ca-nano implants have promising potential for application in dentistry and orthopedics. PMID:28184162

  18. Intrinsic point-defect balance in self-ion-implanted ZnO.

    PubMed

    Neuvonen, Pekka T; Vines, Lasse; Svensson, Bengt G; Kuznetsov, Andrej Yu

    2013-01-04

    The role of excess intrinsic atoms for residual point defect balance has been discriminated by implanting Zn or O ions into Li-containing ZnO and monitoring Li redistribution and electrical resistivity after postimplant anneals. Strongly Li-depleted regions were detected in the Zn-implanted samples at depths beyond the projected range (R(p)) upon annealing ≥ 600 °C, correlating with a resistivity decrease. In contrast, similar anneals of the O-implanted samples resulted in Li accumulation at R(p) and an increased resistivity. Control samples implanted with Ar or Ne ions, yielding similar defect production as for the Zn or O implants but with no surplus of intrinsic atoms, revealed no Li depletion. Thus, the depletion of Li shows evidence of excess Zn interstitials (Zn(I)) being released during annealing of the Zn-implanted samples. These Zn(I)'s convert substitutional Li atoms (Li(Zn)) into highly mobile interstitial ones leading to the strongly Li-depleted regions. In the O-implanted samples, the high resistivity provides evidence of stable O(I)-related acceptors.

  19. Observations of Ag diffusion in ion implanted SiC

    DOE PAGES

    Gerczak, Tyler J.; Leng, Bin; Sridharan, Kumar; ...

    2015-03-17

    The nature and magnitude of Ag diffusion in SiC has been a topic of interest in connection with the performance of tristructural isotropic (TRISO) coated particle fuel for high temperature gas-cooled nuclear reactors. Ion implantation diffusion couples have been revisited to continue developing a more complete understanding of Ag fission product diffusion in SiC. Ion implantation diffusion couples fabricated from single crystal 4H-SiC and polycrystalline 3C-SiC substrates and exposed to 1500–1625°C, were investigated in this study by transmission electron microscopy and secondary ion mass spectrometry (SIMS). The high dynamic range of SIMS allowed for multiple diffusion régimes to be investigated,more » including enhanced diffusion by implantation-induced defects and grain boundary (GB) diffusion in undamaged SiC. Lastly, estimated diffusion coefficients suggest GB diffusion in bulk SiC does not properly describe the release observed from TRISO fuel.« less

  20. High Curie temperature drive layer materials for ion-implanted magnetic bubble devices

    NASA Technical Reports Server (NTRS)

    Fratello, V. J.; Wolfe, R.; Blank, S. L.; Nelson, T. J.

    1984-01-01

    Ion implantation of bubble garnets can lower the Curie temperature by 70 C or more, thus limiting high temperature operation of devices with ion-implanted propagation patterns. Therefore, double-layer materials were made with a conventional 2-micron bubble storage layer capped by an ion-implantable drive layer of high Curie temperature, high magnetostriction material. Contiguous disk test patterns were implanted with varying doses of a typical triple implant. Quality of propagation was judged by quasistatic tests on 8-micron period major and minor loops. Variations of magnetization, uniaxial anisotropy, implant dose, and magnetostriction were investigated to ensure optimum flux matching, good charged wall coupling, and wide operating margins. The most successful drive layer compositions were in the systems (SmDyLuCa)3(FeSi)5O12 and (BiGdTmCa)3(FeSi)5O12 and had Curie temperatures 25-44 C higher than the storage layers.

  1. Helicon plasma ion temperature measurements and observed ion cyclotron heating in proto-MPEX

    NASA Astrophysics Data System (ADS)

    Beers, C. J.; Goulding, R. H.; Isler, R. C.; Martin, E. H.; Biewer, T. M.; Caneses, J. F.; Caughman, J. B. O.; Kafle, N.; Rapp, J.

    2018-01-01

    The Prototype-Material Plasma Exposure eXperiment (Proto-MPEX) linear plasma device is a test bed for exploring and developing plasma source concepts to be employed in the future steady-state linear device Material Plasma Exposure eXperiment (MPEX) that will study plasma-material interactions for the nuclear fusion program. The concept foresees using a helicon plasma source supplemented with electron and ion heating systems to reach necessary plasma conditions. In this paper, we discuss ion temperature measurements obtained from Doppler broadening of spectral lines from argon ion test particles. Plasmas produced with helicon heating alone have average ion temperatures downstream of the Helicon antenna in the range of 3 ± 1 eV; ion temperature increases to 10 ± 3 eV are observed with the addition of ion cyclotron heating (ICH). The temperatures are higher at the edge than the center of the plasma either with or without ICH. This type of profile is observed with electrons as well. A one-dimensional RF antenna model is used to show where heating of the plasma is expected.

  2. Acid Etching and Plasma Sterilization Fail to Improve Osseointegration of Grit Blasted Titanium Implants

    PubMed Central

    Saksø, Mikkel; Jakobsen, Stig S; Saksø, Henrik; Baas, Jørgen; Jakobsen, Thomas; Søballe, Kjeld

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation was evaluated by implant osseointegration and biomechanical fixation. The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant tissue density) and mechanical push-out testing after four weeks observation time. Neither acid etching nor plasma sterilization of the grit blasted implants enhanced osseointegration or mechanical fixation in this press-fit canine implant model in a statistically significant manner. PMID:22962567

  3. Corrosion resistance of titanium ion implanted AZ91 magnesium alloy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu Chenglong; Xin Yunchang; Tian Xiubo

    2007-03-15

    Degradable metal alloys constitute a new class of materials for load-bearing biomedical implants. Owing to their good mechanical properties and biocompatibility, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to improve the corrosion behavior of surgical AZ91 magnesium alloy by titanium ion implantation. The surface characteristics of the ion implanted layer in the magnesium alloys are examined. The authors' results disclose that an intermixed layer is produced and the surface oxidized films are mainly composed of titanium oxide with a lesser amount of magnesium oxide. X-ray photoelectron spectroscopy reveals that the oxide has threemore » layers. The outer layer which is 10 nm thick is mainly composed of MgO and TiO{sub 2} with some Mg(OH){sub 2}. The middle layer that is 50 nm thick comprises predominantly TiO{sub 2} and MgO with minor contributions from MgAl{sub 2}O{sub 4} and TiO. The third layer from the surface is rich in metallic Mg, Ti, Al, and Ti{sub 3}Al. The effects of Ti ion implantation on the corrosion resistance and electrochemical behavior of the magnesium alloys are investigated in simulated body fluids at 37{+-}1 deg. C using electrochemical impedance spectroscopy and open circuit potential techniques. Compared to the unimplanted AZ91 alloy, titanium ion implantation significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP. This phenomenon can be ascribed to the more compact surface oxide film, enhanced reoxidation on the implanted surface, as well as the increased {beta}-Mg{sub 12}Al{sub 17} phase.« less

  4. Hydrodynamic description of an unmagnetized plasma with multiple ion species. II. Two and three ion species plasmas

    DOE PAGES

    Simakov, Andrei Nikolaevich; Molvig, Kim

    2016-03-17

    Paper I [A. N. Simakov and K. Molvig, Phys. Plasmas23, 032115 (2016)] obtained a fluid description for an unmagnetized collisional plasma with multiple ion species. To evaluate collisional plasmatransport fluxes, required for such a description, two linear systems of equations need to be solved to obtain corresponding transport coefficients. In general, this should be done numerically. Herein, the general formalism is used to obtain analytical expressions for such fluxes for several specific cases of interest: a deuterium-tritium plasma; a plasma containing two ion species with strongly disparate masses, which agrees with previously obtained results; and a three ion species plasmamore » made of deuterium, tritium, and gold. We find that these results can be used for understanding the behavior of the aforementioned plasmas, or for verifying a code implementation of the general multi-ion formalism.« less

  5. Efficient generation of energetic ions in multi-ion plasmas by radio-frequency heating

    NASA Astrophysics Data System (ADS)

    Kazakov, Ye. O.; Ongena, J.; Wright, J. C.; Wukitch, S. J.; Lerche, E.; Mantsinen, M. J.; van Eester, D.; Craciunescu, T.; Kiptily, V. G.; Lin, Y.; Nocente, M.; Nabais, F.; Nave, M. F. F.; Baranov, Y.; Bielecki, J.; Bilato, R.; Bobkov, V.; Crombé, K.; Czarnecka, A.; Faustin, J. M.; Felton, R.; Fitzgerald, M.; Gallart, D.; Giacomelli, L.; Golfinopoulos, T.; Hubbard, A. E.; Jacquet, Ph.; Johnson, T.; Lennholm, M.; Loarer, T.; Porkolab, M.; Sharapov, S. E.; Valcarcel, D.; van Schoor, M.; Weisen, H.; Marmar, E. S.; Baek, S. G.; Barnard, H.; Bonoli, P.; Brunner, D.; Candy, J.; Canik, J.; Churchill, R. M.; Cziegler, I.; Dekow, G.; Delgado-Aparicio, L.; Diallo, A.; Edlund, E.; Ennever, P.; Faust, I.; Fiore, C.; Gao, Chi; Golfinopoulos, T.; Greenwald, M.; Hartwig, Z. S.; Holland, C.; Hubbard, A. E.; Hughes, J. W.; Hutchinson, I. H.; Irby, J.; Labombard, B.; Lin, Yijun; Lipschultz, B.; Loarte, A.; Mumgaard, R.; Parker, R. R.; Porkolab, M.; Reinke, M. L.; Rice, J. E.; Scott, S.; Shiraiwa, S.; Snyder, P.; Sorbom, B.; Terry, D.; Terry, J. L.; Theiler, C.; Vieira, R.; Walk, J. R.; Wallace, G. M.; White, A.; Whyte, D.; Wolfe, S. M.; Wright, G. M.; Wright, J.; Wukitch, S. J.; Xu, P.; Abduallev, S.; Abhangi, M.; Abreu, P.; Afzal, M.; Aggarwal, K. M.; Ahlgren, T.; Ahn, J. H.; Aho-Mantila, L.; Aiba, N.; Airila, M.; Albanese, R.; Aldred, V.; Alegre, D.; Alessi, E.; Aleynikov, P.; Alfier, A.; Alkseev, A.; Allinson, M.; Alper, B.; Alves, E.; Ambrosino, G.; Ambrosino, R.; Amicucci, L.; Amosov, V.; Sundén, E. Andersson; Angelone, M.; Anghel, M.; Angioni, C.; Appel, L.; Appelbee, C.; Arena, P.; Ariola, M.; Arnichand, H.; Arshad, S.; Ash, A.; Ashikawa, N.; Aslanyan, V.; Asunta, O.; Auriemma, F.; Austin, Y.; Avotina, L.; Axton, M. D.; Ayres, C.; Bacharis, M.; Baciero, A.; Baião, D.; Bailey, S.; Baker, A.; Balboa, I.; Balden, M.; Balshaw, N.; Bament, R.; Banks, J. W.; Baranov, Y. F.; Barnard, M. A.; Barnes, D.; Barnes, M.; Barnsley, R.; Wiechec, A. Baron; Orte, L. Barrera; Baruzzo, M.; Basiuk, V.; Bassan, M.; Bastow, R.; Batista, A.; Batistoni, P.; Baughan, R.; Bauvir, B.; Baylor, L.; Bazylev, B.; Beal, J.; Beaumont, P. S.; Beckers, M.; Beckett, B.; Becoulet, A.; Bekris, N.; Beldishevski, M.; Bell, K.; Belli, F.; Bellinger, M.; Belonohy, É.; Ayed, N. Ben; Benterman, N. A.; Bergsåker, H.; Bernardo, J.; Bernert, M.; Berry, M.; Bertalot, L.; Besliu, C.; Beurskens, M.; Bieg, B.; Bielecki, J.; Biewer, T.; Bigi, M.; Bílková, P.; Binda, F.; Bisoffi, A.; Bizarro, J. P. S.; Björkas, C.; Blackburn, J.; Blackman, K.; Blackman, T. R.; Blanchard, P.; Blatchford, P.; Bobkov, V.; Boboc, A.; Bodnár, G.; Bogar, O.; Bolshakova, I.; Bolzonella, T.; Bonanomi, N.; Bonelli, F.; Boom, J.; Booth, J.; Borba, D.; Borodin, D.; Borodkina, I.; Botrugno, A.; Bottereau, C.; Boulting, P.; Bourdelle, C.; Bowden, M.; Bower, C.; Bowman, C.; Boyce, T.; Boyd, C.; Boyer, H. J.; Bradshaw, J. M. A.; Braic, V.; Bravanec, R.; Breizman, B.; Bremond, S.; Brennan, P. D.; Breton, S.; Brett, A.; Brezinsek, S.; Bright, M. D. J.; Brix, M.; Broeckx, W.; Brombin, M.; Brosławski, A.; Brown, D. P. D.; Brown, M.; Bruno, E.; Bucalossi, J.; Buch, J.; Buchanan, J.; Buckley, M. A.; Budny, R.; Bufferand, H.; Bulman, M.; Bulmer, N.; Bunting, P.; Buratti, P.; Burckhart, A.; Buscarino, A.; Busse, A.; Butler, N. K.; Bykov, I.; Byrne, J.; Cahyna, P.; Calabrò, G.; Calvo, I.; Camenen, Y.; Camp, P.; Campling, D. C.; Cane, J.; Cannas, B.; Capel, A. J.; Card, P. J.; Cardinali, A.; Carman, P.; Carr, M.; Carralero, D.; Carraro, L.; Carvalho, B. B.; Carvalho, I.; Carvalho, P.; Casson, F. J.; Castaldo, C.; Catarino, N.; Caumont, J.; Causa, F.; Cavazzana, R.; Cave-Ayland, K.; Cavinato, M.; Cecconello, M.; Ceccuzzi, S.; Cecil, E.; Cenedese, A.; Cesario, R.; Challis, C. D.; Chandler, M.; Chandra, D.; Chang, C. S.; Chankin, A.; Chapman, I. T.; Chapman, S. C.; Chernyshova, M.; Chitarin, G.; Ciraolo, G.; Ciric, D.; Citrin, J.; Clairet, F.; Clark, E.; Clark, M.; Clarkson, R.; Clatworthy, D.; Clements, C.; Cleverly, M.; Coad, J. P.; Coates, P. A.; Cobalt, A.; Coccorese, V.; Cocilovo, V.; Coda, S.; Coelho, R.; Coenen, J. W.; Coffey, I.; Colas, L.; Collins, S.; Conka, D.; Conroy, S.; Conway, N.; Coombs, D.; Cooper, D.; Cooper, S. R.; Corradino, C.; Corre, Y.; Corrigan, G.; Cortes, S.; Coster, D.; Couchman, A. S.; Cox, M. P.; Craciunescu, T.; Cramp, S.; Craven, R.; Crisanti, F.; Croci, G.; Croft, D.; Crombé, K.; Crowe, R.; Cruz, N.; Cseh, G.; Cufar, A.; Cullen, A.; Curuia, M.; Czarnecka, A.; Dabirikhah, H.; Dalgliesh, P.; Dalley, S.; Dankowski, J.; Darrow, D.; Davies, O.; Davis, W.; Day, C.; Day, I. E.; de Bock, M.; de Castro, A.; de La Cal, E.; de La Luna, E.; Masi, G. De; de Pablos, J. L.; de Temmerman, G.; de Tommasi, G.; de Vries, P.; Deakin, K.; Deane, J.; Agostini, F. Degli; Dejarnac, R.; Delabie, E.; den Harder, N.; Dendy, R. O.; Denis, J.; Denner, P.; Devaux, S.; Devynck, P.; Maio, F. Di; Siena, A. Di; Troia, C. Di; Dinca, P.; D'Inca, R.; Ding, B.; Dittmar, T.; Doerk, H.; Doerner, R. P.; Donné, T.; Dorling, S. E.; Dormido-Canto, S.; Doswon, S.; Douai, D.; Doyle, P. T.; Drenik, A.; Drewelow, P.; Drews, P.; Duckworth, Ph.; Dumont, R.; Dumortier, P.; Dunai, D.; Dunne, M.; Ďuran, I.; Durodié, F.; Dutta, P.; Duval, B. P.; Dux, R.; Dylst, K.; Dzysiuk, N.; Edappala, P. V.; Edmond, J.; Edwards, A. M.; Edwards, J.; Eich, Th.; Ekedahl, A.; El-Jorf, R.; Elsmore, C. G.; Enachescu, M.; Ericsson, G.; Eriksson, F.; Eriksson, J.; Eriksson, L. G.; Esposito, B.; Esquembri, S.; Esser, H. G.; Esteve, D.; Evans, B.; Evans, G. E.; Evison, G.; Ewart, G. D.; Fagan, D.; Faitsch, M.; Falie, D.; Fanni, A.; Fasoli, A.; Faustin, J. M.; Fawlk, N.; Fazendeiro, L.; Fedorczak, N.; Felton, R. C.; Fenton, K.; Fernades, A.; Fernandes, H.; Ferreira, J.; Fessey, J. A.; Février, O.; Ficker, O.; Field, A.; Fietz, S.; Figueiredo, A.; Figueiredo, J.; Fil, A.; Finburg, P.; Firdaouss, M.; Fischer, U.; Fittill, L.; Fitzgerald, M.; Flammini, D.; Flanagan, J.; Fleming, C.; Flinders, K.; Fonnesu, N.; Fontdecaba, J. M.; Formisano, A.; Forsythe, L.; Fortuna, L.; Fortuna-Zalesna, E.; Fortune, M.; Foster, S.; Franke, T.; Franklin, T.; Frasca, M.; Frassinetti, L.; Freisinger, M.; Fresa, R.; Frigione, D.; Fuchs, V.; Fuller, D.; Futatani, S.; Fyvie, J.; Gál, K.; Galassi, D.; Gałązka, K.; Galdon-Quiroga, J.; Gallagher, J.; Gallart, D.; Galvão, R.; Gao, X.; Gao, Y.; Garcia, J.; Garcia-Carrasco, A.; García-Muñoz, M.; Gardarein, J.-L.; Garzotti, L.; Gaudio, P.; Gauthier, E.; Gear, D. F.; Gee, S. J.; Geiger, B.; Gelfusa, M.; Gerasimov, S.; Gervasini, G.; Gethins, M.; Ghani, Z.; Ghate, M.; Gherendi, M.; Giacalone, J. C.; Giacomelli, L.; Gibson, C. S.; Giegerich, T.; Gil, C.; Gil, L.; Gilligan, S.; Gin, D.; Giovannozzi, E.; Girardo, J. B.; Giroud, C.; Giruzzi, G.; Glöggler, S.; Godwin, J.; Goff, J.; Gohil, P.; Goloborod'Ko, V.; Gomes, R.; Gonçalves, B.; Goniche, M.; Goodliffe, M.; Goodyear, A.; Gorini, G.; Gosk, M.; Goulding, R.; Goussarov, A.; Gowland, R.; Graham, B.; Graham, M. E.; Graves, J. P.; Grazier, N.; Grazier, P.; Green, N. R.; Greuner, H.; Grierson, B.; Griph, F. S.; Grisolia, C.; Grist, D.; Groth, M.; Grove, R.; Grundy, C. N.; Grzonka, J.; Guard, D.; Guérard, C.; Guillemaut, C.; Guirlet, R.; Gurl, C.; Utoh, H. H.; Hackett, L. J.; Hacquin, S.; Hagar, A.; Hager, R.; Hakola, A.; Halitovs, M.; Hall, S. J.; Cook, S. P. Hallworth; Hamlyn-Harris, C.; Hammond, K.; Harrington, C.; Harrison, J.; Harting, D.; Hasenbeck, F.; Hatano, Y.; Hatch, D. R.; Haupt, T. D. V.; Hawes, J.; Hawkes, N. C.; Hawkins, J.; Hawkins, P.; Haydon, P. W.; Hayter, N.; Hazel, S.; Heesterman, P. J. L.; Heinola, K.; Hellesen, C.; Hellsten, T.; Helou, W.; Hemming, O. N.; Hender, T. C.; Henderson, M.; Henderson, S. S.; Henriques, R.; Hepple, D.; Hermon, G.; Hertout, P.; Hidalgo, C.; Highcock, E. G.; Hill, M.; Hillairet, J.; Hillesheim, J.; Hillis, D.; Hizanidis, K.; Hjalmarsson, A.; Hobirk, J.; Hodille, E.; Hogben, C. H. A.; Hogeweij, G. M. D.; Hollingsworth, A.; Hollis, S.; Homfray, D. A.; Horáček, J.; Hornung, G.; Horton, A. R.; Horton, L. D.; Horvath, L.; Hotchin, S. P.; Hough, M. R.; Howarth, P. J.; Hubbard, A.; Huber, A.; Huber, V.; Huddleston, T. M.; Hughes, M.; Huijsmans, G. T. A.; Hunter, C. L.; Huynh, P.; Hynes, A. M.; Iglesias, D.; Imazawa, N.; Imbeaux, F.; Imríšek, M.; Incelli, M.; Innocente, P.; Irishkin, M.; Ivanova-Stanik, I.; Jachmich, S.; Jacobsen, A. S.; Jacquet, P.; Jansons, J.; Jardin, A.; Järvinen, A.; Jaulmes, F.; Jednoróg, S.; Jenkins, I.; Jeong, C.; Jepu, I.; Joffrin, E.; Johnson, R.; Johnson, T.; Johnston, Jane; Joita, L.; Jones, G.; Jones, T. T. C.; Hoshino, K. K.; Kallenbach, A.; Kamiya, K.; Kaniewski, J.; Kantor, A.; Kappatou, A.; Karhunen, J.; Karkinsky, D.; Karnowska, I.; Kaufman, M.; Kaveney, G.; Kazakov, Y.; Kazantzidis, V.; Keeling, D. L.; Keenan, T.; Keep, J.; Kempenaars, M.; Kennedy, C.; Kenny, D.; Kent, J.; Kent, O. N.; Khilkevich, E.; Kim, H. T.; Kim, H. S.; Kinch, A.; King, C.; King, D.; King, R. F.; Kinna, D. J.; Kiptily, V.; Kirk, A.; Kirov, K.; Kirschner, A.; Kizane, G.; Klepper, C.; Klix, A.; Knight, P.; Knipe, S. J.; Knott, S.; Kobuchi, T.; Köchl, F.; Kocsis, G.; Kodeli, I.; Kogan, L.; Kogut, D.; Koivuranta, S.; Kominis, Y.; Köppen, M.; Kos, B.; Koskela, T.; Koslowski, H. R.; Koubiti, M.; Kovari, M.; Kowalska-Strzęciwilk, E.; Krasilnikov, A.; Krasilnikov, V.; Krawczyk, N.; Kresina, M.; Krieger, K.; Krivska, A.; Kruezi, U.; Książek, I.; Kukushkin, A.; Kundu, A.; Kurki-Suonio, T.; Kwak, S.; Kwiatkowski, R.; Kwon, O. J.; Laguardia, L.; Lahtinen, A.; Laing, A.; Lam, N.; Lambertz, H. T.; Lane, C.; Lang, P. T.; Lanthaler, S.; Lapins, J.; Lasa, A.; Last, J. R.; Łaszyńska, E.; Lawless, R.; Lawson, A.; Lawson, K. D.; Lazaros, A.; Lazzaro, E.; Leddy, J.; Lee, S.; Lefebvre, X.; Leggate, H. J.; Lehmann, J.; Lehnen, M.; Leichtle, D.; Leichuer, P.; Leipold, F.; Lengar, I.; Lennholm, M.; Lerche, E.; Lescinskis, A.; Lesnoj, S.; Letellier, E.; Leyland, M.; Leysen, W.; Li, L.; Liang, Y.; Likonen, J.; Linke, J.; Linsmeier, Ch.; Lipschultz, B.; Litaudon, X.; Liu, G.; Liu, Y.; Lo Schiavo, V. P.; Loarer, T.; Loarte, A.; Lobel, R. C.; Lomanowski, B.; Lomas, P. J.; Lönnroth, J.; López, J. M.; López-Razola, J.; Lorenzini, R.; Losada, U.; Lovell, J. J.; Loving, A. B.; Lowry, C.; Luce, T.; Lucock, R. M. A.; Lukin, A.; Luna, C.; Lungaroni, M.; Lungu, C. P.; Lungu, M.; Lunniss, A.; Lupelli, I.; Lyssoivan, A.; MacDonald, N.; Macheta, P.; Maczewa, K.; Magesh, B.; Maget, P.; Maggi, C.; Maier, H.; Mailloux, J.; Makkonen, T.; Makwana, R.; Malaquias, A.; Malizia, A.; Manas, P.; Manning, A.; Manso, M. E.; Mantica, P.; Mantsinen, M.; Manzanares, A.; Maquet, Ph.; Marandet, Y.; Marcenko, N.; Marchetto, C.; Marchuk, O.; Marinelli, M.; Marinucci, M.; Markovič, T.; Marocco, D.; Marot, L.; Marren, C. A.; Marshal, R.; Martin, A.; Martin, Y.; Martín de Aguilera, A.; Martínez, F. J.; Martín-Solís, J. R.; Martynova, Y.; Maruyama, S.; Masiello, A.; Maslov, M.; Matejcik, S.; Mattei, M.; Matthews, G. F.; Maviglia, F.; Mayer, M.; Mayoral, M. L.; May-Smith, T.; Mazon, D.; Mazzotta, C.; McAdams, R.; McCarthy, P. J.; McClements, K. G.; McCormack, O.; McCullen, P. A.; McDonald, D.; McIntosh, S.; McKean, R.; McKehon, J.; Meadows, R. C.; Meakins, A.; Medina, F.; Medland, M.; Medley, S.; Meigh, S.; Meigs, A. G.; Meisl, G.; Meitner, S.; Meneses, L.; Menmuir, S.; Mergia, K.; Merrigan, I. R.; Mertens, Ph.; Meshchaninov, S.; Messiaen, A.; Meyer, H.; Mianowski, S.; Michling, R.; Middleton-Gear, D.; Miettunen, J.; Militello, F.; Militello-Asp, E.; Miloshevsky, G.; Mink, F.; Minucci, S.; Miyoshi, Y.; Mlynář, J.; Molina, D.; Monakhov, I.; Moneti, M.; Mooney, R.; Moradi, S.; Mordijck, S.; Moreira, L.; Moreno, R.; Moro, F.; Morris, A. W.; Morris, J.; Moser, L.; Mosher, S.; Moulton, D.; Murari, A.; Muraro, A.; Murphy, S.; Asakura, N. N.; Na, Y. S.; Nabais, F.; Naish, R.; Nakano, T.; Nardon, E.; Naulin, V.; Nave, M. F. F.; Nedzelski, I.; Nemtsev, G.; Nespoli, F.; Neto, A.; Neu, R.; Neverov, V. S.; Newman, M.; Nicholls, K. J.; Nicolas, T.; Nielsen, A. H.; Nielsen, P.; Nilsson, E.; Nishijima, D.; Noble, C.; Nocente, M.; Nodwell, D.; Nordlund, K.; Nordman, H.; Nouailletas, R.; Nunes, I.; Oberkofler, M.; Odupitan, T.; Ogawa, M. T.; O'Gorman, T.; Okabayashi, M.; Olney, R.; Omolayo, O.; O'Mullane, M.; Ongena, J.; Orsitto, F.; Orszagh, J.; Oswuigwe, B. I.; Otin, R.; Owen, A.; Paccagnella, R.; Pace, N.; Pacella, D.; Packer, L. W.; Page, A.; Pajuste, E.; Palazzo, S.; Pamela, S.; Panja, S.; Papp, P.; Paprok, R.; Parail, V.; Park, M.; Diaz, F. Parra; Parsons, M.; Pasqualotto, R.; Patel, A.; Pathak, S.; Paton, D.; Patten, H.; Pau, A.; Pawelec, E.; Soldan, C. Paz; Peackoc, A.; Pearson, I. J.; Pehkonen, S.-P.; Peluso, E.; Penot, C.; Pereira, A.; Pereira, R.; Puglia, P. P. Pereira; von Thun, C. Perez; Peruzzo, S.; Peschanyi, S.; Peterka, M.; Petersson, P.; Petravich, G.; Petre, A.; Petrella, N.; Petržilka, V.; Peysson, Y.; Pfefferlé, D.; Philipps, V.; Pillon, M.; Pintsuk, G.; Piovesan, P.; Dos Reis, A. Pires; Piron, L.; Pironti, A.; Pisano; Pitts, R.; Pizzo, F.; Plyusnin, V.; Pomaro, N.; Pompilian, O. G.; Pool, P. J.; Popovichev, S.; Porfiri, M. T.; Porosnicu, C.; Porton, M.; Possnert, G.; Potzel, S.; Powell, T.; Pozzi, J.; Prajapati, V.; Prakash, R.; Prestopino, G.; Price, D.; Price, M.; Price, R.; Prior, P.; Proudfoot, R.; Pucella, G.; Puglia, P.; Puiatti, M. E.; Pulley, D.; Purahoo, K.; Pütterich, Th.; Rachlew, E.; Rack, M.; Ragona, R.; Rainford, M. S. J.; Rakha, A.; Ramogida, G.; Ranjan, S.; Rapson, C. J.; Rasmussen, J. J.; Rathod, K.; Rattá, G.; Ratynskaia, S.; Ravera, G.; Rayner, C.; Rebai, M.; Reece, D.; Reed, A.; Réfy, D.; Regan, B.; Regaña, J.; Reich, M.; Reid, N.; Reimold, F.; Reinhart, M.; Reinke, M.; Reiser, D.; Rendell, D.; Reux, C.; Cortes, S. D. A. Reyes; Reynolds, S.; Riccardo, V.; Richardson, N.; Riddle, K.; Rigamonti, D.; Rimini, F. G.; Risner, J.; Riva, M.; Roach, C.; Robins, R. J.; Robinson, S. A.; Robinson, T.; Robson, D. W.; Roccella, R.; Rodionov, R.; Rodrigues, P.; Rodriguez, J.; Rohde, V.; Romanelli, F.; Romanelli, M.; Romanelli, S.; Romazanov, J.; Rowe, S.; Rubel, M.; Rubinacci, G.; Rubino, G.; Ruchko, L.; Ruiz, M.; Ruset, C.; Rzadkiewicz, J.; Saarelma, S.; Sabot, R.; Safi, E.; Sagar, P.; Saibene, G.; Saint-Laurent, F.; Salewski, M.; Salmi, A.; Salmon, R.; Salzedas, F.; Samaddar, D.; Samm, U.; Sandiford, D.; Santa, P.; Santala, M. I. K.; Santos, B.; Santucci, A.; Sartori, F.; Sartori, R.; Sauter, O.; Scannell, R.; Schlummer, T.; Schmid, K.; Schmidt, V.; Schmuck, S.; Schneider, M.; Schöpf, K.; Schwörer, D.; Scott, S. D.; Sergienko, G.; Sertoli, M.; Shabbir, A.; Sharapov, S. E.; Shaw, A.; Shaw, R.; Sheikh, H.; Shepherd, A.; Shevelev, A.; Shumack, A.; Sias, G.; Sibbald, M.; Sieglin, B.; Silburn, S.; Silva, A.; Silva, C.; Simmons, P. A.; Simpson, J.; Simpson-Hutchinson, J.; Sinha, A.; Sipilä, S. K.; Sips, A. C. C.; Sirén, P.; Sirinelli, A.; Sjöstrand, H.; Skiba, M.; Skilton, R.; Slabkowska, K.; Slade, B.; Smith, N.; Smith, P. G.; Smith, R.; Smith, T. J.; Smithies, M.; Snoj, L.; Soare, S.; Solano, E. R.; Somers, A.; Sommariva, C.; Sonato, P.; Sopplesa, A.; Sousa, J.; Sozzi, C.; Spagnolo, S.; Spelzini, T.; Spineanu, F.; Stables, G.; Stamatelatos, I.; Stamp, M. F.; Staniec, P.; Stankūnas, G.; Stan-Sion, C.; Stead, M. J.; Stefanikova, E.; Stepanov, I.; Stephen, A. V.; Stephen, M.; Stevens, A.; Stevens, B. D.; Strachan, J.; Strand, P.; Strauss, H. R.; Ström, P.; Stubbs, G.; Studholme, W.; Subba, F.; Summers, H. P.; Svensson, J.; Świderski, Ł.; Szabolics, T.; Szawlowski, M.; Szepesi, G.; Suzuki, T. T.; Tál, B.; Tala, T.; Talbot, A. R.; Talebzadeh, S.; Taliercio, C.; Tamain, P.; Tame, C.; Tang, W.; Tardocchi, M.; Taroni, L.; Taylor, D.; Taylor, K. A.; Tegnered, D.; Telesca, G.; Teplova, N.; Terranova, D.; Testa, D.; Tholerus, E.; Thomas, J.; Thomas, J. D.; Thomas, P.; Thompson, A.; Thompson, C.-A.; Thompson, V. K.; Thorne, L.; Thornton, A.; Thrysøe, A. S.; Tigwell, P. A.; Tipton, N.; Tiseanu, I.; Tojo, H.; Tokitani, M.; Tolias, P.; Tomeš, M.; Tonner, P.; Towndrow, M.; Trimble, P.; Tripsky, M.; Tsalas, M.; Tsavalas, P.; Jun, D. Tskhakaya; Turner, I.; Turner, M. M.; Turnyanskiy, M.; Tvalashvili, G.; Tyrrell, S. G. J.; Uccello, A.; Ul-Abidin, Z.; Uljanovs, J.; Ulyatt, D.; Urano, H.; Uytdenhouwen, I.; Vadgama, A. P.; Valcarcel, D.; Valentinuzzi, M.; Valisa, M.; Olivares, P. Vallejos; Valovic, M.; van de Mortel, M.; van Eester, D.; van Renterghem, W.; van Rooij, G. J.; Varje, J.; Varoutis, S.; Vartanian, S.; Vasava, K.; Vasilopoulou, T.; Vega, J.; Verdoolaege, G.; Verhoeven, R.; Verona, C.; Rinati, G. Verona; Veshchev, E.; Vianello, N.; Vicente, J.; Viezzer, E.; Villari, S.; Villone, F.; Vincenzi, P.; Vinyar, I.; Viola, B.; Vitins, A.; Vizvary, Z.; Vlad, M.; Voitsekhovitch, I.; Vondráček, P.; Vora, N.; Vu, T.; de Sa, W. W. Pires; Wakeling, B.; Waldon, C. W. F.; Walkden, N.; Walker, M.; Walker, R.; Walsh, M.; Wang, E.; Wang, N.; Warder, S.; Warren, R. J.; Waterhouse, J.; Watkins, N. W.; Watts, C.; Wauters, T.; Weckmann, A.; Weiland, J.; Weisen, H.; Weiszflog, M.; Wellstood, C.; West, A. T.; Wheatley, M. R.; Whetham, S.; Whitehead, A. M.; Whitehead, B. D.; Widdowson, A. M.; Wiesen, S.; Wilkinson, J.; Williams, J.; Williams, M.; Wilson, A. R.; Wilson, D. J.; Wilson, H. R.; Wilson, J.; Wischmeier, M.; Withenshaw, G.; Withycombe, A.; Witts, D. M.; Wood, D.; Wood, R.; Woodley, C.; Wray, S.; Wright, J.; Wright, J. C.; Wu, J.; Wukitch, S.; Wynn, A.; Xu, T.; Yadikin, D.; Yanling, W.; Yao, L.; Yavorskij, V.; Yoo, M. G.; Young, C.; Young, D.; Young, I. D.; Young, R.; Zacks, J.; Zagorski, R.; Zaitsev, F. S.; Zanino, R.; Zarins, A.; Zastrow, K. D.; Zerbini, M.; Zhang, W.; Zhou, Y.; Zilli, E.; Zoita, V.; Zoletnik, S.; Zychor, I.

    2017-10-01

    We describe a new technique for the efficient generation of high-energy ions with electromagnetic ion cyclotron waves in multi-ion plasmas. The discussed `three-ion' scenarios are especially suited for strong wave absorption by a very low number of resonant ions. To observe this effect, the plasma composition has to be properly adjusted, as prescribed by theory. We demonstrate the potential of the method on the world-largest plasma magnetic confinement device, JET (Joint European Torus, Culham, UK), and the high-magnetic-field tokamak Alcator C-Mod (Cambridge, USA). The obtained results demonstrate efficient acceleration of 3He ions to high energies in dedicated hydrogen-deuterium mixtures. Simultaneously, effective plasma heating is observed, as a result of the slowing-down of the fast 3He ions. The developed technique is not only limited to laboratory plasmas, but can also be applied to explain observations of energetic ions in space-plasma environments, in particular, 3He-rich solar flares.

  6. Effect of ion implantation on the tribology of metal-on-metal hip prostheses.

    PubMed

    Bowsher, John G; Hussain, Azad; Williams, Paul; Nevelos, Jim; Shelton, Julia C

    2004-12-01

    Nitrogen ion implantation (which considerably hardens the surface of the bearing) may represent one possible method of reducing the wear of metal-on-metal (MOM) hip bearings. Currently there are no ion-implanted MOM bearings used clinically. Therefore a physiological hip simulator test was undertaken using standard test conditions, and the results compared to previous studies using the same methods. N2-ion implantation of high carbon cast Co-Cr-Mo-on-Co-Cr-Mo hip prostheses increased wear by 2-fold during the aggressive running-in phase compared to untreated bearing surfaces, plus showing no wear reductions during steady-state conditions. Although 2 specimens were considered in the current study, it would appear that ion implantation has no clinical benefit for MOM.

  7. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    NASA Astrophysics Data System (ADS)

    Bannister, M. E.; Hijazi, H.; Meyer, H. M.; Cianciolo, V.; Meyer, F. W.

    2014-11-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R&D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 1016 cm-2, where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5-6.2 × 1016 cm-2. Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities.

  8. Hydrodynamic description of an unmagnetized plasma with multiple ion species. II. Two and three ion species plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simakov, Andrei N., E-mail: simakov@lanl.gov; Molvig, Kim

    2016-03-15

    Paper I [A. N. Simakov and K. Molvig, Phys. Plasmas 23, 032115 (2016)] obtained a fluid description for an unmagnetized collisional plasma with multiple ion species. To evaluate collisional plasma transport fluxes, required for such a description, two linear systems of equations need to be solved to obtain corresponding transport coefficients. In general, this should be done numerically. Herein, the general formalism is used to obtain analytical expressions for such fluxes for several specific cases of interest: a deuterium-tritium plasma; a plasma containing two ion species with strongly disparate masses, which agrees with previously obtained results; and a three ionmore » species plasma made of deuterium, tritium, and gold. These results can be used for understanding the behavior of the aforementioned plasmas, or for verifying a code implementation of the general multi-ion formalism.« less

  9. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    NASA Astrophysics Data System (ADS)

    Zheng, Chenlong; Wang, Guangfu; Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi

    2016-03-01

    A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C1- implantation dose was increased to 1 × 1016 ions/cm2, and the effects of C1-, C2- and O1- implantation result in only small differences in the water contact angle at 3 × 1015 ions/cm2. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Sisbnd CH3, Sisbnd Osbnd Si, Csbnd H) of RTV SR and generates hydrophilic functional groups (sbnd COOH, sbnd OH, Sisbnd (O)x (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method to improve the cell biocompatibility of RTV SR.

  10. Optimization of single keV ion implantation for the construction of single P-donor devices

    NASA Astrophysics Data System (ADS)

    Yang, Changyi; Jamieson, David N.; Hopf, Toby; Andresen, Soren E.; Hearne, Sean M.; Hudson, Fay E.; Pakes, Christopher I.; Mitic, Mladen; Gauja, Eric; Tamanyan, Grigori; Dzurak, Andrew S.; Prawer, Steven; Clark, Robert G.

    2005-02-01

    We report recent progress in single keV ion implantation and online detection for the controlled implantation of single donors in silicon. When integrated with silicon nanofabrication technology this forms the "top down" strategy for the construction of prototype solid state quantum computer devices based on phosphorus donors in silicon. We have developed a method of single ion implantation and online registration that employs detector electrodes adjacent to the area into which the donors are to be implanted. The implantation sites are positioned with nanometer accuracy using an electron beam lithography patterned PMMA mask. Control of the implantation depth of 20 nm is achieved by tuning the phosphorus ion energy to 14 keV. The counting of single ion implantation in each site is achieved by the detection of e-/h+ pairs produced by the implanted phosphorus ion in the substrate. The system is calibrated by use of Mn K-line x-rays (5.9 and 6.4 keV) and we find the ionization energy of the 14 keV phosphorus ions in silicon to be about 3.5-4.0 keV for implants through a 5 nm SiO2 surface layer. This paper describes the development of an improved PIN detector structure that provides more reliable performance of the earlier MOS structure. With the new structure, the energy noise threshold has been minimized to 1 keV or less. Unambiguous detection/counting of single keV ion implantation events were achieved with a confidence level greater than 98% with a reliable and reproducible fabrication process.

  11. Damping of Plasma Waves in Multi-species Ion Plasmas

    NASA Astrophysics Data System (ADS)

    Anderegg, Francois; Affolter, Matthew; Driscoll, C. Fred

    2015-11-01

    The damping of Langmuir waves in multi-species pure ion plasmas is measured over four decades in temperature covering regimes of Landau, bounce harmonics, and interspecies drag damping. Thermal cyclotron spectroscopy determines the plasma composition. The plasma is predominantly Mg+ resulting from a Mg electrode arc, with roughly 5-30% other ions, typically H3O+ and O2+,arising from ionization and chemical reactions with the residual background gas. The plasma temperature is controlled with laser cooling of the Mg24 ions over the range 10-4 <= T <= 1 eV. For T >= 0 .1 eV, the damping rates agree closely with Landau theory for θ-symmetric standing waves, with discrete wavenumber k1 = π /Lp . At lower temperature 10-2 <= T <= 0 . 1 eV the damping is not fully understood, but is most likely a result of Landau damping on higher kz bounce harmonics produced by the rounded plasma ends. For T <=10-2 eV, damping rates 10 <= γ <=103 s-1 are proportional to the ion-ion collisionality νii ~T - 3 / 2 , consistent with a theory prediction that includes interspecies drag. A decrease in γ is observed at T <=10-3 eV, presumably due to strong magnetization, centrifugal separation of the species, and the collisionality approaching the mode frequencyf1 ~20 kHz. Supported by DOE grant DE-SC0002451.

  12. Extended defects and hydrogen interactions in ion implanted silicon

    NASA Astrophysics Data System (ADS)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (<650°C) defect dissolution and defect injection dominates, resulting in increased junction depths. At higher anneal temperatures, however, repair dominates over defect injection resulting in shallower junctions. Hydrogenation experiments shows that hydrogen enhances dopant activation and reduces TED at low anneal temperatures (<550°C). At anneal temperatures >550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at

  13. High frequency plasma generator for ion thrusters

    NASA Technical Reports Server (NTRS)

    Goede, H.; Divergilio, W. F.; Fosnight, V. V.; Komatsu, G.

    1984-01-01

    The results of a program to experimentally develop two new types of plasma generators for 30 cm electrostatic argon ion thrusters are presented. The two plasma generating methods selected for this study were by radio frequency induction (RFI), operating at an input power frequency of 1 MHz, and by electron cyclotron heating (ECH) at an operating frequency of 5.0 GHz. Both of these generators utilize multiline cusp permanent magnet configurations for plasma confinement and beam profile optimization. The program goals were to develop a plasma generator possessing the characteristics of high electrical efficiency (low eV/ion) and simplicity of operation while maintaining the reliability and durability of the conventional hollow cathode plasma sources. The RFI plasma generator has achieved minimum discharge losses of 120 eV/ion while the ECH generator has obtained 145 eV/ion, assuming a 90% ion optical transparency of the electrostatic acceleration system. Details of experimental tests with a variety of magnet configurations are presented.

  14. Ion Beam And Plasma Jet Generated By A 3 kJ Plasma Focus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, L. K.; Ngoi, S. K.; Yap, S. L.

    The plasma focus device is well known as a copious source of X-ray, neutrons, ion and electron beams. In this work, the characteristics of energetic ion beam emission in a 3 kJ Mather-type plasma focus is studied. The plasma focus system is operated at low pressure with argon as the working gas. The objective of the project is to obtain the argon ion beam and the plasma jet. The ion beam and plasma jet are used for material processing. In order to investigate the effect of the ion beam and plasma jet, crystalline silicon substrates are placed above the anode.more » Samples obtained after irradiation with the plasma focus discharge are analyzed by using the Scanning electron microscopy (SEM) and Energy Dispersive X-ray spectroscopy (EDX).« less

  15. Methods of obtaining a uniform volume concentration of implanted ions

    NASA Astrophysics Data System (ADS)

    Reutov, V. F.

    1998-05-01

    Three simple practical methods of irradiation with high energy particles (>5 MeV/n), providing the conditions of obtaining a uniform volume concentration of the implanted ions in the massive samples are described in the present paper. Realization of the condition of two-sided irradiation of a plane sample during its rotation in the flux of the projectiles is the basis of the first method. The use of free air as a filter with varying absorbent ability due to the movement of the irradiated sample along ion beam brought to the atmosphere is at the basis of the second method of uniform ion alloying. The third method of obtaining a uniform volume concentration of the implanted ions in a massive sample consists of sample irradiation through the absorbent filter in the shape of a foil curved according to the parabolic law moving along its surface. The first method is the most effective for obtaining a great number of the samples, for mechanical tests, for example, the second one - for irradiation in different gaseous media, the third one - for obtaining high concentration of the implanted ions under controlled (regulated) thermal and deformation conditions.

  16. Surface and local electronic structure modification of MgO film using Zn and Fe ion implantation

    NASA Astrophysics Data System (ADS)

    Singh, Jitendra Pal; Lim, Weon Cheol; Lee, Jihye; Song, Jonghan; Lee, Ik-Jae; Chae, Keun Hwa

    2018-02-01

    Present work is motivated to investigate the surface and local electronic structure modifications of MgO films implanted with Zn and Fe ions. MgO film was deposited using radio frequency sputtering method. Atomic force microscopy measurements exhibit morphological changes associated with implantation. Implantation of Fe and Zn ions leads to the reduction of co-ordination geometry of Mg2+ ions in host lattice. The effect is dominant at bulk of film rather than surface as the large concentration of implanted ions resides inside bulk. Moreover, the evidences of interaction among implanted ions and oxygen are not being observed using near edge fine structure measurements.

  17. Grain size effect on yield strength of titanium alloy implanted with aluminum ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Popova, Natalya, E-mail: natalya-popova-44@mail.ru; Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk; Nikonenko, Elena, E-mail: vilatomsk@mail.ru

    2016-01-15

    The paper presents a transmission electron microscopy (TEM) study of the microstructure and phase state of commercially pure titanium VT1-0 implanted by aluminum ions. This study has been carried out before and after the ion implantation for different grain size, i.e. 0.3 µm (ultra-fine grain condition), 1.5 µm (fine grain condition), and 17 µm (polycrystalline condition). This paper presents details of calculations and analysis of strength components of the yield stress. It is shown that the ion implantation results in a considerable hardening of the entire thickness of the implanted layer in the both grain types. The grain size has, however, a differentmore » effect on the yield stress. So, both before and after the ion implantation, the increase of the grain size leads to the decrease of the alloy hardening. Thus, hardening in ultra-fine and fine grain alloys increased by four times, while in polycrystalline alloy it increased by over six times.« less

  18. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    NASA Astrophysics Data System (ADS)

    Gastaldo, L.; Ranitzsch, P. C.-O.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-05-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163Ho using MMCs having the radioactive 163Ho ions implanted in the absorber. The isotope 163Ho decays through electron capture to 163Dy and features the smallest known QEC value. This peculiarity makes 163Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163Ho experiments is presented.

  19. Spherical ion acoustic waves in pair ion plasmas with nonthermal electrons

    NASA Astrophysics Data System (ADS)

    Selim, M. M.

    2016-04-01

    Propagation of nonplanar ion acoustic waves in a plasma composed of negative and positive ions and nonthermally distributed electrons is investigated using reductive perturbation theory. The spherical Kadomtsev-Petviashvili (SKP) equation which describes the dynamics of the nonlinear spherical ion acoustic waves is derived. It is found that compressive and rarefactive ion-acoustic solitary wave characteristics significantly depend on the density and mass ratios of the positive to negative ions, the nonthermal electron parameter, and the geometry factor. The possible regions for the existence of spherical ion acoustic waves are defined precisely for typical parameters of (H+, O2 -) and (H+, H-) plasmas in the D and F-regions of the Earth's ionosphere, as well as for laboratory plasma (Ar+, F-).

  20. Stability of an ion-ring distribution in a multi-ion component plasma

    NASA Astrophysics Data System (ADS)

    Mithaiwala, Manish; Rudakov, Leonid; Ganguli, Gurudas

    2010-04-01

    The stability of a cold ion-ring velocity distribution in a thermal plasma is analyzed. In particular, the effect of plasma temperature and density on the instability is considered. A high ring density (compared to the background plasma) neutralizes the stabilizing effect of the warm background plasma and the ring is unstable to the generation of waves below the lower-hybrid frequency even for a very high temperature plasma. For ring densities lower than the background plasma density, there is a slow instability where the growth rate is less than the background-ion cyclotron frequency and, consequently, the background-ion response is magnetized. This is in addition to the widely discussed fast instability where the wave growth rate exceeds the background-ion cyclotron frequency and hence the background ions are effectively unmagnetized. Thus, even a low density ring is unstable to waves around the lower-hybrid frequency range for any ring speed. This implies that effectively there is no velocity threshold for a sufficiently cold ring.

  1. Electrostatic wave modulation in collisional pair-ion plasmas

    NASA Astrophysics Data System (ADS)

    Sikdar, Arnab; Adak, Ashish; Ghosh, Samiran; Khan, Manoranjan

    2018-05-01

    The effects of ion-neutral collision on the electrostatic wave packets in the absence of the magnetic field in a pair-ion plasma have been investigated. Considering a two-fluid plasma model with the help of the standard perturbation technique, two distinct electrostatic modes have been observed, namely, a low-frequency ion acoustic mode and a high-frequency ion plasma mode. The dynamics of the modulated wave is governed by a damped nonlinear Schrödinger equation. Damping of the soliton occurs due to the ion-neutral collision. The analytical and numerical investigation reveals that the ion acoustic mode is both stable and unstable, which propagates in the form of dark solitons and bright solitons, respectively, whereas the ion plasma mode is unstable, propagating in the form of a bright soliton. Results are discussed in the context of the fullerene pair-ion plasma experiments.

  2. Interferometric pump-probe characterization of the nonlocal response of optically transparent ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Hadjichristov, Georgi B.

    2012-03-01

    Optical interferometric technique is applied to characterize the nonlocal response of optically transparent ion implanted polymers. The thermal nonlinearity of the ion-modified material in the near-surface region is induced by continuous wave (cw) laser irradiation at a relatively low intensity. The interferometry approach is demonstrated for a subsurface layer of a thickness of about 100 nm formed in bulk polymethylmethacrylate (PMMA) by implantation with silicon ions at an energy of 50 keV and fluence in the range 1014-1017 cm-2. The laser-induced thermooptic effect in this layer is finely probed by interferometric imaging. The interference phase distribution in the plane of the ion implanted layer is indicative for the thermal nonlinearity of the near-surface region of ion implanted optically transparent polymeric materials.

  3. Cathodoluminescence Characterization of Ion Implanted GaAs.

    DTIC Science & Technology

    1980-03-01

    technique that can be used to characterize the semiconductor device "in situ" before further processing can save the Air Force valuable time as well...Patterson Air Force Base,Ohio i! i ill i I ;Wow AFIT/DS/PH/80- I.i1I LEVELOO CATHODOLUMINESCENCE CHARACTERIZATION OF ION IPLANTED GaAs D I SSERUrAT ION...CATODOLUMINESCENCE CHARACTERIZATION .’ a .... OF ION IMPLANTED GaAs’ - .. .. Dtriy’ t’ c:’/ A’: t 1. - Cc;-,P by an i’or Milton L one B.S., M.S. Major USAF Approved

  4. A study of single and binary ion plasma expansion into laboratory-generated plasma wakes

    NASA Technical Reports Server (NTRS)

    Wright, Kenneth Herbert, Jr.

    1988-01-01

    Plasma expansion into the wake of a large rectangular plate immersed in a collisionless, supersonic plasma was investigated in laboratory experiments. The experimental conditions address both single ion and binary ion plasma flows for the case of a body whose size is large in comparison with the Debye length, when the potential difference between the body and the plasma is relatively small. A new plasma source was developed to generate equi-velocity, binary ion plasma flows, which allows access to new parameter space that have previously been unavailable for laboratory studies. Specifically, the new parameters are the ionic mass ratio and the ionic component density ratio. In a series of experiments, a krypton-neon plasma is employed where the ambient density ratio of neon to krypton is varied more than an order of magnitude. The expansion in both the single ion and binary ion plasma cases is limited to early times, i.e., a few ion plasma periods, by the combination of plasma density, plasma drift speed, and vacuum chamber size, which prevented detailed comparison with self-similar theory.

  5. Fabrication and Characterization of Thin Film Ion Implanted Composite Materials for Integrated Nonlinear Optical Devices

    NASA Technical Reports Server (NTRS)

    Sarkisov, S.; Curley, M.; Williams, E. K.; Wilkosz, A.; Ila, D.; Poker, D. B.; Hensley, D. K.; Smith, C.; Banks, C.; Penn, B.; hide

    1998-01-01

    Ion implantation has been shown to produce a high density of metal colloids within the layer regions of glasses and crystalline materials. The high-precipitate volume fraction and small size of metal nanoclusters formed leads to values for the third-order susceptibility much greater than those for metal doped solids. This has stimulated interest in use of ion implantation to make nonlinear optical materials. On the other side, LiNbO3 has proved to be a good material for optical waveguides produced by MeV ion implantation. Light confinement in these waveguides is produced by refractive index step difference between the implanted region and the bulk material. Implantation of LiNbO3 with MeV metal ions can therefore result into nonlinear optical waveguide structures with great potential in a variety of device applications. We describe linear and nonlinear optical properties of a waveguide structure in LiNbO3-based composite material produced by silver ion implantation in connection with mechanisms of its formation.

  6. Charge exchange molecular ion source

    DOEpatents

    Vella, Michael C.

    2003-06-03

    Ions, particularly molecular ions with multiple dopant nucleons per ion, are produced by charge exchange. An ion source contains a minimum of two regions separated by a physical barrier and utilizes charge exchange to enhance production of a desired ion species. The essential elements are a plasma chamber for production of ions of a first species, a physical separator, and a charge transfer chamber where ions of the first species from the plasma chamber undergo charge exchange or transfer with the reactant atom or molecules to produce ions of a second species. Molecular ions may be produced which are useful for ion implantation.

  7. Deformation characteristics of the near-surface layers of zirconia ceramics implanted with aluminum ions

    NASA Astrophysics Data System (ADS)

    Ghyngazov, S. A.; Vasiliev, I. P.; Frangulyan, T. S.; Chernyavski, A. V.

    2015-10-01

    The effect of ion treatment on the phase composition and mechanical properties of the near-surface layers of zirconium ceramic composition 97 ZrO2-3Y2O3 (mol%) was studied. Irradiation of the samples was carried out by accelerated ions of aluminum with using vacuum-arc source Mevva 5-Ru. Ion beam had the following parameters: the energy of the accelerated ions E = 78 keV, the pulse current density Ji = 4mA / cm2, current pulse duration equal τ = 250 mcs, pulse repetition frequency f = 5 Hz. Exposure doses (fluence) were 1016 и 1017 ion/cm2. The depth distribution implanted ions was studied by SIMS method. It is shown that the maximum projected range of the implanted ions is equal to 250 nm. Near-surface layers were investigated by X-ray diffraction (XRD) at fixed glancing incidence angle. It is shown that implantation of aluminum ions into the ceramics does not lead to a change in the phase composition of the near-surface layer. The influence of implanted ions on mechanical properties of ceramic near-surface layers was studied by the method of dynamic nanoindentation using small loads on the indenter P=300 mN. It is shown that in ion- implanted ceramic layer the processes of material recovery in the deformed region in the unloading mode proceeds with higher efficiency as compared with the initial material state. The deformation characteristics of samples before and after ion treatment have been determined from interpretation of the resulting P-h curves within the loading and unloading sections by the technique proposed by Oliver and Pharr. It was found that implantation of aluminum ions in the near-surface layer of zirconia ceramics increases nanohardness and reduces the Young's modulus.

  8. A hot implantation study on the evolution of defects in He ion implanted MgO(1 0 0)

    NASA Astrophysics Data System (ADS)

    Fedorov, A. V.; van Huis, M. A.; van Veen, A.

    2002-05-01

    Ion implantation at elevated temperature, so-called hot implantation, was used to study nucleation and thermal stability of the defects. In this work, MgO(1 0 0) single crystal samples were implanted with 30 keV He ions at various implantation temperatures. The implantation doses ranged from 10 14 to 10 16 cm -2. The implantation introduced defects were subsequently studied by thermal helium desorption spectroscopy (THDS) and Doppler broadening positron beam analysis (PBA). The THDS study provides vital information on the kinetics of He release from the sample. PBA technique, being sensitive to the open volume defects, provides complementary information on cavity evolution. The THD study has shown that in most cases helium release is characterised by the activation energy of Q=4.7±0.5 eV with the maximum release temperature of Tmax=1830 K. By applying first order desorption model the pre-exponent factor is estimated as ν=4.3×10 11 s -1.

  9. Use of low-energy hydrogen ion implants in high-efficiency crystalline-silicon solar cells

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.; Sigh, R.; Mu, H. C.

    1986-01-01

    The use of low-energy hydrogen implants in the fabrication of high-efficiency crystalline silicon solar cells was investigated. Low-energy hydrogen implants result in hydrogen-caused effects in all three regions of a solar cell: emitter, space charge region, and base. In web, Czochralski (Cz), and floating zone (Fz) material, low-energy hydrogen implants reduced surface recombination velocity. In all three, the implants passivated the space charge region recombination centers. It was established that hydrogen implants can alter the diffusion properties of ion-implanted boron in silicon, but not ion-implated arsenic.

  10. Metal Ion-Loaded Nanofibre Matrices for Calcification Inhibition in Polyurethane Implants

    PubMed Central

    Singh, Charanpreet; Wang, Xungai

    2017-01-01

    Pathologic calcification leads to structural deterioration of implant materials via stiffening, stress cracking, and other structural disintegration mechanisms, and the effect can be critical for implants intended for long-term or permanent implantation. This study demonstrates the potential of using specific metal ions (MI)s for inhibiting pathological calcification in polyurethane (PU) implants. The hypothesis of using MIs as anti-calcification agents was based on the natural calcium-antagonist role of Mg2+ ions in human body, and the anti-calcification effect of Fe3+ ions in bio-prosthetic heart valves has previously been confirmed. In vitro calcification results indicated that a protective covering mesh of MI-doped PU can prevent calcification by preventing hydroxyapatite crystal growth. However, microstructure and mechanical characterisation revealed oxidative degradation effects from Fe3+ ions on the mechanical properties of the PU matrix. Therefore, from both a mechanical and anti-calcification effects point of view, Mg2+ ions are more promising candidates than Fe3+ ions. The in vitro MI release experiments demonstrated that PU microphase separation and the structural design of PU-MI matrices were important determinants of release kinetics. Increased phase separation in doped PU assisted in consistent long-term release of dissolved MIs from both hard and soft segments of the PU. The use of a composite-sandwich mesh design prevented an initial burst release which improved the late (>20 days) release rate of MIs from the matrix. PMID:28644382

  11. Ion implantation in ices and its relevance to the icy moons of the external planets

    NASA Astrophysics Data System (ADS)

    Strazzulla, G.; Baratta, G. A.; Fulvio, D.; Garozzo, M.; Leto, G.; Palumbo, M. E.; Spinella, F.

    2007-08-01

    Solid, atmosphere-less objects in the Solar System are continuously irradiated by energetic ions mostly in the keV-MeV energy range. Being the penetration depth of the incoming ions usually much lower than the thickness of the target, they are stopped into the ice. They deposit energy in the target induce the breaking of molecular bonds. The recombination of fragments produce different molecules. Reactive ions (e.g., H, C, N, O, S) induce all of the effects of any other ion, but in addition have a chance, by implantation in the target, to form new species containing the projectile. An ongoing research program performed at our laboratory has the aim to investigate ion implantation of reactive ions in many relevant ice mixtures. The results obtained so far indicate that some molecular species observed on icy planetary surfaces could not be native of that object but formed by implantation of reactive ions. In particular we present data obtained after: • C, N and S implantation in water ice • H implantation in carbon and sulfur dioxide

  12. Method of processing materials using an inductively coupled plasma

    DOEpatents

    Hull, Donald E.; Bieniewski, Thomas M.

    1989-01-01

    A method for coating surfaces or implanting ions in an object using an inductively coupled plasma. The method provides a gas-free environment, since the plasma is formed without using a gas. The coating material or implantation material is intitially in solid form.

  13. Production yield of rare-earth ions implanted into an optical crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kornher, Thomas, E-mail: t.kornher@physik.uni-stuttgart.de; Xia, Kangwei; Kolesov, Roman

    2016-02-01

    Rare-earth (RE) ions doped into desired locations of optical crystals might enable a range of novel integrated photonic devices for quantum applications. With this aim, we have investigated the production yield of cerium and praseodymium by means of ion implantation. As a measure, the collected fluorescence intensity from both implanted samples and single centers was used. With a tailored annealing procedure for cerium, a yield up to 53% was estimated. Praseodymium yield amounts up to 91%. Such high implantation yield indicates a feasibility of creation of nanopatterned rare-earth doping and suggests strong potential of RE species for on-chip photonic devices.

  14. Method of making an ion-implanted planar-buried-heterostructure diode laser

    DOEpatents

    Brennan, Thomas M.; Hammons, Burrell E.; Myers, David R.; Vawter, Gregory A.

    1992-01-01

    Planar-buried-heterostructure, graded-index, separate-confinement-heterostructure semiconductor diode laser 10 includes a single quantum well or multi-quantum well active stripe 12 disposed between a p-type compositionally graded Group III-V cladding lever 14 and an n-type compositionally graded Group III-V cladding layer 16. The laser 10 includes an iion implanted n-type region 28 within the p-type cladding layer 14 and further includes an ion implanted p-type region 26 within the n-type cladding layer 16. The ion implanted regions are disposed for defining a lateral extent of the active stripe.

  15. Plasma formed ion beam projection lithography system

    DOEpatents

    Leung, Ka-Ngo; Lee, Yung-Hee Yvette; Ngo, Vinh; Zahir, Nastaran

    2002-01-01

    A plasma-formed ion-beam projection lithography (IPL) system eliminates the acceleration stage between the ion source and stencil mask of a conventional IPL system. Instead a much thicker mask is used as a beam forming or extraction electrode, positioned next to the plasma in the ion source. Thus the entire beam forming electrode or mask is illuminated uniformly with the source plasma. The extracted beam passes through an acceleration and reduction stage onto the resist coated wafer. Low energy ions, about 30 eV, pass through the mask, minimizing heating, scattering, and sputtering.

  16. Electric force on plasma ions and the momentum of the ion-neutrals flow

    NASA Astrophysics Data System (ADS)

    Makrinich, G.; Fruchtman, A.; Zoler, D.; Boxman, R. L.

    2018-05-01

    The electric force on ions in plasma and the momentum flux carried by the mixed ion-neutral flow were measured and found to be equal. The experiment was performed in a direct-current gas discharge of cylindrical geometry with applied radial electric field and axial magnetic field. The unmagnetized plasma ions, neutralized by magnetized electrons, were accelerated radially outward transferring part of the gained momentum to neutrals. Measurements were taken for various argon gas flow rates between 13 and 100 Standard Cubic Centimeter per Minute, for a discharge current of 1.9 A and a magnetic field intensity of 136 G. The plasma density, electron temperature, and plasma potential were measured at various locations along the flow. These measurements were used to determine the local electric force on the ions. The total electric force on the plasma ions was then determined by integrating radially the local electric force. In parallel, the momentum flux of the mixed ion-neutral flow was determined by measuring the force exerted by the flow on a balance force meter (BFM). The maximal plasma density was between 6 × 1010 cm-3 and 5 × 1011 cm-3, the maximal electron temperature was between 8 eV and 25 eV, and the deduced maximal electric field was between 2200 V/m and 5800 V/m. The force exerted by the mixed ion-neutral flow on the BFM agreed with the total electric force on the plasma ions. This agreement showed that it is the electric force on the plasma ions that is the source of the momentum acquired by the mixed ion-neutral flow.

  17. Induction of angiogenesis and neovascularization in adjacent tissue of plasma-collagen-coated silicone implants.

    PubMed

    Ring, Andrej; Langer, Stefan; Tilkorn, Daniel; Goertz, Ole; Henrich, Lena; Stricker, Ingo; Steinau, Hans-Ulrich; Steinstraesser, Lars; Hauser, Joerg

    2010-09-28

    Formation of encapsulating, avascular fibrous tissue is deemed to decrease implant's biocompatibility and versatility. We investigated whether plasma-mediated collagen coating possesses the ability to enhance neovascularization in the vicinity of silicone implants. Plasma-treated collagen-I-coated silicone samples were placed into the dorsal skinfold chambers of female balb/c mice (n = 10). Conventional silicone served as control (n = 10). Intravital microscopy was performed within implant's surrounding tissue on days 1, 5, and 10. Functional vessel density, intervascular distance, vessel diameter, microvascular permeability, red blood cell velocity, and leukocyte-endothelium interaction were determined. Enhanced angiogenesis in the tissue surrounding plasma-pretreated collagen-coated implants was noted. Significant increase of functional vessel density due to vascular new development was observed (t test, P < .05). Analyses of microvascular permeability and red blood cell velocity displayed stable perfusion of the vascular network neighboring the surface-modified implants. Intensified vascularity due to induced angiogenesis and neovascularization in the tissue surrounding plasma-collagen-coated samples were observed. These results indicate that plasma-mediated collagen coating might be a promising technology in order to improve the biocompatibility and versatility of silicone implants.

  18. Induction of Angiogenesis and Neovascularization in Adjacent Tissue of Plasma-Collagen–Coated Silicone Implants

    PubMed Central

    Ring, Andrej; Langer, Stefan; Tilkorn, Daniel; Goertz, Ole; Henrich, Lena; Stricker, Ingo; Steinau, Hans-Ulrich; Steinstraesser, Lars; Hauser, Joerg

    2010-01-01

    Objective: Formation of encapsulating, avascular fibrous tissue is deemed to decrease implant's biocompatibility and versatility. We investigated whether plasma-mediated collagen coating possesses the ability to enhance neovascularization in the vicinity of silicone implants. Methods: Plasma-treated collagen-I–coated silicone samples were placed into the dorsal skinfold chambers of female balb/c mice (n = 10). Conventional silicone served as control (n = 10). Intravital microscopy was performed within implant's surrounding tissue on days 1, 5, and 10. Functional vessel density, intervascular distance, vessel diameter, microvascular permeability, red blood cell velocity, and leukocyte-endothelium interaction were determined. Results: Enhanced angiogenesis in the tissue surrounding plasma-pretreated collagen-coated implants was noted. Significant increase of functional vessel density due to vascular new development was observed (t test, P < .05). Analyses of microvascular permeability and red blood cell velocity displayed stable perfusion of the vascular network neighboring the surface-modified implants. Conclusion: Intensified vascularity due to induced angiogenesis and neovascularization in the tissue surrounding plasma-collagen–coated samples were observed. These results indicate that plasma-mediated collagen coating might be a promising technology in order to improve the biocompatibility and versatility of silicone implants. PMID:20936137

  19. The Use of Ion Implantation for Materials Processing.

    DTIC Science & Technology

    1986-03-06

    34 ASME, J. Lub. Technology 105, pp. 534-541 (1983). 89. J. M. Lambert, P. A. Treado, D . Trbojevic , R. G. Allas, A. R. Knudson, G. W. Reynolds, and F. R...Singer and R.G. Vardiman D . In Situ Auger Analysis Of Surface Composition During High Fluence Ion Implantation...Niobium Implantation Of Iron Films ..............................................37 B. D . Sartwell and D.A. Baldwin F. Sputtering And Migration During Ta

  20. Characterisation of Cs ion implanted GaN by DLTS

    NASA Astrophysics Data System (ADS)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  1. Microstructural and opto-electrical properties of chromium nitride films implanted with vanadium ions

    NASA Astrophysics Data System (ADS)

    Novaković, M.; Traverse, A.; Popović, M.; Lieb, K. P.; Zhang, K.; Bibić, N.

    2012-07-01

    We report on modifications of 280-nm thin polycrystalline CrN layers caused by vanadium ion implantation. The CrN layers were deposited at 150°C by d.c. reactive sputtering on Si(100) wafers and then implanted at room temperature with 80-keV V+ ions to fluences of 1×1017 and 2×1017 ions/cm2. Rutherford backscattering spectroscopy, cross-sectional transmission electron microscopy, and X-ray diffraction were used to characterize changes in the structural properties of the films. Their optical and electrical properties were analyzed by infrared spectroscopy in reflection mode and electrical resistivity measurements. CrN was found to keep its cubic structure under the conditions of vanadium ion implantation used here. The initially partially non-metallic CrN layer displays metallic character under implantation, which may be related to the possible formation of Cr1-x V x N.

  2. Effects of vanadium ion implantation on microstructure, mechanical and tribological properties of TiN coatings

    NASA Astrophysics Data System (ADS)

    Deng, Bin; Tao, Ye; Guo, Deliang

    2012-09-01

    TiN coatings were deposited on the substrates of cemented carbide (WC-TiC-Co) by Magnetic Filter Arc Ion Plating (MFAIP) and then implanted with vanadium through Metal Vacuum Vapor Arc (MEVVA) ion source with the doses of 1 × 1017 and 5 × 1017 ions/cm2 at 40 kV. The microstructures and chemical compositions of the V-implanted TiN coatings were investigated using Glancing Incidence X-ray Diffraction (GIXRD) and X-ray Photoelectron Spectroscopy (XPS), together with the mechanical and tribological properties of coatings were characterized using nano-indentation and ball-on-disk tribometer. It was found that the diffraction peaks of the V-implanted TiN coatings at the doses of 5 × 1017 ions/cm2 shifted to higher angles and became broader. The hardness and elastic modulus of TiN coatings increased after V ion implantation. The wear mechanism for both un-implanted and V-implanted TiN coatings against GCr15 steel ball was adhesive wear, and the V-implanted TiN coatings had a lower friction coefficient as well as a better wear resistance

  3. Ion species stratification within strong shocks in two-ion plasmas

    NASA Astrophysics Data System (ADS)

    Keenan, Brett D.; Simakov, Andrei N.; Taitano, William T.; Chacón, Luis

    2018-03-01

    Strong collisional shocks in multi-ion plasmas are featured in many environments, with Inertial Confinement Fusion (ICF) experiments being one prominent example. Recent work [Keenan et al., Phys. Rev. E 96, 053203 (2017)] answered in detail a number of outstanding questions concerning the kinetic structure of steady-state, planar plasma shocks, e.g., the shock width scaling by the Mach number, M. However, it did not discuss shock-driven ion-species stratification (e.g., relative concentration modification and temperature separation). These are important effects since many recent ICF experiments have evaded explanation by standard, single-fluid, radiation-hydrodynamic (rad-hydro) numerical simulations, and shock-driven fuel stratification likely contributes to this discrepancy. Employing the state-of-the-art Vlasov-Fokker-Planck code, iFP, along with multi-ion hydro simulations and semi-analytics, we quantify the ion stratification by planar shocks with the arbitrary Mach number and the relative species concentration for two-ion plasmas in terms of ion mass and charge ratios. In particular, for strong shocks, we find that the structure of the ion temperature separation has a nearly universal character across ion mass and charge ratios. Additionally, we find that the shock fronts are enriched with the lighter ion species and the enrichment scales as M4 for M ≫ 1.

  4. Compositional and structural analysis of nitrogen incorporated and ion implanted diamond thin films

    NASA Astrophysics Data System (ADS)

    Garratt, Elias James

    Significant progress in area of nano-structured thin film systems has taken place in recent decades. In particular, diamond thin film systems are being widely studied for their wear resistant, optical and electronic properties. Of the various methods researchers use to modify the structure of such films, three techniques in particular are of interest due to their versatility: modification of the growth atmosphere, growth on metalized substrates, providing an interfacial layer, and modification through post-growth ion implantation. The aim of this study is to investigate the effects each has to the structure and composition of elements. Different techniques are applied in each section; nitrogen gas dilution in a microwave plasma CVD system, diamond deposition on a metal interfacial layer and ion implantation in thin nanocrystalline diamond film. The forms of nanocrystalline diamond film resulting from such modifications are investigated using advanced spectroscopic and spectrometric techniques, as well as mechanical testing and surface mapping. The impact of these characterizations will provide valuable perspective to researchers in materials science. Understanding the changes to the structure and properties of this class of thin films, which can be induced through various mechanisms, will allow future researchers to refine these films towards technological applications in areas of hard coatings, electronics and photonics.

  5. Quantitative secondary ion mass spectrometric analysis of secondary ion polarity in GaN films implanted with oxygen

    NASA Astrophysics Data System (ADS)

    Hashiguchi, Minako; Sakaguchi, Isao; Adachi, Yutaka; Ohashi, Naoki

    2016-10-01

    Quantitative analyses of N and O ions in GaN thin films implanted with oxygen ions (16O+) were conducted by secondary ion mass spectrometry (SIMS). Positive (CsM+) and negative secondary ions extracted by Cs+ primary ion bombardment were analyzed for oxygen quantitative analysis. The oxygen depth profiles were obtained using two types of primary ion beams: a Gaussian-type beam and a broad spot beam. The oxygen peak concentrations in GaN samples were from 3.2 × 1019 to 7.0 × 1021 atoms/cm3. The depth profiles show equivalent depth resolutions in the two analyses. The intensity of negative oxygen ions was approximately two orders of magnitude higher than that of positive ions. In contrast, the O/N intensity ratio measured using CsM+ molecular ions was close to the calculated atomic density ratio, indicating that the SIMS depth profiling using CsM+ ions is much more effective for the measurements of O and N ions in heavy O-implanted GaN than that using negative ions.

  6. Ion implantation in group III-nitride semiconductors: a tool for doping and defect studies

    NASA Astrophysics Data System (ADS)

    Zolper, J. C.

    1997-06-01

    Ion implantation is a flexible process technology for introducing an array of doping or compensating impurities into semiconductors. As the crystal quality of the group III-nitride materials continues to improve, ion implantation is playing an enabling role in exploring new dopant species and device structures. In this paper we review the recent developments in ion implantation processing of these materials with a particular emphasis on how this technology has brought new understanding to this materials system. In particular, the use of ion implantation to characterize impurity luminescence, doping, and compensation in III-nitride materials is reviewed. In addition, we address the nature of implantation induced damage in GaN which demonstrates a very strong resistance to amorphization while at the same time forming damage that is not easily removed by thermal annealing. Finally, we review the coupling of implantation with high temperature rapid thermal annealing to better understand the thermal stability of these materials and the redistribution properties of the common dopant (Si, O, Be, Mg, Ca, and Zn).

  7. Angle Control on the Optima HE/XE Ion Implanter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bell, Edward; Satoh, Shu

    2008-11-03

    The Optima HE/XE is the latest generation of high energy ion implanter from Axcelis, combining proven RF linear accelerator technology with new single wafer processing. The architecture of the implanter is designed to provide a parallel beam at the wafer plane over the full range of implant energies and beam currents. One of the advantages of this system is the ability to control both the horizontal and vertical implant angles for each implant. Included in the design is the ability to perform in situ measurements of the horizontal and vertical angles of the beam in real time. The method ofmore » the horizontal and vertical angle measurements is described in this paper.« less

  8. Medical Plasma in Dentistry: A Future Therapy for Peri-implantitis

    NASA Astrophysics Data System (ADS)

    Koban, Ina; Jablonowski, Lukasz; Kramer, Axel; Weltmann, Klaus-Dieter; Kocher, Thomas

    Biofilm formation plays a major role in the pathogenesis of many oral diseases especially in peri-implantits. To evaluate the anti-biofilm effect of different plasma devices and processes we used different dental biofilm models: Candida albicans, Streptococcus mutans, Streptococcus sanguinis, aerobe multispecies human saliva and anaerobe plaque biofilms. After 10 min treatment we reduced the biofilms by 5 log10 steps using dielectric barrier discharge (DBD) plasma. Chlorhexidine is the gold standard antiseptic which achieved in the same time only a 1.5 log10 reduction. All plasma devices (DBD or plasma jets) damaged the membrane of the microorganisms but only etching plasma sources can remove the biofilm as shown in CLSM micrographs. It is possible to improve the plasma process using antiseptics like octenidine. This combination significantly reduced CFU values after 1 min plasma treatment compared to the plasma control. Beside the anti-biofilm effect an additional effect of plasma is the contact angle reduction of different titanium implant surfaces from 90° to super-hydrophilic (<5°). This can improve the implant healing process. Thus in the future, plasma could be an interesting treatment option in dentistry, especially in treatment of peri-implantits.

  9. Controlled removal of ceramic surfaces with combination of ions implantation and ultrasonic energy

    DOEpatents

    Boatner, Lynn A.; Rankin, Janet; Thevenard, Paul; Romana, Laurence J.

    1995-01-01

    A method for tailoring or patterning the surface of ceramic articles is provided by implanting ions to predetermined depth into the ceramic material at a selected surface location with the ions being implanted at a fluence and energy adequate to damage the lattice structure of the ceramic material for bi-axially straining near-surface regions of the ceramic material to the predetermined depth. The resulting metastable near-surface regions of the ceramic material are then contacted with energy pulses from collapsing, ultrasonically-generated cavitation bubbles in a liquid medium for removing to a selected depth the ion-damaged near-surface regions containing the bi-axially strained lattice structure from the ceramic body. Additional patterning of the selected surface location on the ceramic body is provided by implanting a high fluence of high-energy, relatively-light ions at selected surface sites for relaxing the bi-axial strain in the near-surface regions defined by these sites and thereby preventing the removal of such ion-implanted sites by the energy pulses from the collapsing ultrasonic cavitation bubbles.

  10. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    NASA Astrophysics Data System (ADS)

    Budzynski, P.

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 1017 ion/cm2, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  11. Ion implantation damage, annealing and dopant activation in epitaxial gallium nitride

    NASA Astrophysics Data System (ADS)

    Suvkhanov, Agajan

    2001-07-01

    Successful n- and p-doping of GaN is an extremely important technological problem. More recently, ion implantation has been used to achieve both n- and p-type GaN. The ion implantation process is accompanied by the presence of radiation defects as the result of the ion-solid interactions. The temperatures (above 1000°C) required for recovery of the implantation induced damage and dopant activation strongly affect the GaN's surface integrity due to the significant nitrogen vapor pressure. Preservation of the surface integrity of GaN during high temperature post-implantation annealing is one of the key issues in the fabrication of GaN-based light-emitting devices. The radiation damage build-up in the implanted GaN layers has been investigated as a function of ion dose and the substrate's temperature. Results of measurements of structural damage by the Rutherford backscattering/Channeling (RBS/C) and the spectroscopic ellipsometry (SE) techniques have demonstrated the complex nature of the damage build-up. Analysis of GaN implanted at high temperature has demonstrated the presence of competing processes of layer-by-layer damage build-up and defect annihilation. Using a capping layer and annealing in a sealed quartz capsule filled with dry nitrogen can preserve the integrity of the GaN's surface. In this work the ion-implanted GaN samples were capped with 40 run MOCVD (Metal Organic Chemical Vapor Deposition) grown AlN film prior to annealing. The results of this work showed the advantage of high-temperature annealing of implanted GaN in a quartz capsule with nitrogen ambient, as compared with annealing in argon and nitrogen gas flow. Partial to complete decomposition of the AlN cap and underlying GaN has been observed by RBS/C and SEM (Scanning electron microscopy) for the samples annealed in flowing argon, as well as for the samples processed in flowing nitrogen. Encapsulation with nitrogen overpressure prevented the decomposition of the AlN capping film and the Ga

  12. Formation of stable inverse sheath in ion–ion plasma by strong negative ion emission

    NASA Astrophysics Data System (ADS)

    Zhang, Zhe; Wu, Bang; Yang, Shali; Zhang, Ya; Chen, Dezhi; Fan, Mingwu; Jiang, Wei

    2018-06-01

    The effect of strong charged particle emission on plasma–wall interactions is a classical, yet unresolved question in plasma physics. Previous studies on secondary electron emission have shown that with different emission coefficients, there are classical, space-charge-limited, and inverse sheaths. In this letter, we demonstrate that a stable ion–ion inverse sheath and ion–ion plasma are formed with strong surface emission of negative ions. The continuous space-charge-limited to inverse ion–ion sheath transition is observed, and the plasma near the surface consequently transforms into pure ion–ion plasma. The results may explain the long-puzzled experimental observation that the density of negative ions depends on only charge not mass in negative ion sources.

  13. Ion implantation disorder in strained-layer superlattices

    NASA Astrophysics Data System (ADS)

    Arnold, G. W.; Picraux, S. T.; Peercy, P. S.; Myers, D. R.; Biefeld, R. M.; Dawson, L. R.

    Cantilever beam bending and RBS channeling measurements have been used to examine implantation induced disorder and stress buildup in InO 2GaO 8As/GaAs SLS structures. The critical fluence for saturation of compressive stress occurs prior to amorphous layer formation and is followed by stress relief. For all the ions the maximum ion induced stress scales with energy density into atomic processes and stress relief occurs above approximately 1x10 to the 20th keV/1 cubic cm. Stress relief is more pronounced for the SLSs than for bulk GaAs. Stress relief may lead to slip or other forms of inelastic material flow in SLSs, which would be undesirable for active regions in device applications. Such material flow may be avoided by limiting maximum fluences or by multiple step or simultaneous implantation and annealing for high fluences.

  14. Electrical and optical properties of nitrile rubber modified by ion implantation

    NASA Astrophysics Data System (ADS)

    S, Najidha; Predeep, P.

    2014-10-01

    Implantation of N+ ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 1014 to 1016 ions/cm2. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  15. High definition surface micromachining of LiNbO 3 by ion implantation

    NASA Astrophysics Data System (ADS)

    Chiarini, M.; Bentini, G. G.; Bianconi, M.; De Nicola, P.

    2010-10-01

    High Energy Ion Implantation (HEII) of both medium and light mass ions has been successfully applied for the surface micromachining of single crystal LiNbO 3 (LN) substrates. It has been demonstrated that the ion implantation process generates high differential etch rates in the LN implanted areas, when suitable implantation parameters, such as ion species, fluence and energy, are chosen. In particular, when traditional LN etching solutions are applied to suitably ion implanted regions, etch rates values up to three orders of magnitude higher than the typical etching rates of the virgin material, are registered. Further, the enhancement in the etching rate has been observed on x, y and z-cut single crystalline material, and, due to the physical nature of the implantation process, it is expected that it can be equivalently applied also to substrates with different crystallographic orientations. This technique, associated with standard photolithographic technologies, allows to generate in a fast and accurate way very high aspect ratio relief micrometric structures on LN single crystal surface. In this work a description of the developed technology is reported together with some examples of produced micromachined structures: in particular very precisely defined self sustaining suspended structures, such as beams and membranes, generated on LN substrates, are presented. The developed technology opens the way to actual three dimensional micromachining of LN single crystals substrates and, due to the peculiar properties characterising this material, (pyroelectric, electro-optic, acousto-optic, etc.), it allows the design and the production of complex integrated elements, characterised by micrometric features and suitable for the generation of advanced Micro Electro Optical Systems (MEOS).

  16. Effects of non-equilibrium plasma in the treatment of ligature-induced peri-implantitis.

    PubMed

    Shi, Qi; Song, Ke; Zhou, Xincai; Xiong, Zilan; Du, Tianfeng; Lu, Xinpei; Cao, Yingguang

    2015-05-01

    To evaluate the effects of non-equilibrium plasma in the treatment of ligature-induced peri-implantitis in beagle dogs. Six beagles received 12 implants installed in the position of the fourth mandibular premolars. Ligature-induced peri-implantitis was initiated at 3 months post-implantation. When approximately 40% of the supporting bone was lost, the ligatures were removed. The implants were subjected to the muco-periosteal scaling and chlorhexidine irrigation with or without plasma irrigation. Three months later, clinical, radiographic and microbiological analyses were performed. Block biopsies were prepared for micro-CT and histomorphometric analysis. The primary outcome was the difference in bone healing of peri-implant sites, and the secondary outcomes included changes in clinical parameters (SBI, PD) and bacterial detection. At baseline, no significant differences were observed between the two groups. At 3 months post-treatment, the plasma group showed a significantly higher bone level than the control group (p < 0.05), a significantly decreased detection of bacteria (Porphyromonas gingivalis and Tannerella forsythia) (p < 0.05), and a significant improvement in clinical examination (p < 0.05). Within the limits of this study, non-equilibrium plasma treatment as an adjunct to the conventional therapy is a feasible approach for the treatment of peri-implantitis. © 2015 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  17. Plasma-filled applied B ion diode experiments using a plasma opening switch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Renk, T.J.

    1994-12-15

    In order for a plasma opening switch (POS) to open quickly and transfer power efficiently from an inductively charged vacuum transmission line to an applied B ion diode, the load impedance of the ion diode may be required to have an initial low impedance phase. A plasma-filled diode has such an impedance history. To test the effect of a plasma-filled diode on POS-diode coupling, a drifting plasma was introduced from the cathode side of an applied B ion diode operated on the LION accelerator (1.5 MV, 4 [Omega], 40 ns) at Cornell University. This plasma readily crossed the 2.1 Tmore » magnetic insulation field of the diode, and resulted in both increased diode electrical power, and an increased ability of the ion beam to remove material from a target. The plasma did not appear to have a noticeable effect on local beam steering angle.« less

  18. Rhenium ion beam for implantation into semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kulevoy, T. V.; Seleznev, D. N.; Alyoshin, M. E.

    2012-02-15

    At the ion source test bench in Institute for Theoretical and Experimental Physics the program of ion source development for semiconductor industry is in progress. In framework of the program the Metal Vapor Vacuum Arc ion source for germanium and rhenium ion beam generation was developed and investigated. It was shown that at special conditions of ion beam implantation it is possible to fabricate not only homogenous layers of rhenium silicides solid solutions but also clusters of this compound with properties of quantum dots. At the present moment the compound is very interesting for semiconductor industry, especially for nanoelectronics andmore » nanophotonics, but there is no very developed technology for production of nanostructures (for example quantum sized structures) with required parameters. The results of materials synthesis and exploration are presented.« less

  19. A feasibility study of ion implantation techniques for mass spectrometer calibration

    NASA Technical Reports Server (NTRS)

    Koslin, M. E.; Krycuk, G. A.; Schatz, J. G., Jr.; White, F. A.; Wood, G. M.

    1978-01-01

    An experimental study was undertaken to examine the feasibility of using ion-implanted filaments doped with either an alkali metal or noble gas for in situ recalibration of onboard mass spectrometers during extended space missions. Implants of rubidium and krypton in rhenium ribbon filaments were subsequently tested in a bakeable 60 deg sector mass spectrometer operating in the static mode. Surface ionization and electron impact ion sources were both used, each yielding satisfactory results. The metallic implant with subsequent ionization provided a means of mass scale calibration and determination of system operating parameters, whereas the noble gas thermally desorbed into the system was more suited for partial pressure and sensitivity determinations.

  20. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    NASA Astrophysics Data System (ADS)

    Rizwan, M.; Ahmad, A.; Deen, K. M.; Haider, W.

    2014-11-01

    Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN0.3 and Ti3N2-xnitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  1. Negative ion source with hollow cathode discharge plasma

    DOEpatents

    Hershcovitch, Ady; Prelec, Krsto

    1983-01-01

    A negative ion source of the type where negative ions are formed by bombarding a low-work-function surface with positive ions and neutral particles from a plasma, wherein a highly ionized plasma is injected into an anode space containing the low-work-function surface. The plasma is formed by hollow cathode discharge and injected into the anode space along the magnetic field lines. Preferably, the negative ion source is of the magnetron type.

  2. Nonlinear effects in defect production by atomic and molecular ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    David, C., E-mail: david@igcar.gov.in; Dholakia, Manan; Chandra, Sharat

    This report deals with studies concerning vacancy related defects created in silicon due to implantation of 200 keV per atom aluminium and its molecular ions up to a plurality of 4. The depth profiles of vacancy defects in samples in their as implanted condition are carried out by Doppler broadening spectroscopy using low energy positron beams. In contrast to studies in the literature reporting a progressive increase in damage with plurality, implantation of aluminium atomic and molecular ions up to Al{sub 3}, resulted in production of similar concentration of vacancy defects. However, a drastic increase in vacancy defects is observed duemore » to Al{sub 4} implantation. The observed behavioural trend with respect to plurality has even translated to the number of vacancies locked in vacancy clusters, as determined through gold labelling experiments. The impact of aluminium atomic and molecular ions simulated using MD showed a monotonic increase in production of vacancy defects for cluster sizes up to 4. The trend in damage production with plurality has been explained on the basis of a defect evolution scheme in which for medium defect concentrations, there is a saturation of the as-implanted damage and an increase for higher defect concentrations.« less

  3. Localization of carbon atoms and extended defects in silicon implanted separately with C+ and B+ ions and jointly with C+ and B+ ions

    NASA Astrophysics Data System (ADS)

    Jadan, M.; Chelyadinskii, A. R.; Odzhaev, V. B.

    2013-02-01

    The possibility to control the localization of implanted carbon in sites and interstices in silicon immediately during the implantation has been demonstrated. The formation of residual extended defects in silicon implanted separately with C+ and B+ ions and jointly with C+ and B+ ions has been shown. It has been found that the formation of residual defects can be suppressed due to annihilation of point defects at C atoms (the Watkins effect). The positive effect is attained if implanted carbon is localized over lattice sites, which is provided by its implantation with the effective current density of the scanning ion beam no lower than 1.0 μA cm-2.

  4. Dose Control System in the Optima XE Single Wafer High Energy Ion Implanter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Satoh, Shu; Yoon, Jongyoon; David, Jonathan

    2011-01-07

    Photoresist outgassing can significantly compromise accurate dosimetry of high energy implants. High energy implant even at a modest beam current produces high beam powers which create significantly worse outgassing than low and medium energy implants and the outgassing continues throughout the implant due to the low dose in typical high energy implant recipes. In the previous generation of high energy implanters, dose correction by monitoring of process chamber pressure during photoresist outgassing has been used. However, as applications diversify and requirements change, the need arises for a more versatile photoresist correction system to match the versatility of a single wafermore » high energy ion implanter. We have successfully developed a new dosimetry system for the Optima XE single wafer high energy ion implanter which does not require any form of compensation due to the implant conditions. This paper describes the principles and performance of this new dose system.« less

  5. Au5+ ion implantation induced structural phase transitions probed through structural, microstructural and phonon properties in BiFeO3 ceramics, using synergistic ion beam energy

    NASA Astrophysics Data System (ADS)

    Dey, Ranajit; Bajpai, P. K.

    2018-04-01

    Implanted Au5+-ion-induced modification in structural and phonon properties of phase pure BiFeO3 (BFO) ceramics prepared by sol-gel method was investigated. These BFO samples were implanted by 15.8 MeV ions of Au5+ at various ion fluence ranging from 1 × 1014 to 5 × 1015 ions/cm2. Effect of Au5+ ions' implantation is explained in terms of structural phase transition coupled with amorphization/recrystallization due to ion implantation probed through XRD, SEM, EDX and Raman spectroscopy. XRD patterns show broad diffuse contributions due to amorphization in implanted samples. SEM images show grains collapsing and mounds' formation over the surface due to mass transport. The peaks of the Raman spectra were broadened and also the peak intensities were decreased for the samples irradiated with 15.8 MeV Au5+ ions at a fluence of 5 × 1015 ion/cm2. The percentage increase/decrease in amorphization and recrystallization has been estimated from Raman and XRD data, which support the synergistic effects being operative due to comparable nuclear and electronic energy losses at 15.8 MeV Au5+ ion implantation. Effect of thermal treatment on implanted samples is also probed and discussed.

  6. Ion species stratification within strong shocks in two-ion plasmas

    DOE PAGES

    Keenan, Brett D.; Simakov, Andrei N.; Taitano, William T.; ...

    2018-03-01

    We report strong collisional shocks in multi-ion plasmas are featured in many environments, with Inertial Confinement Fusion (ICF) experiments being one prominent example. Recent work [Keenan et al., Phys. Rev. E 96, 053203 (2017)] answered in detail a number of outstanding questions concerning the kinetic structure of steady-state, planar plasma shocks, e.g., the shock width scaling by the Mach number, M. However, it did not discuss shock-driven ion-species stratification (e.g., relative concentration modification and temperature separation). These are important effects since many recent ICF experiments have evaded explanation by standard, single-fluid, radiation-hydrodynamic (rad-hydro) numerical simulations, and shock-driven fuel stratification likelymore » contributes to this discrepancy. Employing the state-of-the-art Vlasov-Fokker-Planck code, iFP, along with multi-ion hydro simulations and semi-analytics, we quantify the ion stratification by planar shocks with the arbitrary Mach number and the relative species concentration for two-ion plasmas in terms of ion mass and charge ratios. In particular, for strong shocks, we find that the structure of the ion temperature separation has a nearly universal character across ion mass and charge ratios. Lastly, we find that the shock fronts are enriched with the lighter ion species and the enrichment scales as M 4 for M»1.« less

  7. Ion species stratification within strong shocks in two-ion plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Keenan, Brett D.; Simakov, Andrei N.; Taitano, William T.

    We report strong collisional shocks in multi-ion plasmas are featured in many environments, with Inertial Confinement Fusion (ICF) experiments being one prominent example. Recent work [Keenan et al., Phys. Rev. E 96, 053203 (2017)] answered in detail a number of outstanding questions concerning the kinetic structure of steady-state, planar plasma shocks, e.g., the shock width scaling by the Mach number, M. However, it did not discuss shock-driven ion-species stratification (e.g., relative concentration modification and temperature separation). These are important effects since many recent ICF experiments have evaded explanation by standard, single-fluid, radiation-hydrodynamic (rad-hydro) numerical simulations, and shock-driven fuel stratification likelymore » contributes to this discrepancy. Employing the state-of-the-art Vlasov-Fokker-Planck code, iFP, along with multi-ion hydro simulations and semi-analytics, we quantify the ion stratification by planar shocks with the arbitrary Mach number and the relative species concentration for two-ion plasmas in terms of ion mass and charge ratios. In particular, for strong shocks, we find that the structure of the ion temperature separation has a nearly universal character across ion mass and charge ratios. Lastly, we find that the shock fronts are enriched with the lighter ion species and the enrichment scales as M 4 for M»1.« less

  8. Recoil implantation of boron into silicon by high energy silicon ions

    NASA Astrophysics Data System (ADS)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  9. Staging and laser acceleration of ions in underdense plasma

    NASA Astrophysics Data System (ADS)

    Ting, Antonio; Hafizi, Bahman; Helle, Michael; Chen, Yu-Hsin; Gordon, Daniel; Kaganovich, Dmitri; Polyanskiy, Mikhail; Pogorelsky, Igor; Babzien, Markus; Miao, Chenlong; Dover, Nicholas; Najmudin, Zulfikar; Ettlinger, Oliver

    2017-03-01

    Accelerating ions from rest in a plasma requires extra considerations because of their heavy mass. Low phase velocity fields or quasi-electrostatic fields are often necessary, either by operating above or near the critical density or by applying other slow wave generating mechanisms. Solid targets have been a favorite and have generated many good results. High density gas targets have also been reported to produce energetic ions. It is interesting to consider acceleration of ions in laser-driven plasma configurations that will potentially allow continuous acceleration in multiple consecutive stages. The plasma will be derived from gaseous targets, producing plasma densities slightly below the critical plasma density (underdense) for the driving laser. Such a plasma is experimentally robust, being repeatable and relatively transparent to externally injected ions from a previous stage. When optimized, multiple stages of this underdense laser plasma acceleration mechanism can progressively accelerate the ions to a high final energy. For a light mass ion such as the proton, relativistic velocities could be reached, making it suitable for further acceleration by high phase velocity plasma accelerators to energies appropriate for High Energy Physics applications. Negatively charged ions such as antiprotons could be similarly accelerated in this multi-staged ion acceleration scheme.

  10. Negative ion source with hollow cathode discharge plasma

    DOEpatents

    Hershcovitch, A.; Prelec, K.

    1980-12-12

    A negative ion source of the type where negative ions are formed by bombarding a low-work-function surface with positive ions and neutral particles from a plasma, wherein a highly ionized plasma is injected into an anode space containing the low-work-function surface is described. The plasma is formed by hollow cathode discharge and injected into the anode space along the magnetic field lines. Preferably, the negative ion source is of the magnetron type.

  11. Systemic levels of metallic ions released from orthodontic mini-implants.

    PubMed

    de Morais, Liliane Siqueira; Serra, Glaucio Guimarães; Albuquerque Palermo, Elisabete Fernandes; Andrade, Leonardo Rodrigues; Müller, Carlos Alberto; Meyers, Marc André; Elias, Carlos Nelson

    2009-04-01

    Orthodontic mini-implants are a potential source of metallic ions to the human body because of the corrosion of titanium (Ti) alloy in body fluids. The purpose of this study was to gauge the concentration of Ti, aluminum (Al), and vanadium (V), as a function of time, in the kidneys, livers, and lungs of rabbits that had Ti-6Al-4V alloy orthodontic mini-implants placed in their tibia. Twenty-three New Zealand rabbits were randomly divided into 4 groups: control, 1 week, 4 weeks, and 12 weeks. Four orthodontic mini-implants were placed in the left proximal tibia of 18 rabbits. Five control rabbits had no orthodontic mini-implants. After 1, 4, and 12 weeks, the rabbits were killed, and the selected tissues were extracted and prepared for analysis by graphite furnace atomic absorption spectrophotometry. Low amounts of Ti, Al, and V were detectable in the 1-week, 4-weeks, and 12-weeks groups, confirming that release of these metals from the mini-implants occurs, with diffusion and accumulation in remote organs. Despite the tendency of ion release when using the Ti alloy as orthodontic mini-implants, the amounts of metals detected were significantly below the average intake of these elements through food and drink and did not reach toxic concentrations.

  12. Structure-property and composition-property relationships for poly(ethylene terephthalate) surfaces modified by helium plasma-based ion implantation

    NASA Astrophysics Data System (ADS)

    Tóth, A.; Veres, M.; Kereszturi, K.; Mohai, M.; Bertóti, I.; Szépvölgyi, J.

    2011-10-01

    The surfaces of untreated and helium plasma-based ion implantation (He PBII) treated poly(ethylene terephthalate) (PET) samples were characterised by reflectance colorimetry, contact angle studies and measurements of surface electrical resistance. The results were related to the structural and compositional data obtained by the authors earlier on parallel samples by XPS and Raman spectroscopy. Inverse correlations between lightness and ID/ IG ratio and between chroma and ID/ IG ratio were obtained, suggesting that the PBII-treated PET samples darken and their colourfulness decreases with the increase of the portion of aromatic sp 2 carbon rings in the chemical structure of the modified layer. Direct correlation between water contact angle and the ID/ IG ratio and inverse correlations between surface energy and ID/ IG ratio and between dispersive component of surface energy and ID/ IG ratio were found, reflecting that surface wettability, surface energy and its dispersive component decrease with the formation of surface structure, characterised again by enhanced portion of aromatic sp 2 carbon rings. The surface electrical resistance decreased with the increase of the surface C-content determined by XPS and also with the increase of the surface concentration of conjugated double bonds, reflected by the increase of the π → π* shake-up satellite of the C 1s peak.

  13. Ion acoustic shock wave in collisional equal mass plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adak, Ashish, E-mail: ashish-adak@yahoo.com; Ghosh, Samiran, E-mail: sran-g@yahoo.com; Chakrabarti, Nikhil, E-mail: nikhil.chakrabarti@saha.ac.in

    The effect of ion-ion collision on the dynamics of nonlinear ion acoustic wave in an unmagnetized pair-ion plasma has been investigated. The two-fluid model has been used to describe the dynamics of both positive and negative ions with equal masses. It is well known that in the dynamics of the weakly nonlinear wave, the viscosity mediates wave dissipation in presence of weak nonlinearity and dispersion. This dissipation is responsible for the shock structures in pair-ion plasma. Here, it has been shown that the ion-ion collision in presence of collective phenomena mediated by the plasma current is the source of dissipationmore » that causes the Burgers' term which is responsible for the shock structures in equal mass pair-ion plasma. The dynamics of the weakly nonlinear wave is governed by the Korteweg-de Vries Burgers equation. The analytical and numerical investigations revealed that the ion acoustic wave exhibits both oscillatory and monotonic shock structures depending on the frequency of ion-ion collision parameter. The results have been discussed in the context of the fullerene pair-ion plasma experiments.« less

  14. Ion dynamics of a laser produced aluminium plasma at different ambient pressures

    NASA Astrophysics Data System (ADS)

    Sankar, Pranitha; Shashikala, H. D.; Philip, Reji

    2018-01-01

    Plasma is generated by pulsed laser ablation of an Aluminium target using 1064 nm, 7 ns Nd:YAG laser pulses. The spatial and temporal evolution of the whole plasma plume, as well as that of the ionic (Al2+) component present in the plume, are investigated using spectrally resolved time-gated imaging. The influence of ambient gas pressure on the expansion dynamics of Al2+ is studied in particular. In vacuum (10-5 Torr, 10-2 Torr) the whole plume expands adiabatically and diffuses into the ambient. For higher pressures in the range of 1-10 Torr plume expansion is in accordance with the shock wave model, while at 760 Torr the expansion follows the drag model. On the other hand, the expansion dynamics of the Al2+ component, measured by introducing a band pass optical filter in the detection system, fits to the shock wave model for the entire pressure range of 10-2 Torr to 760 Torr. The expansion velocities of the whole plume and the Al2+ component have been measured in vacuum. These dynamics studies are of potential importance for applications such as laser-driven plasma accelerators, ion acceleration, pulsed laser deposition, micromachining, laser-assisted mass spectrometry, ion implantation, and light source generation.

  15. Controlled deterministic implantation by nanostencil lithography at the limit of ion-aperture straggling

    NASA Astrophysics Data System (ADS)

    Alves, A. D. C.; Newnham, J.; van Donkelaar, J. A.; Rubanov, S.; McCallum, J. C.; Jamieson, D. N.

    2013-04-01

    Solid state electronic devices fabricated in silicon employ many ion implantation steps in their fabrication. In nanoscale devices deterministic implants of dopant atoms with high spatial precision will be needed to overcome problems with statistical variations in device characteristics and to open new functionalities based on controlled quantum states of single atoms. However, to deterministically place a dopant atom with the required precision is a significant technological challenge. Here we address this challenge with a strategy based on stepped nanostencil lithography for the construction of arrays of single implanted atoms. We address the limit on spatial precision imposed by ion straggling in the nanostencil—fabricated with the readily available focused ion beam milling technique followed by Pt deposition. Two nanostencils have been fabricated; a 60 nm wide aperture in a 3 μm thick Si cantilever and a 30 nm wide aperture in a 200 nm thick Si3N4 membrane. The 30 nm wide aperture demonstrates the fabricating process for sub-50 nm apertures while the 60 nm aperture was characterized with 500 keV He+ ion forward scattering to measure the effect of ion straggling in the collimator and deduce a model for its internal structure using the GEANT4 ion transport code. This model is then applied to simulate collimation of a 14 keV P+ ion beam in a 200 nm thick Si3N4 membrane nanostencil suitable for the implantation of donors in silicon. We simulate collimating apertures with widths in the range of 10-50 nm because we expect the onset of J-coupling in a device with 30 nm donor spacing. We find that straggling in the nanostencil produces mis-located implanted ions with a probability between 0.001 and 0.08 depending on the internal collimator profile and the alignment with the beam direction. This result is favourable for the rapid prototyping of a proof-of-principle device containing multiple deterministically implanted dopants.

  16. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    NASA Astrophysics Data System (ADS)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-11-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.

  17. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    PubMed Central

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-01-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants. PMID:26527099

  18. Relativistic electromagnetic waves in an electron-ion plasma

    NASA Technical Reports Server (NTRS)

    Chian, Abraham C.-L.; Kennel, Charles F.

    1987-01-01

    High power laser beams can drive plasma particles to relativistic energies. An accurate description of strong waves requires the inclusion of ion dynamics in the analysis. The equations governing the propagation of relativistic electromagnetic waves in a cold electron-ion plasma can be reduced to two equations expressing conservation of energy-momentum of the system. The two conservation constants are functions of the plasma stream velocity, the wave velocity, the wave amplitude, and the electron-ion mass ratio. The dynamic parameter, expressing electron-ion momentum conversation in the laboratory frame, can be regarded as an adjustable quantity, a suitable choice of which will yield self-consistent solutions when other plasma parameters were specified. Circularly polarized electromagnetic waves and electrostatic plasma waves are used as illustrations.

  19. Ion Beam Measurements of a Dense Plasma Focus Device Using CR 39 Nuclear Track Detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ngoi, S. K.; Yap, S. L.; Wong, C. S.

    The project is carried out using a small Mather type plasma focus device powered by a 15 kV, 30 {mu}F capacitor. The filling gas used is argon. The ion beam generated is investigated by both time resolved and time integrated methods. Investigation on the dynamic of the current sheath is also carried out in order to obtain an optimum condition for ion beam production. The angular distribution of the ion emission is measured at positions of 0 deg. (end-on), 45 deg. and 90 deg. (side-on) by using CR-39 nuclear track detectors. The divergence of the ion beam is also determinedmore » using these detectors. A biased ion collector is used for time resolved measurement of the ion beam. Time of flight technique is employed for the determination of the ion beam energy. Average ion beam energy obtained is about 180 keV. The ion beam produced can be used for applications such as material surface modification and ion implantation.« less

  20. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    NASA Astrophysics Data System (ADS)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  1. Gyrokinetic stability of electron-positron-ion plasmas

    NASA Astrophysics Data System (ADS)

    Mishchenko, A.; Zocco, A.; Helander, P.; Könies, A.

    2018-02-01

    The gyrokinetic stability of electron-positron plasmas contaminated by an ion (proton) admixture is studied in a slab geometry. The appropriate dispersion relation is derived and solved. Stable K-modes, the universal instability, the ion-temperature-gradient-driven instability, the electron-temperature-gradient-driven instability and the shear Alfvén wave are considered. It is found that the contaminated plasma remains stable if the contamination degree is below some threshold and that the shear Alfvén wave can be present in a contaminated plasma in cases where it is absent without ion contamination.

  2. Spectral distribution of UV range diffuse reflectivity for Si+ ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Balabanov, S.; Tsvetkova, T.; Borisova, E.; Avramov, L.; Bischoff, L.

    2008-05-01

    The analysis of the UV range spectral characteristics can supply additional information on the formed sub-surface buried layer with implanted dopants. The near-surface layer (50÷150 nm) of bulk polymer samples have been implanted with silicon (Si+) ions at low energies (E = 30 keV) and a wide range of ion doses (D = 1.1013 ÷ 1, 2.1017 cm-2). The studied polymer materials were: ultra-high-molecular-weight polyethylene (UHMWPE), poly-methyl-metacrylate (PMMA) and poly-tetra-fluor-ethylene (PTFE). The diffuse optical reflectivity spectra Rd = f(λ) of the ion implanted samples have been measured in the UV range (λ = 220÷350 nm). In this paper the dose dependences of the size and sign of the diffuse optical reflectivity changes λRd = f(D) have been analysed.

  3. Nondiffusive transport regimes for suprathermal ions in turbulent plasmas

    NASA Astrophysics Data System (ADS)

    Bovet, A.; Fasoli, A.; Ricci, P.; Furno, I.; Gustafson, K.

    2015-04-01

    The understanding of the transport of suprathermal ions in the presence of turbulence is important for fusion plasmas in the burning regime that will characterize reactors, and for space plasmas to understand the physics of particle acceleration. Here, three-dimensional measurements of a suprathermal ion beam in the toroidal plasma device TORPEX are presented. These measurements demonstrate, in a turbulent plasma, the existence of subdiffusive and superdiffusive transport of suprathermal ions, depending on their energy. This result stems from the unprecedented combination of uniquely resolved measurements and first-principles numerical simulations that reveal the mechanisms responsible for the nondiffusive transport. The transport regime is determined by the interaction of the suprathermal ion orbits with the turbulent plasma dynamics, and is strongly affected by the ratio of the suprathermal ion energy to the background plasma temperature.

  4. Compact mass spectrometer for plasma discharge ion analysis

    DOEpatents

    Tuszewski, M.G.

    1997-07-22

    A mass spectrometer and methods are disclosed for mass spectrometry which are useful in characterizing a plasma. This mass spectrometer for determining type and quantity of ions present in a plasma is simple, compact, and inexpensive. It accomplishes mass analysis in a single step, rather than the usual two-step process comprised of ion extraction followed by mass filtering. Ions are captured by a measuring element placed in a plasma and accelerated by a known applied voltage. Captured ions are bent into near-circular orbits by a magnetic field such that they strike a collector, producing an electric current. Ion orbits vary with applied voltage and proton mass ratio of the ions, so that ion species may be identified. Current flow provides an indication of quantity of ions striking the collector. 7 figs.

  5. Plasma-surface interaction in negative hydrogen ion sources

    NASA Astrophysics Data System (ADS)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  6. Compact mass spectrometer for plasma discharge ion analysis

    DOEpatents

    Tuszewski, Michel G.

    1997-01-01

    A mass spectrometer and methods for mass spectrometry which are useful in characterizing a plasma. This mass spectrometer for determining type and quantity of ions present in a plasma is simple, compact, and inexpensive. It accomplishes mass analysis in a single step, rather than the usual two-step process comprised of ion extraction followed by mass filtering. Ions are captured by a measuring element placed in a plasma and accelerated by a known applied voltage. Captured ions are bent into near-circular orbits by a magnetic field such that they strike a collector, producing an electric current. Ion orbits vary with applied voltage and proton mass ratio of the ions, so that ion species may be identified. Current flow provides an indication of quantity of ions striking the collector.

  7. Plasma convection and ion beam generation in the plasma sheet boundary layer

    NASA Technical Reports Server (NTRS)

    Moghaddam-Taaheri, E.; Goertz, C. K.; Smith, R. A.

    1991-01-01

    Because of the dawn-dusk electric field E(dd), plasma in the magnetotail convects from the lobe toward the central plasma sheet (CPS). In the absence of space or velocity diffusion due to plasma turbulence, convection would yield a steady state distribution function f = V exp (-2/3) g(v exp 2 V exp 2/3), where V is the flux tube volume. Starting with such a distribution function and a plasma beta which varies from beta greater than 1 in the CPS to beta much smaller than 1 in the lobe, the evolution of the ion distribution function was studied considering the combined effects of ion diffusion by kinetic Alfven waves (KAW) in the ULF frequency range (1-10 mHz) and convection due to E(dd) x B drift in the plasma sheet boundary layer (PSBL) and outer central plasma sheet (OCPS). The results show that, during the early stages after launching the KAWs, a beamlike ion distribution forms in the PSBL and at the same time the plasma density and temperature decrease in the OCPS. Following this stage, ions in the beams convect toward the CPS resulting in an increase of the plasma temperature in the OCPS.

  8. The formation of magnetic silicide Fe3Si clusters during ion implantation

    NASA Astrophysics Data System (ADS)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe3Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  9. Determination of migration of ion-implanted Ar and Zn in silica by backscattering spectrometry

    NASA Astrophysics Data System (ADS)

    Szilágyi, E.; Bányász, I.; Kótai, E.; Németh, A.; Major, C.; Fried, M.; Battistig, G.

    2015-03-01

    It is well known that the refractive indices of lots of materials can be modified by ion implantation, which is important for waveguide fabrication. In this work the effect of Ar and Zn ion implantation on silica layers was investigated by Rutherford Backscattering Spectrometry (RBS) and Spectroscopic Ellipsometry (SE). Silica layers produced by chemical vapour deposition technique on single crystal silicon wafers were implanted by Ar and Zn ions with a fluence of 1-2 ×1016 Ar/cm2 and 2.5 ×1016 Zn/cm2, respectively. The refractive indices of the implanted silica layers before and after annealing at 300°C and 600°C were determined by SE. The migration of the implanted element was studied by real-time RBS up to 500°C. It was found that the implanted Ar escapes from the sample at 300°C. Although the refractive indices of the Ar-implanted silica layers were increased compared to the as-grown samples, after the annealing this increase in the refractive indices vanished. In case of the Zn-implanted silica layer both the distribution of the Zn and the change in the refractive indices were found to be stable. Zn implantation seems to be an ideal choice for producing waveguides.

  10. Metal Ion Concentrations in Body Fluids after Implantation of Hip Replacements with Metal-on-Metal Bearing – Systematic Review of Clinical and Epidemiological Studies

    PubMed Central

    Hartmann, Albrecht; Hannemann, Franziska; Lützner, Jörg; Seidler, Andreas; Drexler, Hans; Günther, Klaus-Peter; Schmitt, Jochen

    2013-01-01

    Introduction The use of metal-on-metal (MoM) total hip arthroplasty (THA) increased in the last decades. A release of metal products (i.e. particles, ions, metallo-organic compounds) in these implants may cause local and/or systemic adverse reactions. Metal ion concentrations in body fluids are surrogate measures of metal exposure. Objective To systematically summarize and critically appraise published studies concerning metal ion concentrations after MoM THA. Methods Systematic review of clinical trials (RCTs) and epidemiological studies with assessment of metal ion levels (cobalt, chromium, titanium, nickel, molybdenum) in body fluids after implantation of metalliferous hip replacements. Systematic search in PubMed and Embase in January 2012 supplemented by hand search. Standardized abstraction of pre- and postoperative metal ion concentrations stratified by type of bearing (primary explanatory factor), patient characteristics as well as study quality characteristics (secondary explanatory factors). Results Overall, 104 studies (11 RCTs, 93 epidemiological studies) totaling 9.957 patients with measurement of metal ions in body fluids were identified and analyzed. Consistently, median metal ion concentrations were persistently elevated after implantation of MoM-bearings in all investigated mediums (whole blood, serum, plasma, erythrocytes, urine) irrespective of patient characteristics and study characteristics. In several studies very high serum cobalt concentrations above 50 µg/L were measured (detection limit typically 0.3 µg/L). Highest metal ion concentrations were observed after treatment with stemmed large-head MoM-implants and hip resurfacing arthroplasty. Discussion Due to the risk of local and systemic accumulation of metallic products after treatment with MoM-bearing, risk and benefits should be carefully balanced preoperatively. The authors support a proposed „time out“ for stemmed large-head MoM-THA and recommend a restricted indication for hip

  11. Effects of water plasma immersion ion implantation on surface electrochemical behavior of NiTi shape memory alloys in simulated body fluids

    NASA Astrophysics Data System (ADS)

    Liu, X. M.; Wu, S. L.; Chu, Paul K.; Chung, C. Y.; Chu, C. L.; Yeung, K. W. K.; Lu, W. W.; Cheung, K. M. C.; Luk, K. D. K.

    2007-01-01

    Water plasma immersion ion implantation (PIII) was conducted on orthopedic NiTi shape memory alloy to enhance the surface electrochemical characteristics. The surface composition of the NiTi alloy before and after H 2O-PIII was determined by X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM) was utilized to determine the roughness and morphology of the NiTi samples. Potentiodynamic polarization tests and electrochemical impedance spectroscopy (EIS) were carried out to investigate the surface electrochemical behavior of the control and H 2O-PIII NiTi samples in simulated body fluids (SBF) at 37 °C as well as the mechanism. The H 2O-PIII NiTi sample showed a higher breakdown potential ( Eb) than the control sample. Based on the AFM results, two different physical models with related equivalent electrical circuits were obtained to fit the EIS data and explain the surface electrochemical behavior of NiTi in SBF. The simulation results demonstrate that the higher resistance of the oxide layer produced by H 2O-PIII is primarily responsible for the improvement in the surface corrosion resistance.

  12. Synthesis of embedded titanium dioxide nanoparticles by oxygen ion implantation in titanium films

    NASA Astrophysics Data System (ADS)

    Rukade, Deepti. A.; Desai, C. A.; Kulkarni, Nilesh; Tribedi, L. C.; Bhattacharyya, Varsha

    2013-02-01

    Thin films of titanium of 100nm thickness are deposited on fused silica substrates. These films are implanted by oxygen ions with implantation energy of 60keV obtained from ECR based highly charged ion accelerator. The implanted films are later annealed in a tube furnace to establish nanophase formation. The post implanted annealed films are characterized by UV-Visible Spectroscopy and Glancing Angle X-ray Diffraction technique (GAXRD). The phase formed and particle size is determined by GAXRD. Nanoparticle formation is confirmed by the UV-VIS spectroscopic analysis that shows quantum size effects in the form of a blue shift in the band-gap energy of titanium-oxide.

  13. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    DOE PAGES

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; ...

    2015-11-03

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying withmore » transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.« less

  14. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying withmore » transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.« less

  15. Perspective on the Role of Negative Ions and Ion-Ion Plasmas in Heavy Ion Fusion Science, Magnetic Fusion Energy,and Related Fields

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grisham, L. R.; Kwan, J. W.

    2008-08-01

    Some years ago it was suggested that halogen negative ions could offer a feasible alternative path to positive ions as a heavy ion fusion driver beam which would not suffer degradation due to electron accumulation in the accelerator and beam transport system, and which could be converted to a neutral beam by photodetachment near the chamber entrance if desired. Since then, experiments have demonstrated that negative halogen beams can be extracted and accelerated away from the gas plume near the source with a surviving current density close to what could be achieved with a positive ion of similar mass, andmore » with comparable optical quality. In demonstrating the feasibility of halogen negative ions as heavy ion driver beams, ion - ion plasmas, an interesting and somewhat novel state of matter, were produced. These plasmas, produced near the extractor plane of the sources, appear, based upon many lines of experimental evidence, to consist of almost equal densities of positive and negative chlorine ions, with only a small component of free electrons. Serendipitously, the need to extract beams from this plasma for driver development provides a unique diagnostic tool to investigate the plasma, since each component - positive ions, negative ions, and electrons - can be extracted and measured separately. We discuss the relevance of these observations to understanding negative ion beam extraction from electronegative plasmas such as halogens, or the more familiar hydrogen of magnetic fusion ion sources. We suggest a concept which might improve negative hydrogen extraction by the addition of a halogen. The possibility and challenges of producing ion - ion plasmas with thin targets of halogens or, perhaps, salt, is briefly addressed.« less

  16. Energetic-ion-driven global instabilities in stellarator/helical plasmas and comparison with tokamak plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Toi, K.; Ogawa, K.; Isobe, M.

    2011-01-01

    Comprehensive understanding of energetic-ion-driven global instabilities such as Alfven eigenmodes (AEs) and their impact on energetic ions and bulk plasma is crucially important for tokamak and stellarator/helical plasmas and in the future for deuterium-tritium (DT) burning plasma experiments. Various types of global modes and their associated enhanced energetic ion transport are commonly observed in toroidal plasmas. Toroidicity-induced AEs and ellipticity-induced AEs, whose gaps are generated through poloidal mode coupling, are observed in both tokamak and stellarator/helical plasmas. Global AEs and reversed shear AEs, where toroidal couplings are not as dominant were also observed in those plasmas. Helicity induced AEs thatmore » exist only in 3D plasmas are observed in the large helical device (LHD) and Wendelstein 7 Advanced Stellarator plasmas. In addition, the geodesic acoustic mode that comes from plasma compressibility is destabilized by energetic ions in both tokamak and LHD plasmas. Nonlinear interaction of these modes and their influence on the confinement of the bulk plasma as well as energetic ions are observed in both plasmas. In this paper, the similarities and differences in these instabilities and their consequences for tokamak and stellarator/helical plasmas are summarized through comparison with the data sets obtained in LHD. In particular, this paper focuses on the differences caused by the rotational transform profile and the 2D or 3D geometrical structure of the plasma equilibrium. Important issues left for future study are listed.« less

  17. Electrical and optical properties of nitrile rubber modified by ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    S, Najidha; Predeep, P.

    2014-10-15

    Implantation of N{sup +} ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 10{sup 14} to 10{sup 16} ions/cm{sup 2}. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease withmore » increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.« less

  18. High Frequency Plasma Generators for Ion Thrusters

    NASA Technical Reports Server (NTRS)

    Divergilio, W. F.; Goede, H.; Fosnight, V. V.

    1981-01-01

    The results of a one year program to experimentally adapt two new types of high frequency plasma generators to Argon ion thrusters and to analytically study a third high frequency source concept are presented. Conventional 30 cm two grid ion extraction was utilized or proposed for all three sources. The two plasma generating methods selected for experimental study were a radio frequency induction (RFI) source, operating at about 1 MHz, and an electron cyclotron heated (ECH) plasma source operating at about 5 GHz. Both sources utilize multi-linecusp permanent magnet configurations for plasma confinement. The plasma characteristics, plasma loading of the rf antenna, and the rf frequency dependence of source efficiency and antenna circuit efficiency are described for the RFI Multi-cusp source. In a series of tests of this source at Lewis Research Center, minimum discharge losses of 220+/-10 eV/ion were obtained with propellant utilization of .45 at a beam current of 3 amperes. Possible improvement modifications are discussed.

  19. Hardness depth profile of lattice strained cemented carbide modified by high-energy boron ion implantation

    NASA Astrophysics Data System (ADS)

    Yoshida, Y.; Matsumura, A.; Higeta, K.; Inoue, T.; Shimizu, S.; Motonami, Y.; Sato, M.; Sadahiro, T.; Fujii, K.

    1991-07-01

    The hardness depth profiles of cemented carbides which were implanted with high-energy B + ions have been estimated using a dynamic microhardness tester. The B + implantations into (16% Co)-cemented WC alloys were carried out under conditions where the implantation energies were 1-3 MeV and the fluences 1 × 10 17-1 × 10 18ions/cm 2. The profiles show that the implanted layer becomes harder as fluences are chosen at higher values and there is a peak at a certain depth which depends on the implantation energy. In X-ray diffraction (XRD) studies of the implanted surface the broadened refraction peaks of only WC and Co are detected and the increments of lattice strain and of residual stress in the near-surface region are observed. It is supposed that the hardening effect should be induced by an increase in residual stress produced by lattice strain. The hardness depth profile in successive implantation of ions with different energies agrees with the compounded profile of each one of the implantations. It is concluded that the hardness depth profile can be controlled under adequate conditions of implantation.

  20. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    NASA Astrophysics Data System (ADS)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  1. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  2. Serum titanium, niobium and aluminium levels two years following instrumented spinal fusion in children: does implant surface area predict serum metal ion levels?

    PubMed

    Cundy, Thomas P; Cundy, William J; Antoniou, Georgia; Sutherland, Leanne M; Freeman, Brian J C; Cundy, Peter J

    2014-11-01

    Measurement of serum metal ion levels is used to determine systemic exposure to implant-derived metal debris that may be generated by processes of wear and corrosion. The aim of this study is to investigate predictors of serum metal ion levels in children undergoing instrumented spinal arthrodesis using a titanium alloy, focusing on implant characteristics and instrumentation construct design variables. This prospective longitudinal cohort study involved 33 children. Serum samples were obtained preoperatively:and at five defined interval periods over the first:two post-operative years. Samples were analysed using high resolution:inductively coupled plasma mass spectrometry to measure titanium, niobium and aluminium concentrations. Instrumentation characteristics were catalogued and construct surface area (SA) measurements calculated using an implant-specific software algorithm tool. Significantly elevated levels of serum titanium and niobium were observed (p< 0.0001), with >95 % of post-operative levels abnormally elevated. Significant predictors of serum titanium and niobium levels included time since surgery, surgical procedure (posterior or anterior fusion), number of levels fused, number of pedicle screws inserted, total rod length, total metal SA, total exposed metal SA and total metal-on-metal SA. All significant instrumentation variables were highly correlated. There is a strong relationship between implant SA and both serum titanium and niobium levels. The direct clinical implications of these findings for patients are uncertain, but remain of concern. Surgeons should be aware of the strong correlation between implant surface area of the chosen construct and the subsequent serum metal ion levels.

  3. The influence of the ion plasma flow on the phase composition and microhardness of carbon coatings doped with metals

    NASA Astrophysics Data System (ADS)

    Rudenkov, A. S.; Piliptsou, D. G.; Luchnikov, P. A.; Rogachev, A. V.; Jiang, Xiaohong; Fedosenko, N. N.

    2018-01-01

    The Raman spectroscopy method established that subsequent treatment with ion ions leads to a change in the microstructure of coatings based on carbon and metals. It is established that the structure change is determined by the changes occurring at the interface and depends on the sp3 → sp2 phase transition due to the appearance of local thermal peaks at the end of tracks of nitrogen ions implanted in the coating volume. It is shown that the microhardness of metal-carbon coatings (α-C: Cu, α-C: Ti, α-C:Al) increases after ion-plasma treatment, which is determined by the formation of solid interstitial phases based on CNx and metal carbonitrides.

  4. Surface treatment of a titanium implant using low temperature atmospheric pressure plasmas

    NASA Astrophysics Data System (ADS)

    Lee, Hyun-Young; Tang, Tianyu; Ok, Jung-Woo; Kim, Dong-Hyun; Lee, Ho-Jun; Lee, Hae June

    2015-09-01

    During the last two decades, atmospheric pressure plasmas(APP) are widely used in diverse fields of biomedical applications, reduction of pollutants, and surface treatment of materials. Applications of APP to titanium surface of dental implants is steadily increasing as it renders surfaces wettability and modifies the oxide layer of titanium that hinders the interaction with cells and proteins. In this study, we have treated the titanium surfaces of screw-shaped implant samples using a plasma jet which is composed of a ceramic coaxial tube of dielectrics, a stainless steel inner electrode, and a coper tube outer electrode. The plasma ignition occurred with Ar gas flow between two coaxial metal electrodes and a sinusoidal bias voltage of 3 kV with a frequency of 20 kHz. Titanium materials used in this study are screw-shaped implants of which diameter and length are 5 mm and 13 mm, respectively. Samples were mounted at a distance of 5 mm below the plasma source, and the plasma treatment time was set to 3 min. The wettability of titanium surface was measured by the moving speed of water on its surface, which is enhanced by plasma treatment. The surface roughness was also measured by atomic force microscopy. The optimal condition for wettability change is discussed.

  5. Extended Lindhard-Scharf-Schiott Theory for Ion Implantation Profiles Expressed with Pearson Function

    NASA Astrophysics Data System (ADS)

    Suzuki, Kunihiro

    2009-04-01

    Ion implantation profiles are expressed by the Pearson function with first, second, third, and fourth moment parameters of Rp, ΔRp, γ, and β. We derived an analytical model for these profile moments by solving a Lindhard-Scharf-Schiott (LSS) integration equation using perturbation approximation. This analytical model reproduces Monte Carlo data that were well calibrated to reproduce a vast experimental database. The extended LSS theory is vital for instantaneously predicting ion implantation profiles with any combination of incident ions and substrate atoms including their energy dependence.

  6. Plasma Ion Sources for Atmospheric Pressure Ionization Mass Spectrometry.

    NASA Astrophysics Data System (ADS)

    Zhao, Jian-Guo

    1994-01-01

    Atmospheric pressure ionization (API) sources using direct-current (DC) and radio-frequency (RF) plasma have been developed in this thesis work. These ion sources can provide stable discharge currents of ~ 1 mA, 2-3 orders of magnitude larger than that of the corona discharge, a widely used API source. The plasmas can be generated and maintained in 1 atm of various buffer gases by applying -500 to -1000 V (DC plasma) or 1-15 W with a frequency of 165 kHz (RF plasma) on the needle electrode. These ion sources have been used with liquid injection to detect various organic compounds of pharmaceutical, biotechnological and environmental interest. Key features of these ion sources include soft ionization with the protonated molecule as the largest peak, and superb sensitivity with detection limits in the low picogram or femtomole range and a linear dynamic range over ~4 orders of magnitude. The RF plasma has advantages over the DC plasma in its ability to operate in various buffer gases and to produce a more stable plasma. Factors influencing the performance of the ion sources have been studied, including RF power level, liquid flow rate, chamber temperature, solvent composition, and voltage affecting the collision induced dissociation (CID). Ionization of hydrocarbons by the RF plasma API source was also studied. Soft ionization is generally produced. To obtain high sensitivity, the ion source must be very dry and the needle-to-orifice distance must be small. Nitric oxide was used to enhance the sensitivity. The RF plasma source was then used for the analysis of hydrocarbons in auto emissions. Comparisons between the corona discharge and the RF plasma have been made in terms of discharge current, ion residence time, and the ion source model. The RF plasma source provides larger linear dynamic range and higher sensitivity than the corona discharge, due to its much larger discharge current. The RF plasma was also observed to provide longer ion residence times and was not

  7. Spatial structure of ion beams in an expanding plasma

    NASA Astrophysics Data System (ADS)

    Aguirre, E. M.; Scime, E. E.; Thompson, D. S.; Good, T. N.

    2017-12-01

    We report spatially resolved perpendicular and parallel, to the magnetic field, ion velocity distribution function (IVDF) measurements in an expanding argon helicon plasma. The parallel IVDFs, obtained through laser induced fluorescence (LIF), show an ion beam with v ≈ 8000 m/s flowing downstream and confined to the center of the discharge. The ion beam is measurable for tens of centimeters along the expansion axis before the LIF signal fades, likely a result of metastable quenching of the beam ions. The parallel ion beam velocity slows in agreement with expectations for the measured parallel electric field. The perpendicular IVDFs show an ion population with a radially outward flow that increases with distance from the plasma axis. Structures aligned to the expanding magnetic field appear in the DC electric field, the electron temperature, and the plasma density in the plasma plume. These measurements demonstrate that at least two-dimensional and perhaps fully three-dimensional models are needed to accurately describe the spontaneous acceleration of ion beams in expanding plasmas.

  8. Rogue Waves in Multi-Ion Cometary Plasmas

    NASA Astrophysics Data System (ADS)

    Sreekala, G.; Manesh, M.; Neethu, T. W.; Anu, V.; Sijo, S.; Venugopal, C.

    2018-01-01

    The effect of pair ions on the formation of rogue waves in a six-component plasma composed of two hot and one colder electron component, hot ions, and pair ions is studied. The kappa distribution, which provides an unambiguous replacement for a Maxwellian distribution in space plasmas, is connected with nonextensive statistical mechanics and provides a continuous energy spectrum. Hence, the colder and one component of the hotter electrons is modeled by kappa distributions and the other hot electron component, by a q-nonextensive distribution. It is found that the rogue wave amplitude is different for various pair-ion components. The magnitude, however, increases with increasing spectral index and nonextensive parameter q. These results may be useful in understanding the basic characteristics of rogue waves in cometary plasmas.

  9. Shunting arc plasma source for pure carbon ion beam.

    PubMed

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2012-02-01

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA∕mm(2) at the peak of the pulse.

  10. Shunting arc plasma source for pure carbon ion beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koguchi, H.; Sakakita, H.; Kiyama, S.

    2012-02-15

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA/mm{sup 2} at the peak of the pulse.

  11. Ion-plasma protective coatings for gas-turbine engine blades

    NASA Astrophysics Data System (ADS)

    Kablov, E. N.; Muboyadzhyan, S. A.; Budinovskii, S. A.; Lutsenko, A. N.

    2007-10-01

    Evaporated, diffusion, and evaporation—diffusion protective and hardening multicomponent ionplasma coatings for turbine and compressor blades and other gas-turbine engine parts are considered. The processes of ion surface treatment (ion etching and ion saturation of a surface in the metallic plasma of a vacuum arc) and commercial equipment for the deposition of coatings and ion surface treatment are analyzed. The specific features of the ion-plasma coatings deposited from the metallic plasma of a vacuum arc are described, and the effect of the ion energy on the phase composition of the coatings and the processes occurring in the surface layer of an article to be treated are discussed. Some properties of ion-plasma coatings designed for various purposes are presented. The ion surface saturation of articles made from structural materials is shown to change the structural and phase states of their surfaces and, correspondingly, the related properties of these materials (i.e., their heat resistance, corrosion resistance, fatigue strength, and so on).

  12. Erbium ion implantation into diamond - measurement and modelling of the crystal structure.

    PubMed

    Cajzl, Jakub; Nekvindová, Pavla; Macková, Anna; Malinský, Petr; Sedmidubský, David; Hušák, Michal; Remeš, Zdeněk; Varga, Marián; Kromka, Alexander; Böttger, Roman; Oswald, Jiří

    2017-02-22

    Diamond is proposed as an extraordinary material usable in interdisciplinary fields, especially in optics and photonics. In this contribution we focus on the doping of diamond with erbium as an optically active centre. In the theoretical part of the study based on DFT simulations we have developed two Er-doped diamond structural models with 0 to 4 carbon vacancies in the vicinity of the Er atom and performed geometry optimizations by the calculation of cohesive energies and defect formation energies. The theoretical results showed an excellent agreement between the calculated and experimental cohesive energies for the parent diamond. The highest values of cohesive energies and the lowest values of defect formation energies were obtained for models with erbium in the substitutional carbon position with 1 or 3 vacancies in the vicinity of the erbium atom. From the geometry optimization the structural model with 1 vacancy had an octahedral symmetry whereas the model with 3 vacancies had a coordination of 10 forming a trigonal structure with a hexagonal ring. In the experimental part, erbium doped diamond crystal samples were prepared by ion implantation of Er + ions using ion implantation fluences ranging from 1 × 10 14 ions per cm 2 to 5 × 10 15 ions per cm 2 . The experimental results revealed a high degree of diamond structural damage after the ion implantation process reaching up to 69% of disordered atoms in the samples. The prepared Er-doped diamond samples annealed at the temperatures of 400, 600 and 800 °C in a vacuum revealed clear luminescence, where the 〈110〉 cut sample has approximately 6-7 times higher luminescence intensity than the 〈001〉 cut sample with the same ion implantation fluence. The reported results are the first demonstration of the Er luminescence in the single crystal diamond structure for the near-infrared spectral region.

  13. Heavy ion-acoustic rogue waves in electron-positron multi-ion plasmas

    NASA Astrophysics Data System (ADS)

    Chowdhury, N. A.; Mannan, A.; Hasan, M. M.; Mamun, A. A.

    2017-09-01

    The nonlinear propagation of heavy-ion-acoustic (HIA) waves (HIAWs) in a four-component multi-ion plasma (containing inertial heavy negative ions and light positive ions, as well as inertialess nonextensive electrons and positrons) has been theoretically investigated. The nonlinear Schrödinger (NLS) equation is derived by employing the reductive perturbation method. It is found that the NLS equation leads to the modulational instability (MI) of HIAWs, and to the formation of HIA rogue waves (HIARWs), which are due to the effects of nonlinearity and dispersion in the propagation of HIAWs. The conditions for the MI of HIAWs and the basic properties of the generated HIARWs are identified. It is observed that the striking features (viz., instability criteria, growth rate of MI, amplitude and width of HIARWs, etc.) of the HIAWs are significantly modified by the effects of nonextensivity of electrons and positrons, the ratio of light positive ion mass to heavy negative ion mass, the ratio of electron number density to light positive ion number density, the ratio of electron temperature to positron temperature, etc. The relevancy of our present investigation to the observations in space (viz., cometary comae and earth's ionosphere) and laboratory (viz., solid-high intense laser plasma interaction experiments) plasmas is pointed out.

  14. Heavy ion-acoustic rogue waves in electron-positron multi-ion plasmas.

    PubMed

    Chowdhury, N A; Mannan, A; Hasan, M M; Mamun, A A

    2017-09-01

    The nonlinear propagation of heavy-ion-acoustic (HIA) waves (HIAWs) in a four-component multi-ion plasma (containing inertial heavy negative ions and light positive ions, as well as inertialess nonextensive electrons and positrons) has been theoretically investigated. The nonlinear Schrödinger (NLS) equation is derived by employing the reductive perturbation method. It is found that the NLS equation leads to the modulational instability (MI) of HIAWs, and to the formation of HIA rogue waves (HIARWs), which are due to the effects of nonlinearity and dispersion in the propagation of HIAWs. The conditions for the MI of HIAWs and the basic properties of the generated HIARWs are identified. It is observed that the striking features (viz., instability criteria, growth rate of MI, amplitude and width of HIARWs, etc.) of the HIAWs are significantly modified by the effects of nonextensivity of electrons and positrons, the ratio of light positive ion mass to heavy negative ion mass, the ratio of electron number density to light positive ion number density, the ratio of electron temperature to positron temperature, etc. The relevancy of our present investigation to the observations in space (viz., cometary comae and earth's ionosphere) and laboratory (viz., solid-high intense laser plasma interaction experiments) plasmas is pointed out.

  15. Time-resolved ion energy and charge state distributions in pulsed cathodic arc plasmas of Nb‑Al cathodes in high vacuum

    NASA Astrophysics Data System (ADS)

    Zöhrer, Siegfried; Anders, André; Franz, Robert

    2018-05-01

    Cathodic arcs have been utilized in various applications including the deposition of thin films and coatings, ion implantation, and high current switching. Despite substantial progress in recent decades, the physical mechanisms responsible for the observed plasma properties are still a matter of dispute, particularly for multi-element cathodes, which can play an essential role in applications. The analysis of plasma properties is complicated by the generally occurring neutral background of metal atoms, which perturbs initial ion properties. By using a time-resolved method in combination with pulsed arcs and a comprehensive Nb‑Al cathode model system, we investigate the influence of cathode composition on the plasma, while making the influence of neutrals visible for the observed time frame. The results visualize ion detections of 600 μs plasma pulses, extracted 0.27 m from the cathode, resolved in mass-per-charge, energy-per-charge and time. Ion properties are found to be strongly dependent on the cathode material in a way that cannot be deduced by simple linear extrapolation. Subsequently, current hypotheses in cathodic arc physics applying to multi-element cathodes, like the so-called ‘velocity rule’ or the ‘cohesive energy rule’, are tested for early and late stages of the pulse. Apart from their fundamental character, the findings could be useful in optimizing or designing plasma properties for applications, by actively utilizing effects on ion distributions caused by composite cathode materials and charge exchange with neutrals.

  16. Scanning-electron-microscopy observations and mechanical characteristics of ion-beam-sputtered surgical implant alloys

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Meyer, M. L.; Ling, J. S.

    1977-01-01

    An electron bombardment ion thruster was used as an ion source to sputter the surfaces of orthopedic prosthetic metals. Scanning electron microscopy photomicrographs were made of each ion beam textured surface. The effect of ion texturing an implant surface on its bond to bone cement was investigated. A Co-Cr-W alloy and surgical stainless steel were used as representative hard tissue implant materials to determine effects of ion texturing on bulk mechanical properties. Work was done to determine the effect of substrate temperature on the development of an ion textured surface microstructure. Results indicate that the ultimate strength of the bulk materials is unchanged by ion texturing and that the microstructure will develop more rapidly if the substrate is heated prior to ion texturing.

  17. Evaluation of the ion implantation process for production of solar cells from silicon sheet materials

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.

    1983-01-01

    The objective of this program is the investigation and evaluation of the capabilities of the ion implantation process for the production of photovoltaic cells from a variety of present-day, state-of-the-art, low-cost silicon sheet materials. Task 1 of the program concerns application of ion implantation and furnace annealing to fabrication of cells made from dendritic web silicon. Task 2 comprises the application of ion implantation and pulsed electron beam annealing (PEBA) to cells made from SEMIX, SILSO, heat-exchanger-method (HEM), edge-defined film-fed growth (EFG) and Czochralski (CZ) silicon. The goals of Task 1 comprise an investigation of implantation and anneal processes applied to dendritic web. A further goal is the evaluation of surface passivation and back surface reflector formation. In this way, processes yielding the very highest efficiency can be evaluated. Task 2 seeks to evaluate the use of PEBA for various sheet materials. A comparison of PEBA to thermal annealing will be made for a variety of ion implantation processes.

  18. Optical waveguides in fluoride lead silicate glasses fabricated by carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Shen, Xiao-liang; Wang, Yue; Zhu, Qi-feng; Lü, Peng; Li, Wei-nan; Liu, Chun-xiao

    2018-03-01

    The carbon ion implantation with energy of 4.0 MeV and a dose of 4.0×1014 ions/cm2 is employed for fabricating the optical waveguide in fluoride lead silicate glasses. The optical modes as well as the effective refractive indices are measured by the prism coupling method. The refractive index distribution in the fluoride lead silicate glass waveguide is simulated by the reflectivity calculation method (RCM). The light intensity profile and the energy losses are calculated by the finite-difference beam propagation method (FD-BPM) and the program of stopping and range of ions in matter (SRIM), respectively. The propagation properties indicate that the C2+ ion-implanted fluoride lead silicate glass waveguide is a candidate for fabricating optical devices.

  19. Improvement of in vitro corrosion and cytocompatibility of biodegradable Fe surface modified by Zn ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Henan; Zheng, Yang; Li, Yan; Jiang, Chengbao

    2017-05-01

    Pure Fe was surface-modified by Zn ion implantation to improve the biodegradable behavior and cytocompatibility. Surface topography, chemical composition, corrosion resistance and cytocompatibility were investigated. Atomic force microscopy, auger electron spectroscopy and X-ray photoelectron spectroscopy results showed that Zn was implanted into the surface of pure Fe in the depth of 40-60 nm and Fe2O3/ZnO oxides were formed on the outmost surface. Electrochemical measurements and immersion tests revealed an improved degradable behavior for the Zn-implanted Fe samples. An approximately 12% reduction in the corrosion potential (Ecorr) and a 10-fold increase in the corrosion current density (icorr) were obtained after Zn ion implantation with a moderate incident ion dose, which was attributed to the enhanced pitting corrosion. The surface free energy of pure Fe was decreased by Zn ion implantation. The results of direct cell culture indicated that the short-term (4 h) cytocompatibility of MC3T3-E1 cells was promoted by the implanted Zn on the surface.

  20. Effect of ion-implantation on surface characteristics of nickel titanium and titanium molybdenum alloy arch wires.

    PubMed

    Krishnan, Manu; Saraswathy, Seema; Sukumaran, Kalathil; Abraham, Kurian Mathew

    2013-01-01

    To evaluate the changes in surface roughness and frictional features of 'ion-implanted nickel titanium (NiTi) and titanium molybdenum alloy (TMA) arch wires' from its conventional types in an in-vitro laboratory set up. 'Ion-implanted NiTi and low friction TMA arch wires' were assessed for surface roughness with scanning electron microscopy (SEM) and 3 dimensional (3D) optical profilometry. Frictional forces were studied in a universal testing machine. Surface roughness of arch wires were determined as Root Mean Square (RMS) values in nanometers and Frictional Forces (FF) in grams. Mean values of RMS and FF were compared by Student's 't' test and one way analysis of variance (ANOVA). SEM images showed a smooth topography for ion-implanted versions. 3D optical profilometry demonstrated reduction of RMS values by 58.43% for ion-implanted NiTi (795.95 to 330.87 nm) and 48.90% for TMA groups (463.28 to 236.35 nm) from controls. Nonetheless, the corresponding decrease in FF was only 29.18% for NiTi and 22.04% for TMA, suggesting partial correction of surface roughness and disproportionate reduction in frictional forces with ion-implantation. Though the reductions were highly significant at P < 0.001, relations between surface roughness and frictional forces remained non conclusive even after ion-implantation. The study proved that ion-implantation can significantly reduce the surface roughness of NiTi and TMA wires but could not make a similar reduction in frictional forces. This can be attributed to the inherent differences in stiffness and surface reactivity of NiTi and TMA wires when used in combination with stainless steel brackets, which needs further investigations.

  1. Oxygen ion implantation induced microstructural changes and electrical conductivity in Bakelite RPC detector material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, K. V. Aneesh, E-mail: aneesh1098@gmail.com; Ravikumar, H. B., E-mail: hbr@physics.uni-mysore.ac.in; Ranganathaiah, C., E-mail: cr@physics.uni-mysore.ac.in

    2016-05-06

    In order to explore the structural modification induced electrical conductivity, samples of Bakelite Resistive Plate Chamber (RPC) detector materials were exposed to 100 keV Oxygen ion in the fluences of 10{sup 12}, 10{sup 13}, 10{sup 14} and 10{sup 15} ions/cm{sup 2}. Ion implantation induced microstructural changes have been studied using Positron Annihilation Lifetime Spectroscopy (PALS) and X-Ray Diffraction (XRD) techniques. Positron lifetime parameters viz., o-Ps lifetime and its intensity shows the deposition of high energy interior track and chain scission leads to the formation of radicals, secondary ions and electrons at lower ion implantation fluences (10{sup 12} to10{sup 14} ions/cm{supmore » 2}) followed by cross-linking at 10{sup 15} ions/cm{sup 2} fluence due to the radical reactions. The reduction in electrical conductivity of Bakelite detector material is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate implantation energy and fluence of Oxygen ion on polymer based Bakelite RPC detector material may reduce the leakage current, improves the efficiency, time resolution and thereby rectify the aging crisis of the RPC detectors.« less

  2. Plasma wave observations during ion gun experiments

    NASA Astrophysics Data System (ADS)

    Olsen, R. C.; Weddle, L. E.; Roeder, J. L.

    1990-06-01

    Experiments in charge control on the AF/NASA P78-2 (SCATHA) satellite were conducted with a plasma/ion source in the inner magnetosphere. These experiments were monitored with plasma wave instruments capable of high temporal and frequency resolution in the 0-6 kHz frequency range. Ion gun experiments revealed two distinct classes of behavior. Nonneutralized ion beam operation at 1 mA, 1kV resulted in arcing signatures (spiky in time, broad frequency range), coincident with induced satellite potentials of -600 to -900 V. This signature disappeared when the accelerating voltage was switched off or the beam was neutralized. The signal is attributed to arcing between differentially charged surfaces. An additional feature was noted in the 100-kHz channel of the wave receiver. During emission of dense, low-energy plasma, a signal is generated which may be at the upper hybrid, or plasma frequency for the local plasma.

  3. Ion energy distributions in silane-hydrogen plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hamers, E.A.G.; Sark, W.G.J.H.M. van; Bezemer, J.

    1996-12-31

    For the first time ion energy distributions (IED) of different ions from silane-hydrogen (SiH{sub 4}-H{sub 2}) RF plasmas are presented, i.e., the distributions of SiH{sub 3}{sup +}, SiH{sub 2}{sup +} and Si{sub 2}H{sub 4}{sup +}. The energy distributions of SiH{sub 3}{sup +} and SiH{sub 2}{sup +} ions show peaks, which are caused by a charge exchange process in the sheath. A method is presented by which the net charge density in the sheath is determined from the plasma potential and the energy positions of the charge exchange peaks. Knowing the net charge density in the sheath and the plasma potential,more » the sheath thickness can be determined and an estimation of the absolute ion fluxes can be made. The flux of ions can, at maximum, account for 10% of the observed deposition rate.« less

  4. Alternative modeling methods for plasma-based Rf ion sources.

    PubMed

    Veitzer, Seth A; Kundrapu, Madhusudhan; Stoltz, Peter H; Beckwith, Kristian R C

    2016-02-01

    Rf-driven ion sources for accelerators and many industrial applications benefit from detailed numerical modeling and simulation of plasma characteristics. For instance, modeling of the Spallation Neutron Source (SNS) internal antenna H(-) source has indicated that a large plasma velocity is induced near bends in the antenna where structural failures are often observed. This could lead to improved designs and ion source performance based on simulation and modeling. However, there are significant separations of time and spatial scales inherent to Rf-driven plasma ion sources, which makes it difficult to model ion sources with explicit, kinetic Particle-In-Cell (PIC) simulation codes. In particular, if both electron and ion motions are to be explicitly modeled, then the simulation time step must be very small, and total simulation times must be large enough to capture the evolution of the plasma ions, as well as extending over many Rf periods. Additional physics processes such as plasma chemistry and surface effects such as secondary electron emission increase the computational requirements in such a way that even fully parallel explicit PIC models cannot be used. One alternative method is to develop fluid-based codes coupled with electromagnetics in order to model ion sources. Time-domain fluid models can simulate plasma evolution, plasma chemistry, and surface physics models with reasonable computational resources by not explicitly resolving electron motions, which thereby leads to an increase in the time step. This is achieved by solving fluid motions coupled with electromagnetics using reduced-physics models, such as single-temperature magnetohydrodynamics (MHD), extended, gas dynamic, and Hall MHD, and two-fluid MHD models. We show recent results on modeling the internal antenna H(-) ion source for the SNS at Oak Ridge National Laboratory using the fluid plasma modeling code USim. We compare demonstrate plasma temperature equilibration in two-temperature MHD

  5. Uranium passivation by C + implantation: A photoemission and secondary ion mass spectrometry study

    NASA Astrophysics Data System (ADS)

    Nelson, A. J.; Felter, T. E.; Wu, K. J.; Evans, C.; Ferreira, J. L.; Siekhaus, W. J.; McLean, W.

    2006-03-01

    Implantation of 33 keV C + ions into polycrystalline U 238 with a dose of 4.3 × 10 17 cm -2 produces a physically and chemically modified surface layer that prevents further air oxidation and corrosion. X-ray photoelectron spectroscopy and secondary ion mass spectrometry were used to investigate the surface chemistry and electronic structure of this C + ion implanted polycrystalline uranium and a non-implanted region of the sample, both regions exposed to air for more than a year. In addition, scanning electron microscopy was used to examine and compare the surface morphology of the two regions. The U 4f, O 1s and C 1s core-level and valence band spectra clearly indicate carbide formation in the modified surface layer. The time-of-flight secondary ion mass spectrometry depth profiling results reveal an oxy-carbide surface layer over an approximately 200 nm thick UC layer with little or no residual oxidation at the carbide layer/U metal transitional interface.

  6. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reichel, Christian, E-mail: christian.reichel@ise.fraunhofer.de; National Renewable Energy Laboratory; Feldmann, Frank

    Passivated contacts (poly-Si/SiO{sub x}/c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF{sub 2}), the ion implantation dose (5 × 10{sup 14 }cm{sup −2} to 1 × 10{sup 16 }cm{sup −2}), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells.more » Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV{sub oc}) of 725 and 720 mV, respectively. For p-type passivated contacts, BF{sub 2} implantations into intrinsic a-Si yield well passivated contacts and allow for iV{sub oc} of 690 mV, whereas implanted B gives poor passivation with iV{sub oc} of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V{sub oc} of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF{sub 2} implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V{sub oc} of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts.« less

  7. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    NASA Astrophysics Data System (ADS)

    Wu, Guosong; Xu, Ruizhen; Feng, Kai; Wu, Shuilin; Wu, Zhengwei; Sun, Guangyong; Zheng, Gang; Li, Guangyao; Chu, Paul K.

    2012-07-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  8. Electrical conduction in 100 keV Kr+ ion implanted poly (ethylene terephthalate)

    NASA Astrophysics Data System (ADS)

    Goyal, P. K.; Kumar, V.; Gupta, Renu; Mahendia, S.; Anita, Kumar, S.

    2012-06-01

    Polyethylene terephthalate (PET) samples have been implanted to 100 keV Kr+ ions at the fluences 1×1015-- 1×1016 cm-2. From I-V characteristics, the conduction mechanism was found to be shifted from ohmic to space charge limited conduction (SCLC) after implantation. The surface conductivity of these implanted samples was found to increase with increasing implantation dose. The structural alterations in the Raman spectra of implanted PET samples indicate that such an increase in the conductivity may be attributed to the formation of conjugated double bonded carbonaceous structure in the implanted layer of PET.

  9. Photoluminescence and reflectivity of polymethylmethacrylate implanted by low-energy carbon ions at high fluences

    NASA Astrophysics Data System (ADS)

    Wang, Jun; Zhu, Fei; Zhang, Bei; Liu, Huixian; Jia, Guangyi; Liu, Changlong

    2012-11-01

    Polymethylmethacrylate (PMMA) specimens were implanted with 30 keV carbon ions in a fluence range of 1 × 1016 to 2 × 1017 cm-2, and photoluminescence (PL) and reflectivity of the implanted samples were examined. A luminescent band with one peak was found in PL spectra excited by 480 nm line, but its intensity did not vary in parallel with ion fluence. The strongest PL occurred at the fluence of 5 × 1016 cm-2. Results from visible-light-excited micro-Raman spectra indicated that the formation of hydrogenated amorphous carbon structures in subsurface layer and their evolutions with ion fluence could be responsible for the observed PL responses. Measurements of the small-angle reflectance spectra from both the implanted and rear surfaces of samples in the ultraviolet-visible (UV-vis) range demonstrated a kind of both fluence-dependent and wavelength-related reflectivity variations, which were attributed to the structural changes induced by ion implantation. A noticeable reflectivity modification, which may be practically used, could be found at the fluence of 1 × 1016 cm-2.

  10. Influence of Au ions irradiation damage on helium implanted tungsten

    NASA Astrophysics Data System (ADS)

    Kong, Fanhang; Qu, Miao; Yan, Sha; Cao, Xingzhong; Peng, Shixiang; Zhang, Ailin; Xue, Jianming; Wang, Yugang; Zhang, Peng; Wang, Baoyi

    2017-10-01

    The damages of implanted helium ions together with energetic neutrons in tungsten is concerned under the background of nuclear fusion related materials research. Helium is lowly soluble in tungsten and has high binding energy with vacancy. In present work, noble metal Au ions were used to study the synergistic effect of radiation damage and helium implantation. Nano indenter and the Doppler broaden energy spectrum of positron annihilation analysis measurements were used to research the synergy of radiation damage and helium implantation in tungsten. In the helium fluence range of 4.8 × 1015 cm-2-4.8 × 1016 cm-2, vacancies played a role of trappers only at the very beginning of bubble nucleation. The size and density is not determined by vacancies, but the effective capture radius between helium bubbles and scattered helium atoms. Vacancies were occupied by helium bubbles even at the lowest helium fluence, leaving dislocations and helium bubbles co-exist in tungsten materials.

  11. Optical planar waveguides in photo-thermal-refractive glasses fabricated by single- or double-energy carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Yue; Shen, Xiao-Liang; Zheng, Rui-Lin; Guo, Hai-Tao; Lv, Peng; Liu, Chun-Xiao

    2018-01-01

    Ion implantation has demonstrated to be an efficient and reliable technique for the fabrication of optical waveguides in a diversity of transparent materials. Photo-thermal-refractive glass (PTR) is considered to be durable and stable holographic recording medium. Optical planar waveguide structures in the PTR glasses were formed, for the first time to our knowledge, by the C3+-ion implantation with single-energy (6.0 MeV) and double-energy (5.5+6.0 MeV), respectively. The process of the carbon ion implantation was simulated by the stopping and range of ions in matter code. The morphologies of the waveguides were recorded by a microscope operating in transmission mode. The guided beam distributions of the waveguides were measured by the end-face coupling technique. Comparing with the single-energy implantation, the double-energy implantation improves the light confinement for the dark-mode spectrum. The guiding properties suggest that the carbon-implanted PTR glass waveguides have potential for the manufacture of photonic devices.

  12. High-fluence ion implantation in silicon carbide for fabrication of a compliant substrate

    NASA Astrophysics Data System (ADS)

    Lioubtchenko, Mikhail

    GaN and related nitrides are promising materials for applications as UV/blue light emitters and in high-power, high-temperature electonic devices. Unfortunately, the vast potential of these materials cannot be realized effectively due to a large density of threading dislocations, arising from large lattice mismatch between GaN and utilized substrates. Therefore, a new approach to the heteroepitaxial growth is desirable, and a compliant substrate might help to remedy the situation. A modified model for the compliant substrate consisting of the compliant membrane glued to a thick handling substrate by a soft layer was proposed. We have chosen 6H-SiC as a starting substrate and ion implantation as a means of creating a buried layer. High fluence ion implantation of different species in 6H-SiC was performed at elevated temperatures and damage removal/accumulation was studied. It was found that temperatures around 1600°C are necessary to successfully recrystallize the radiation damage for Ti, Ga, Si and C implantations, but no damage removal was monitored for In implantation. In order to minimize the damage produced during ion implantation, it was decided to employ a multistep process in which each implantation step was followed by annealing. This approach was realized for 125 keV Ti++ and 300 keV Ga+ implantations up to a total dose of 1.8 x 1017 cm--2. Ti-implanted substrates were shown to retain good quality in the top layer, whereas Ga implantation preserves the quality of the near-surface region only at lower doses. The implanted species concentration was monitored after each step using Rutherford Backscattering (RBS). GaN films were grown on the prepared substrates and a control SiC sample by MOCVD. TEM and photoluminescence measurements have demonstrated that the quality of GaN films improves upon growth on compliant substrates.

  13. Ion acceleration in a plasma focus

    NASA Technical Reports Server (NTRS)

    Gary, S. P.

    1974-01-01

    The electric and magnetic fields associated with anomalous diffusion to the axis of a linear plasma discharge are used to compute representative ion trajectories. Substantial axial acceleration of the ions is demonstrated.

  14. Rutherford Backscattering Spectrometry studies of 100 keV nitrogen ion implanted polypropylene polymer

    NASA Astrophysics Data System (ADS)

    Chawla, Mahak; Aggarwal, Sanjeev; Sharma, Annu

    2017-09-01

    The effect of nitrogen ion implantation on the structure and composition in polypropylene (PP) polymer has been studied. Implantation was carried out using 100 keV N+ ions at different fluences of 1 × 1015, 1 × 1016 and 1 × 1017 ions cm-2 with beam current density of ∼0.65 μA cm-2. Surface morphological changes in the pre- and post-implanted PP specimens have been studied using Rutherford Backscattering Spectrometry (RBS) and UV-Visible Spectroscopy. The spatial distribution of implantation induced modification in the form of carbonization and dehydrogenation in the near surface region of PP matrix, the projected range, retained dose of implanted nitrogen, the various elements present in the implanted layers and their differential cross-sections have been analyzed using RBS spectra. RUMP simulation yielded an increase in the concentration of carbon near the surface from 33 at.% (virgin) to 42 at.% at fluence of 1 × 1017 N+ cm-2. Further, optical absorption has been found to increase with a shift in the absorption edge from UV towards visible region with increasing fluence. UV-Vis absorption spectra also indicate a drastic decrease in optical energy gap from 4.12 eV (virgin) to 0.25 eV (1 × 1017 N+ cm-2) indicating towards the formation of carbonaceous network in the implanted region. All these changes observed using UV-Visible have been further correlated with the outcomes of the RBS characterization.

  15. Target dependent femtosecond laser plasma implantation dynamics in enabling silica for high density erbium doping

    PubMed Central

    Chandrappan, Jayakrishnan; Murray, Matthew; Kakkar, Tarun; Petrik, Peter; Agocs, Emil; Zolnai, Zsolt; Steenson, D.P.; Jha, Animesh; Jose, Gin

    2015-01-01

    Chemical dissimilarity of tellurium oxide with silica glass increases phase separation and crystallization tendency when mixed and melted for making a glass. We report a novel technique for incorporating an Er3+-doped tellurite glass composition into silica substrates through a femtosecond (fs) laser generated plasma assisted process. The engineered material consequently exhibits the spectroscopic properties of Er3+-ions, which are unachievable in pure silica and implies this as an ideal material for integrated photonics platforms. Formation of a well-defined metastable and homogeneous glass structure with Er3+-ions in a silica network, modified with tellurite has been characterized using high-resolution cross-sectional transmission electron microscopy (HRTEM). The chemical and structural analyses using HRTEM, Rutherford backscattering spectrometry (RBS) and laser excitation techniques, confirm that such fs-laser plasma implanted glasses may be engineered for significantly higher concentration of Er3+-ions without clustering, validated by the record high lifetime-density product 0.96 × 1019 s.cm−3. Characterization of planar optical layers and photoluminescence emission spectra were undertaken to determine their thickness, refractive indices and photoluminescence properties, as a function of Er3+ concentration via different target glasses. The increased Er3+ content in the target glass enhance the refractive index and photoluminescence intensity of the modified silica layer whilst the lifetime and thickness decrease. PMID:26370060

  16. Ion implantation enhanced metal-Si-metal photodetectors

    NASA Astrophysics Data System (ADS)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  17. The Breeding of a Pigment Mutant Strain of Steroid Hydroxylation Aspergillus Flavus by Low Energy Ion Implantation

    NASA Astrophysics Data System (ADS)

    Ye, Hui; Ma, Jingming; Feng, Chun; Cheng, Ying; Zhu, Suwen; Cheng, Beijiu

    2009-02-01

    In the process of the fermentation of steroid C11α-hydroxylgenation strain Aspergillus flavus AF-ANo208, a red pigment is derived, which will affect the isolation and purification of the target product. Low energy ion beam implantation is a new tool for breeding excellent mutant strains. In this study, the ion beam implantation experiments were performed by infusing two different ions: argon ion (Ar+) and nitrogen ion (N+). The results showed that the optimal ion implantation was N+ with an optimum dose of 2.08 × 1015 ions/cm2, with which the mutant strain AF-ANm16 that produced no red pigment was obtained. The strain had high genetic stability and kept the strong capacity of C11α-hydroxylgenation, which could be utilized in industrial fermentation. The differences between the original strain and the mutant strain at a molecular level were analyzed by randomly amplified polymorphic DNA (RAPD). The results indicated that the frequency of variation was 7.00%, which would establish the basis of application investigation into the breeding of pigment mutant strains by low energy ion implantation.

  18. Inductively generated streaming plasma ion source

    DOEpatents

    Glidden, Steven C.; Sanders, Howard D.; Greenly, John B.

    2006-07-25

    A novel pulsed, neutralized ion beam source is provided. The source uses pulsed inductive breakdown of neutral gas, and magnetic acceleration and control of the resulting plasma, to form a beam. The beam supplies ions for applications requiring excellent control of ion species, low remittance, high current density, and spatial uniformity.

  19. Monitoring Ion Implantation Energy Using Non-contact Characterization Methods

    NASA Astrophysics Data System (ADS)

    Tallian, M.; Pap, A.; Mocsar, K.; Somogyi, A.; Nadudvari, Gy.; Kosztka, D.; Pavelka, T.

    2011-01-01

    State-of-the-art ultra-shallow junctions are produced using extremely low ion implant energies, down to the range of 1-3 keV. This can be achieved by a variety of production techniques; however there is a significant risk that the actual implantation energy differs from the desired value. To detect this, sensitive measurement methods need to be utilized. Experiments show that both Photomodulated Reflection measurements before anneal and Junction Photovoltage-based sheet resistance measurements after anneal are suitable for this purpose.

  20. Nano-size metallic oxide particle synthesis in Fe-Cr alloys by ion implantation

    NASA Astrophysics Data System (ADS)

    Zheng, C.; Gentils, A.; Ribis, J.; Borodin, V. A.; Delauche, L.; Arnal, B.

    2017-10-01

    Oxide Dispersion Strengthened (ODS) steels reinforced with metal oxide nanoparticles are advanced structural materials for nuclear and thermonuclear reactors. The understanding of the mechanisms involved in the precipitation of nano-oxides can help in improving mechanical properties of ODS steels, with a strong impact for their commercialization. A perfect tool to study these mechanisms is ion implantation, where various precipitate synthesis parameters are under control. In the framework of this approach, high-purity Fe-10Cr alloy samples were consecutively implanted with Al and O ions at room temperature and demonstrated a number of unexpected features. For example, oxide particles of a few nm in diameter could be identified in the samples already after ion implantation at room temperature. This is very unusual for ion beam synthesis, which commonly requires post-implantation high-temperature annealing to launch precipitation. The observed particles were composed of aluminium and oxygen, but additionally contained one of the matrix elements (chromium). The crystal structure of aluminium oxide compound corresponds to non-equilibrium cubic γ-Al2O3 phase rather than to more common corundum. The obtained experimental results together with the existing literature data give insight into the physical mechanisms involved in the precipitation of nano-oxides in ODS alloys.

  1. Superconducting properties of ion-implanted gold-silicon thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jisrawi, N.M.

    The superconducting properties of thin Au{sub x}Si{sub 1{minus}x}, films prepared by ion beam implantation and ion beam mixing are studied. The films are prepared by evaporation of single Au layers on Si substrates and mixing them with Si, Ar, or Xe, or by Xe beam mixing of alternate multilayers of Au and Si sputtered on Al{sub 2}O{sub 3} substrates. The superconducting transition temperature and upper critical fields are determined by measuring the temperature and magnetic field dependence of resistivity. Temperatures as low as 20mK and magnetic fields as high as 8 T were used. Superconductivity in these films is discussedmore » in connection with metastable metallic phases that are reportedly produced in the Au-Si system by high quenching rate preparation techniques like quenching from the vapor or the melt or ion implantation. Preliminary structural studies provide evidence for the existence of these phases and near-edge X-ray absorption and X-ray photoelectron spectroscopy measurements indicate a metallic type of bonding from which compound formation is inferred. The quality of the films is strongly dependent on the conditions of implantation. The maximum superconducting transition temperature attained is about 1.2 K. The upper critical fields have a maximum of 6T. An unusual double transition in the field dependence of resistivity is observed at low temperatures. The effect is very pronounced at compositions near x = 0.5 where the maximum {Tc} occurs. A model is presented to explain this result which invokes the properties of the metastable metallic phases and assumes the formation of more than two such phases in the same sample as the implantation dose increases. The Si-Au interface plays an important role in understanding the model and in interpreting the results of this thesis in general.« less

  2. Ultra-Shallow Junctions Fabrication by Plasma Immersion Implantation on PULSION registered Followed by Laser Thermal Processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Torregrosa, Frank; Etienne, Hasnaa; Sempere, Guillaume

    In order to achieve the requirements for P+/N junctions for <45 nm ITRS nodes, ultra low energy and high dose implantations are needed. Classical beamline implantation is now limited in low energies, compared to Plasma Immersion Ion Implantation (PIII) which efficiency is no more to prove for the realization of Ultra-Shallow Junctions (USJ) in semiconductor applications : this technique allows to get ultimate shallow profiles (as implanted) due to no lower limitation of energy and high dose rate. Electrical activation is also a big issue since it has to afford high electrical activation rate with very low diffusion. Laser annealingmore » is one of the candidates for the 45 nm node. This paper presents electrical and physico-chemical characterizations of junctions realized with BF3 PIII followed by laser thermal processing with aim to obtain ultra-shallow junctions. Different implantation conditions (acceleration voltage/dose) and laser conditions (laser types, fluence/number of shots) are used for this study. Pre-amorphization is also used to confine the junction depth, and is shown to have a positive effect on junction depth but leads in higher junction leakage due to the remaining of EOR defects. The characterization is done using Optical characterization tool (SEMILAB) for sheet resistance and junction leakage measurements. SIMS is used for Boron profile and junction depth.« less

  3. Ion-Doped Silicate Bioceramic Coating of Ti-Based Implant

    PubMed Central

    Mohammadi, Hossein; Sepantafar, Mohammadmajid

    2016-01-01

    Titanium and its alloy are known as important load-bearing biomaterials. The major drawbacks of these metals are fibrous formation and low corrosion rate after implantation. The surface modification of biomedical implants through various methods such as plasma spray improves their osseointegration and clinical lifetime. Different materials have been already used as coatings on biomedical implant, including calcium phosphates and bioglass. However, these materials have been reported to have limited clinical success. The excellent bioactivity of calcium silicate (Ca-Si) has been also regarded as coating material. However, their high degradation rate and low mechanical strength limit their further coating application. Trace element modification of (Ca-Si) bioceramics is a promising method, which improves their mechanical strength and chemical stability. In this review, the potential of trace element-modified silicate coatings on better bone formation of titanium implant is investigated. PMID:26979401

  4. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    NASA Astrophysics Data System (ADS)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R. I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-04-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn+ ions at room temperature at fluencies of 1.0 × 1015-1.0 × 1016 cm-2. Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges RP differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 1016 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV-Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV-Vis absorbance increases simultaneously with the decline of optical band gap Eg. The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion species (Ni, Co

  5. Alternative modeling methods for plasma-based Rf ion sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Veitzer, Seth A., E-mail: veitzer@txcorp.com; Kundrapu, Madhusudhan, E-mail: madhusnk@txcorp.com; Stoltz, Peter H., E-mail: phstoltz@txcorp.com

    Rf-driven ion sources for accelerators and many industrial applications benefit from detailed numerical modeling and simulation of plasma characteristics. For instance, modeling of the Spallation Neutron Source (SNS) internal antenna H{sup −} source has indicated that a large plasma velocity is induced near bends in the antenna where structural failures are often observed. This could lead to improved designs and ion source performance based on simulation and modeling. However, there are significant separations of time and spatial scales inherent to Rf-driven plasma ion sources, which makes it difficult to model ion sources with explicit, kinetic Particle-In-Cell (PIC) simulation codes. Inmore » particular, if both electron and ion motions are to be explicitly modeled, then the simulation time step must be very small, and total simulation times must be large enough to capture the evolution of the plasma ions, as well as extending over many Rf periods. Additional physics processes such as plasma chemistry and surface effects such as secondary electron emission increase the computational requirements in such a way that even fully parallel explicit PIC models cannot be used. One alternative method is to develop fluid-based codes coupled with electromagnetics in order to model ion sources. Time-domain fluid models can simulate plasma evolution, plasma chemistry, and surface physics models with reasonable computational resources by not explicitly resolving electron motions, which thereby leads to an increase in the time step. This is achieved by solving fluid motions coupled with electromagnetics using reduced-physics models, such as single-temperature magnetohydrodynamics (MHD), extended, gas dynamic, and Hall MHD, and two-fluid MHD models. We show recent results on modeling the internal antenna H{sup −} ion source for the SNS at Oak Ridge National Laboratory using the fluid plasma modeling code USim. We compare demonstrate plasma temperature equilibration in two

  6. Influence of ion-implanted profiles on the performance of GaAs MESFET's and MMIC amplifiers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pavlidis, D.; Cazaux, J.L.; Graffeuil, J.

    1988-04-01

    The RF small-signal performance of GaAs MESFET's and MMIC amplifiers as a function of various ion-implanted profiles is theoretically and experimentally investigated. Implantation energy, dose, and recess depth influence are theoretically analyzed with the help of a specially developed device simulator. The performance of MMIC amplifiers processed with various energies, doses, recess depths, and bias conditions is discussed and compared to experimental characteristics. Some criteria are finally proposed for the choice of implantation conditions and process in order to optimize the characteristics of ion-implanted FET's and to realize process-tolerant MMIC amplifiers.

  7. Ions beams and ferroelectric plasma sources

    NASA Astrophysics Data System (ADS)

    Stepanov, Anton

    Near-perfect space-charge neutralization is required for the transverse compression of high perveance ion beams for ion-beam-driven warm dense matter experiments, such as the Neutralized Drift Compression eXperiment (NDCX). Neutralization can be accomplished by introducing a plasma in the beam path, which provides free electrons that compensate the positive space charge of the ion beam. In this thesis, charge neutralization of a 40 keV, perveance-dominated Ar+ beam by a Ferroelectric Plasma Source (FEPS) is investigated. First, the parameters of the ion beam, such as divergence due to the extraction optics, charge neutralization fraction, and emittance were measured. The ion beam was propagated through the FEPS plasma, and the effects of charge neutralization were inferred from time-resolved measurements of the transverse beam profile. In addition, the dependence of FEPS plasma parameters on the configuration of the driving pulser circuit was studied to optimize pulser design. An ion accelerator was constructed that produced a 30-50 keV Ar + beam with pulse duration <300 mus and dimensionless perveance Q up to 8 x 10-4. Transverse profile measurements 33 cm downstream of the ion source showed that the dependence of beam radius on Q was consistent with space charge expansion. It was concluded that the beam was perveance-dominated with a charge neutralization fraction of approximately zero in the absence of neutralizing plasma. Since beam expansion occurred primarily due to space charge, the decrease in effective perveance due to neutralization by FEPS plasma can be inferred from the reduction in beam radius. Results on propagation of the ion beam through FEPS plasma demonstrate that after the FEPS is triggered, the beam radius decreases to its neutralized value in about 5 mus. The duration of neutralization was about 10 mus at a charging voltage VFEPS = 5.5 kV and 35 mus at VFEPS = 6.5 kV. With VFEPS = 6.5 kV, the transverse current density profile 33 cm downstream

  8. Plasma assisted surface coating/modification processes - An emerging technology

    NASA Technical Reports Server (NTRS)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  9. Plasma assisted surface coating/modification processes: An emerging technology

    NASA Technical Reports Server (NTRS)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  10. PULSION® HP: Tunable, High Productivity Plasma Doping

    NASA Astrophysics Data System (ADS)

    Felch, S. B.; Torregrosa, F.; Etienne, H.; Spiegel, Y.; Roux, L.; Turnbaugh, D.

    2011-01-01

    Plasma doping has been explored for many implant applications for over two decades and is now being used in semiconductor manufacturing for two applications: DRAM polysilicon counter-doping and contact doping. The PULSION HP is a new plasma doping tool developed by Ion Beam Services for high-volume production that enables customer control of the dominant mechanism—deposition, implant, or etch. The key features of this tool are a proprietary, remote RF plasma source that enables a high density plasma with low chamber pressure, resulting in a wide process space, and special chamber and wafer electrode designs that optimize doping uniformity.

  11. Report on the workshop on Ion Implantation and Ion Beam Assisted Deposition

    NASA Astrophysics Data System (ADS)

    Dearnaley, G.

    1992-03-01

    This workshop was organized by the Corpus Christi Army Depot (CCAD), the major helicopter repair base within AVSCOM. Previous meetings had revealed a strong interest throughout DoD in ion beam technology as a means of extending the service life of military systems by reducing wear, corrosion, fatigue, etc. The workshop opened with an account by Dr. Bruce Sartwell of the successful application of ion implantation to bearings and gears at NRL, and the checkered history of the MANTECH Project at Spire Corporation. Dr. James Hirvonen (AMTL) continued with a summary of successful applications to reduce wear in biomedical components, and he also described the processes of ion beam-assisted deposition (IBAD) for a variety of protective coatings, including diamond-like carbon (DLC).

  12. Electrostatic shock structures in dissipative multi-ion dusty plasmas

    NASA Astrophysics Data System (ADS)

    Elkamash, I. S.; Kourakis, I.

    2018-06-01

    A comprehensive analytical model is introduced for shock excitations in dusty bi-ion plasma mixtures, taking into account collisionality and kinematic (fluid) viscosity. A multicomponent plasma configuration is considered, consisting of positive ions, negative ions, electrons, and a massive charged component in the background (dust). The ionic dynamical scale is focused upon; thus, electrons are assumed to be thermalized, while the dust is stationary. A dissipative hybrid Korteweg-de Vries/Burgers equation is derived. An analytical solution is obtained, in the form of a shock structure (a step-shaped function for the electrostatic potential, or an electric field pulse) whose maximum amplitude in the far downstream region decays in time. The effect of relevant plasma configuration parameters, in addition to dissipation, is investigated. Our work extends earlier studies of ion-acoustic type shock waves in pure (two-component) bi-ion plasma mixtures.

  13. Thermal plasma and fast ion transport in electrostatic turbulence in the large plasma devicea)

    NASA Astrophysics Data System (ADS)

    Zhou, Shu; Heidbrink, W. W.; Boehmer, H.; McWilliams, R.; Carter, T. A.; Vincena, S.; Tripathi, S. K. P.; Van Compernolle, B.

    2012-05-01

    The transport of thermal plasma and fast ions in electrostatic microturbulence is studied. Strong density and potential fluctuations (δn /n˜δφ/kTe ˜ 0.5, f ˜ 5-50 kHz) are observed in the large plasma device (LAPD) [W. Gekelman, H. Pfister, Z. Lucky et al., Rev. Sci. Instrum. 62, 2875 (1991)] in density gradient regions produced by obstacles with slab or cylindrical geometry. Wave characteristics and the associated plasma transport are modified by driving sheared E × B drift through biasing the obstacle and by modification of the axial magnetic fields (Bz) and the plasma species. Cross-field plasma transport is suppressed with small bias and large Bz and is enhanced with large bias and small Bz. The transition in thermal plasma confinement is well explained by the cross-phase between density and potential fluctuations. Large gyroradius lithium fast ion beam (ρfast/ρs ˜ 10) orbits through the turbulent region. Scans with a collimated analyzer give detailed profiles of the fast ion spatial-temporal distribution. Fast-ion transport decreases rapidly with increasing fast-ion energy and gyroradius. Background waves with different scale lengths also alter the fast ion transport. Experimental results agree well with gyro-averaging theory. When the fast ion interacts with the wave for most of a wave period, a transition from super-diffusive to sub-diffusive transport is observed, as predicted by diffusion theory. Besides turbulent-wave-induced fast-ion transport, the static radial electric field (Er) from biasing the obstacle leads to drift of the fast-ion beam centroid. The drift and broadening of the beam due to static Er are evaluated both analytically and numerically. Simulation results indicate that the Er induced transport is predominately convective.

  14. Magnetosonic shock wave in collisional pair-ion plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adak, Ashish, E-mail: ashish-adak@yahoo.com; Khan, Manoranjan, E-mail: mkhan.ju@gmail.com; Sikdar, Arnab, E-mail: arnabs.ju@gmail.com

    2016-06-15

    Nonlinear propagation of magnetosonic shock wave has been studied in collisional magnetized pair-ion plasma. The masses of both ions are same but the temperatures are slightly different. Two fluid model has been taken to describe the model. Two different modes of the magnetosonic wave have been obtained. The dynamics of the nonlinear magnetosonic wave is governed by the Korteweg-de Vries Burgers' equation. It has been shown that the ion-ion collision is the source of dissipation that causes the Burgers' term which is responsible for the shock structures in equal mass pair-ion plasma. The numerical investigations reveal that the magnetosonic wavemore » exhibits both oscillatory and monotonic shock structures depending on the strength of the dissipation. The nonlinear wave exhibited the oscillatory shock wave for strong magnetic field (weak dissipation) and monotonic shock wave for weak magnetic field (strong dissipation). The results have been discussed in the context of the fullerene pair-ion plasma experiments.« less

  15. Observations of a field-aligned ion/ion-beam instability in a magnetized laboratory plasma

    NASA Astrophysics Data System (ADS)

    Heuer, P. V.; Weidl, M. S.; Dorst, R. S.; Schaeffer, D. B.; Bondarenko, A. S.; Tripathi, S. K. P.; Van Compernolle, B.; Vincena, S.; Constantin, C. G.; Niemann, C.; Winske, D.

    2018-03-01

    Collisionless coupling between super Alfvénic ions and an ambient plasma parallel to a background magnetic field is mediated by a set of electromagnetic ion/ion-beam instabilities including the resonant right hand instability (RHI). To study this coupling and its role in parallel shock formation, a new experimental configuration at the University of California, Los Angeles utilizes high-energy and high-repetition-rate lasers to create a super-Alfvénic field-aligned debris plasma within an ambient plasma in the Large Plasma Device. We used a time-resolved fluorescence monochromator and an array of Langmuir probes to characterize the laser plasma velocity distribution and density. The debris ions were observed to be sufficiently super-Alfvénic and dense to excite the RHI. Measurements with magnetic flux probes exhibited a right-hand circularly polarized frequency chirp consistent with the excitation of the RHI near the laser target. We compared measurements to 2D hybrid simulations of the experiment.

  16. Surface characteristics, biocompatibility, and mechanical properties of nickel-titanium plasma-implanted with nitrogen at different implantation voltages.

    PubMed

    Liu, X M; Wu, S L; Chan, Y L; Chu, Paul K; Chung, C Y; Chu, C L; Yeung, K W K; Lu, W W; Cheung, K M C; Luk, K D K

    2007-08-01

    NiTi shape memory alloy is one of the promising orthopedic materials due to the unique shape memory effect and superelasticity. However, the large amount of Ni in the alloy may cause allergic reactions and toxic effects thereby limiting its applications. In this work, the surface of NiTi alloy was modified by nitrogen plasma immersion ion implantation (N-PIII) at various voltages. The materials were characterized by X-ray photoelectron spectroscopy (XPS). The topography and roughness before and after N-PIII were measured by atomic force microscope. The effects of the modified surfaces on nickel release and cytotoxicity were assessed by immersion tests and cell cultures. The XPS results reveal that near-surface Ni concentration is significantly reduced by PIII and the surface TiN layer suppresses nickel release and favors osteoblast proliferation, especially for samples implanted at higher voltages. The surfaces produced at higher voltages of 30 and 40 kV show better adhesion ability to osteoblasts compared to the unimplanted and 20 kV PIII samples. The effects of heating during PIII on the phase transformation behavior and cyclic deformation response of the materials were investigated by differential scanning calorimetry and three-point bending tests. Our results show that N-PIII conducted using the proper conditions improves the biocompatibility and mechanical properties of the NiTi alloy significantly.

  17. Suppressed ion-scale turbulence in a hot high-β plasma

    NASA Astrophysics Data System (ADS)

    Schmitz, L.; Fulton, D. P.; Ruskov, E.; Lau, C.; Deng, B. H.; Tajima, T.; Binderbauer, M. W.; Holod, I.; Lin, Z.; Gota, H.; Tuszewski, M.; Dettrick, S. A.; Steinhauer, L. C.

    2016-12-01

    An economic magnetic fusion reactor favours a high ratio of plasma kinetic pressure to magnetic pressure in a well-confined, hot plasma with low thermal losses across the confining magnetic field. Field-reversed configuration (FRC) plasmas are potentially attractive as a reactor concept, achieving high plasma pressure in a simple axisymmetric geometry. Here, we show that FRC plasmas have unique, beneficial microstability properties that differ from typical regimes in toroidal confinement devices. Ion-scale fluctuations are found to be absent or strongly suppressed in the plasma core, mainly due to the large FRC ion orbits, resulting in near-classical thermal ion confinement. In the surrounding boundary layer plasma, ion- and electron-scale turbulence is observed once a critical pressure gradient is exceeded. The critical gradient increases in the presence of sheared plasma flow induced via electrostatic biasing, opening the prospect of active boundary and transport control in view of reactor requirements.

  18. Low-temperature technique of thin silicon ion implanted epitaxial detectors

    NASA Astrophysics Data System (ADS)

    Kordyasz, A. J.; Le Neindre, N.; Parlog, M.; Casini, G.; Bougault, R.; Poggi, G.; Bednarek, A.; Kowalczyk, M.; Lopez, O.; Merrer, Y.; Vient, E.; Frankland, J. D.; Bonnet, E.; Chbihi, A.; Gruyer, D.; Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M. F.; Salomon, F.; Bini, M.; Valdré, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S.; Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E.; Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M.; Alba, R.; Santonocito, D.; Maiolino, C.; Cinausero, M.; Gramegna, F.; Marchi, T.; Kozik, T.; Kulig, P.; Twaróg, T.; Sosin, Z.; Gaşior, K.; Grzeszczuk, A.; Zipper, W.; Sarnecki, J.; Lipiński, D.; Wodzińska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyżak, K.; Tarasiuk, K. J.; Khabanowa, Z.; Kordyasz, Ł.

    2015-02-01

    A new technique of large-area thin ion implanted silicon detectors has been developed within the R&D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B+ ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from 241Am (< E α > = 5.5 MeV). Preliminary tests on the first thin detector (area ≈ 20 × 20 mm2) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction 84Kr ( E = 35 A MeV) + 112Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge.

  19. Modelling of the mechanical behavior of a polyurethane finger interphalangeal joint endoprosthesis after surface modification by ion implantation

    NASA Astrophysics Data System (ADS)

    Beliaev, A.; Svistkov, A.; Iziumov, R.; Osorgina, I.; Kondyurin, A.; Bilek, M.; McKenzie, D.

    2016-04-01

    Production of biocompatible implants made of polyurethane treated with plasma is very perspective. During plasma treatment the surface of polyurethane acquires unique physic-chemical properties. However such treatment may change the mechanical properties of polyurethane which may adversely affect the deformation behaviour of the real implant. Therefore careful study of the mechanical properties of the plasma-modified polyurethane is needed. In this paper, experimental observations of the elastic characteristics of plasma treated polyurethane and modelling of the deformation behaviour of polyurethane bio-implants are reported.

  20. A review of studies on ion thruster beam and charge-exchange plasmas

    NASA Technical Reports Server (NTRS)

    Carruth, M. R., Jr.

    1982-01-01

    Various experimental and analytical studies of the primary beam and charge-exchange plasmas of ion thrusters are reviewed. The history of plasma beam research is recounted, emphasizing experiments on beam neutralization, expansion of the beam, and determination of beam parameters such as electron temperature, plasma density, and plasma potential. The development of modern electron bombardment ion thrusters is treated, detailing experimental results. Studies on charge-exchange plasma are discussed, showing results such as the relationship between neutralizer emission current and plasma beam potential, ion energies as a function of neutralizer bias, charge-exchange ion current collected by an axially moving Faraday cup-RPA for 8-cm and 30-cm ion thrusters, beam density and potential data from a 15-cm ion thruster, and charge-exchange ion flow around a 30-cm thruster. A 20-cm thruster electrical configuration is depicted and facility effects are discussed. Finally, plasma modeling is covered in detail for plasma beam and charge-exchange plasma.

  1. Spin-dependent excitation of plasma modes in non-neutral ion plasmas

    NASA Astrophysics Data System (ADS)

    Sawyer, Brian C.; Britton, Joe W.; Bollinger, John J.

    2011-10-01

    We report on a new technique for exciting and sensitively detecting plasma modes in small, cold non-neutral ion plasmas. The technique uses an optical dipole force generated from laser beams to excite plasma modes. By making the force spin- dependent (i.e. depend on the internal state of the atomic ion) very small mode excitations (<100 nm) can be detected through spin-motion entanglement. Even when the optical dipole force is homogeneous throughout the plasma, short wavelength modes on the order of the interparticle spacing can in principle be excited and detected through the spin dependence of the force. We use this technique to study the drumhead modes of single plane triangular arrays of a few hundred Be+ ions. Spin-dependent mode excitation is interesting in this system because it provides a means of engineering an Ising interaction on a 2-D triangular lattice. For the case of an anti-ferromagnetic interaction, this system exhibits spin frustration on a scale that is at present computationally intractable. Work supported by the DARPA OLE program and NIST.

  2. Evaluation of ion-implanted-silicon detectors for use in intraoperative positron-sensitive probes.

    PubMed

    Raylman, R R; Wahl, R L

    1996-11-01

    The continuing development of probes for use with beta (positron and electron) emitting radionuclides may result in more complete excision of tracer-avid tumors. Perhaps one of the most promising radiopharmaceuticals for this task is 18F-labeled-Fluoro-2-Deoxy-D-Glucose (FDG). This positron-emitting agent has been demonstrated to be avidly and rapidly absorbed by many human cancers. We have investigated the use of ion-implanted-silicon detectors in intraoperative positron-sensitive surgical probes for use with FDG. These detectors possess very high positron detection efficiency, while the efficiency for 511 keV photon detection is low. The spatial resolution, as well as positron and annihilation photon detection sensitivity, of an ion-implanted-silicon detector used with 18F was measured at several energy thresholds. In addition, the ability of the device to detect the presence of relatively small amounts of FDG during surgery was evaluated by simulating a surgical field in which some tumor was left intact following lesion excision. The performance of the ion-implanted-silicon detector was compared to the operating characteristics of a positron-sensitive surgical probe which utilizes plastic scintillator. In all areas of performance the ion-implanted-silicon detector proved superior to the plastic scintillator-based probe. At an energy threshold of 14 keV positron sensitivity measured for the ion-implanted-silicon detector was 101.3 cps/kBq, photon sensitivity was 7.4 cps/kBq. In addition, spatial resolution was found to be relatively unaffected by the presence of distant sources of annihilation photon flux. Finally, the detector was demonstrated to be able to localize small amounts of FDG in a simulated tumor bed; indicating that this device has promise as a probe to aid in FDG-guided surgery.

  3. Raman Scattering Studies on Ag Nanocluster Composites Formed by Ion Implantation into Silica

    NASA Astrophysics Data System (ADS)

    Ren, Feng; Jiang, Chang Zhong; Fu, De Jun; Fu, Qiang

    2005-12-01

    Highly-pure amorphous silica slides were implanted by 200 keV Ag ions with doses ranged from 1× 1016 to 2× 1017 ions/cm2. Optical absorption spectra show that Ag nanoclusters with various sizes have been formed. Enhancement of surface enhanced Raman scattering signal by a factor up to about 103 was obtained by changing the Ag particle size. The silica was damaged by the implanted Ag ions, and the large compression stress on the silica leads to the shift of Raman peaks. New bands at 1368 and 1586 cm-1, which are attributed to the vibration of Ag-O bond and O2 molecules in silica, are observed in the samples with doses higher than 1× 1017 ions/cm2.

  4. Integration of Ion Implantation with Scanning ProbeAlignment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Persaud, A.; Rangelow, I.W.; Schenkel, T.

    We describe a scanning probe instrument which integrates ion beams with imaging and alignment functions of a piezo resistive scanning probe in high vacuum. Energetic ions (1 to a few hundred keV) are transported through holes in scanning probe tips [1]. Holes and imaging tips are formed by Focused Ion Beam (FIB) drilling and ion beam assisted thin film deposition. Transport of single ions can be monitored through detection of secondary electrons from highly charged dopant ions (e. g., Bi{sup 45+}) enabling single atom device formation. Fig. 1 shows SEM images of a scanning probe tip formed by ion beammore » assisted Pt deposition in a dual beam FIB. Ion beam collimating apertures are drilled through the silicon cantilever with a thickness of 5 {micro}m. Aspect ratio limitations preclude the direct drilling of holes with diameters well below 1 {micro}m, and smaller hole diameters are achieved through local thin film deposition [2]. The hole in Fig. 1 was reduced from 2 {micro}m to a residual opening of about 300 nm. Fig. 2 shows an in situ scanning probe image of an alignment dot pattern taken with the tip from Fig. 1. Transport of energetic ions through the aperture in the scanning probe tip allows formation of arbitrary implant patterns. In the example shown in Fig. 2 (right), a 30 nm thick PMMA resist layer on silicon was exposed to 7 keV Ar{sup 2+} ions with an equivalent dose of 10{sup 14} ions/cm{sup 2} to form the LBL logo. An exciting goal of this approach is the placement of single dopant ions into precise locations for integration of single atom devices, such as donor spin based quantum computers [3, 4]. In Fig. 3, we show a section of a micron size dot area exposed to a low dose (10{sup 11}/cm{sup 2}) of high charge state dopant ions. The Bi{sup 45+} ions (200 keV) were extracted from a low emittance highly charged ions source [5]. The potential energy of B{sup 45+}, i. e., the sum of the binding energies required to remove the electrons, amounts to 36

  5. Optical characteristics of composites obtained by ion implantation of silver ions in polyethylene terephthalate

    NASA Astrophysics Data System (ADS)

    Bumai, Yu. A.; Volobuev, V. S.; Valeev, V. F.; Dolgikh, N. I.; Lukashevich, M. G.; Khaibullin, R. I.; Nuzhdin, V. I.; Odzhaev, V. B.

    2012-11-01

    Metal-polymer composites are obtained by implantation of 30 keV silver ions at doses D = 1•1016-1.5•1017 cm-2 and ion current densities j = 4.0 μA/cm2 in films of polyethylene terephthalate. The spectral dependences of the reflection, transmission, and extinction coefficients for wavelengths of 190-1100 nm are studied. The reflection bands at λ1 = 205 nm and λ2 = 260 nm are found to be enhanced for light incident on the unimplanted side. Surface plasmon resonances on the silver nanoparticles are investigated. The refractive index of the modified layer is calculated and the sizes of the silver nanoparticles are estimated using a two-layer model of this structure together with the optical measurements. Depending on the implantation dose, these are found to vary over 1.3-2.8 and 5-20 nm, respectively.

  6. Suppressed ion-scale turbulence in a hot high-β plasma

    PubMed Central

    Schmitz, L.; Fulton, D. P.; Ruskov, E.; Lau, C.; Deng, B. H.; Tajima, T.; Binderbauer, M. W.; Holod, I.; Lin, Z.; Gota, H.; Tuszewski, M.; Dettrick, S. A.; Steinhauer, L. C.

    2016-01-01

    An economic magnetic fusion reactor favours a high ratio of plasma kinetic pressure to magnetic pressure in a well-confined, hot plasma with low thermal losses across the confining magnetic field. Field-reversed configuration (FRC) plasmas are potentially attractive as a reactor concept, achieving high plasma pressure in a simple axisymmetric geometry. Here, we show that FRC plasmas have unique, beneficial microstability properties that differ from typical regimes in toroidal confinement devices. Ion-scale fluctuations are found to be absent or strongly suppressed in the plasma core, mainly due to the large FRC ion orbits, resulting in near-classical thermal ion confinement. In the surrounding boundary layer plasma, ion- and electron-scale turbulence is observed once a critical pressure gradient is exceeded. The critical gradient increases in the presence of sheared plasma flow induced via electrostatic biasing, opening the prospect of active boundary and transport control in view of reactor requirements. PMID:28000675

  7. Ion Temperature Control of the Io Plasma Torus

    NASA Technical Reports Server (NTRS)

    Delamere, P. A.; Schneider, N. M.; Steffl, A. J.; Robbins, S. J.

    2005-01-01

    We report on observational and theoretical studies of ion temperature in the Io plasma torus. Ion temperature is a critical factor for two reasons. First, ions are a major supplier of energy to the torus electrons which power the intense EUV emissions. Second, ion temperature determines the vertical extent of plasma along field lines. Higher temperatures spread plasma out, lowers the density and slows reaction rates. The combined effects can play a controlling role in torus energetics and chemistry. An unexpected tool for the study of ion temperature is the longitudinal structure in the plasma torus which often manifests itself as periodic brightness variations. Opposite sides of the torus (especially magnetic longitudes 20 and 200 degrees) have been observed on numerous occasions to have dramatically different brightness, density, composition, ionization state, electron temperature and ion temperature. These asymmetries must ultimately be driven by different energy flows on the opposite sides, presenting an opportunity to observe key torus processes operating under different conditions. The most comprehensive dataset for the study of longitudinal variations was obtained by the Cassini UVIS instrument during its Jupiter flyby. Steffl (Ph.D. thesis, 2005) identified longitudinal variations in all the quantities listed above wit the exception of ion temperature. We extend his work by undertaking the first search for such variation in the UVIS dataset. We also report on a 'square centimeter' model of the torus which extend the traditional 'cubic centimeter' models by including the controlling effects of ion temperature more completely.

  8. Fluorine-doping in titanium dioxide by ion implantation technique

    NASA Astrophysics Data System (ADS)

    Yamaki, T.; Umebayashi, T.; Sumita, T.; Yamamoto, S.; Maekawa, M.; Kawasuso, A.; Itoh, H.

    2003-05-01

    We implanted 200 keV F + in single crystalline titanium dioxide (TiO 2) rutile at a nominal fluence of 1 × 10 16 to 1 × 10 17 ions cm -2 and then thermally annealed the implanted sample in air. The radiation damage and its recovery process during the annealing were analyzed by Rutherford backscattering spectrometry in channeling geometry and variable-energy positron annihilation spectroscopy. The lattice disorder was completely recovered at 1200 °C by the migration of point defects to the surface. According to secondary ion mass spectrometry analysis, the F depth profile was shifted to a shallower region along with the damage recovery and this resulted in the formation of an F-doped layer where the impurity concentration steadily increased toward the surface. The F doping proved to provide a modification to the conduction-band edge of TiO 2, as assessed by theoretical band calculations.

  9. Development of a simple, low cost, indirect ion beam fluence measurement system for ion implanters, accelerators

    NASA Astrophysics Data System (ADS)

    Suresh, K.; Balaji, S.; Saravanan, K.; Navas, J.; David, C.; Panigrahi, B. K.

    2018-02-01

    We developed a simple, low cost user-friendly automated indirect ion beam fluence measurement system for ion irradiation and analysis experiments requiring indirect beam fluence measurements unperturbed by sample conditions like low temperature, high temperature, sample biasing as well as in regular ion implantation experiments in the ion implanters and electrostatic accelerators with continuous beam. The system, which uses simple, low cost, off-the-shelf components/systems and two distinct layers of in-house built softwarenot only eliminates the need for costly data acquisition systems but also overcomes difficulties in using properietry software. The hardware of the system is centered around a personal computer, a PIC16F887 based embedded system, a Faraday cup drive cum monitor circuit, a pair of Faraday Cups and a beam current integrator and the in-house developed software include C based microcontroller firmware and LABVIEW based virtual instrument automation software. The automatic fluence measurement involves two important phases, a current sampling phase lasting over 20-30 seconds during which the ion beam current is continuously measured by intercepting the ion beam and the averaged beam current value is computed. A subsequent charge computation phase lasting 700-900 seconds is executed making the ion beam to irradiate the samples and the incremental fluence received by the sampleis estimated usingthe latest averaged beam current value from the ion beam current sampling phase. The cycle of current sampling-charge computation is repeated till the required fluence is reached. Besides simplicity and cost-effectiveness, other important advantages of the developed system include easy reconfiguration of the system to suit customisation of experiments, scalability, easy debug and maintenance of the hardware/software, ability to work as a standalone system. The system was tested with different set of samples and ion fluences and the results were verified using

  10. Versatile, high-sensitivity faraday cup array for ion implanters

    DOEpatents

    Musket, Ronald G.; Patterson, Robert G.

    2003-01-01

    An improved Faraday cup array for determining the dose of ions delivered to a substrate during ion implantation and for monitoring the uniformity of the dose delivered to the substrate. The improved Faraday cup array incorporates a variable size ion beam aperture by changing only an insertable plate that defines the aperture without changing the position of the Faraday cups which are positioned for the operation of the largest ion beam aperture. The design enables the dose sensitivity range, typically 10.sup.11 -10.sup.18 ions/cm.sup.2 to be extended to below 10.sup.6 ions/cm.sup.2. The insertable plate/aperture arrangement is structurally simple and enables scaling to aperture areas between <1 cm.sup.2 and >750 cm.sup.2, and enables ultra-high vacuum (UHV) applications by incorporation of UHV-compatible materials.

  11. Transition energies and polarizabilities of hydrogen like ions in plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, Madhusmita

    2012-09-15

    Effect of plasma screening on various properties like transition energy, polarizability (dipole and quadrupole), etc. of hydrogen like ions is studied. The bound and free state wave functions and transition matrix elements are obtained by numerically integrating the radial Schrodinger equation for appropriate plasma potential. We have used adaptive step size controlled Runge-Kutta method to perform the numerical integration. Debye-Huckel potential is used to investigate the variation in transition lines and polarizabilities (dipole and quadrupole) with increasing plasma screening. For a strongly coupled plasma, ion sphere potential is used to show the variation in excitation energy with decreasing ion spheremore » radius. It is observed that plasma screening sets in phenomena like continuum lowering and pressure ionization, which are unique to ions in plasma. Of particular interest is the blue (red) shift in transitions conserving (non-conserving) principal quantum number. The plasma environment also affects the dipole and quadrupole polarizability of ions in a significant manner. The bound state contribution to polarizabilities decreases with increase in plasma density whereas the continuum contribution is significantly enhanced. This is a result of variation in the behavior of bound and continuum state wave functions in the presence of plasma. We have compared the results with existing theoretical and experimental data wherever present.« less

  12. Charging of dust grains in a plasma with negative ions

    NASA Astrophysics Data System (ADS)

    Kim, Su-Hyun; Merlino, Robert L.

    2006-05-01

    The effect of negative ions on the charging of dust particles in a plasma is investigated experimentally. A plasma containing a very low percentage of electrons is formed in a single-ended SF6 is admitted into the vacuum system. The relatively cold (Te≈0.2eV ) readily attach to SF6 molecules to form SF6- negative ions. Calculations of the dust charge indicate that for electrons, negative ions, and positive ions of comparable temperatures, the charge (or surface potential) of the dust can be positive if the positive ion mass is smaller than the negative ion mass and if ɛ, the ratio of the electron to positive ion density, is sufficiently small. The K+ positive ions (mass 39amu) and SF6- negative ions (mass 146amu), and also utilizes a rotating cylinder to dispense dust into the plasma column. Analysis of the current-voltage characteristics of a Langmuir probe in the dusty plasma shows evidence for the reduction in the (magnitude) of the negative dust charge and the transition to positively charged dust as the relative concentration of the residual electrons is reduced. Some remarks are offered concerning experiments that could become possible in a dusty plasma with positive grains.

  13. Plasma focus ion beam-scaling laws

    NASA Astrophysics Data System (ADS)

    Saw, S. H.

    2014-08-01

    Measurements on plasma focus ion beams include various advanced techniques producing a variety of data which has yet to produce benchmark numbers. Recent numerical experiments using an extended version of the Lee Code has produced reference numbers and scaling trends for number and energy fluence of deuteron beams as functions of stored energy E0. At the pinch exit the ion number fluence (ions m-2) and energy fluence (J m-2) computed as 2.4-7.8×1020 and 2.2-33×106 respectively were found to be independent of E0 from 0.4 - 486 kJ. This work was extended to the ion beams for various gases. The results show that, for a given plasma focus, the fluence, flux, ion number and ion current decrease from the lightest to the heaviest gas except for trend-breaking higher values for Ar fluence and flux. The energy fluence, energy flux, power flow and damage factors are relatively constant from H2 to N2 but increase for Ne, Ar, Kr and Xe due to radiative cooling and collapse effects. This paper reviews this work and in a concluding section attempts to put the accumulating large amounts of data into the form of a scaling law of beam energy Ebeam versus storage energy E0 taking the form for deuteron as: {Ebeam} = 18.2{E}01.23; where Ebeam is in J and E0 is in kJ. It is hoped that the establishment of such scaling laws places on a firm footing the reference quantitative ideas for plasma focus ion beams.

  14. The ionization length in plasmas with finite temperature ion sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jelic, N.; Kos, L.; Duhovnik, J.

    2009-12-15

    The ionization length is an important quantity which up to now has been precisely determined only in plasmas which assume that the ions are born at rest, i.e., in discharges known as 'cold ion-source' plasmas. Presented here are the results of our calculations of the ionization lengths in plasmas with an arbitrary ion source temperature. Harrison and Thompson (H and T) [Proc. Phys. Soc. 74, 145 (1959)] found the values of this quantity for the cases of several ion strength potential profiles in the well-known Tonks-Langmuir [Phys. Rev. 34, 876 (1929)] discharge, which is characterized by 'cold' ion temperature. Thismore » scenario is also known as the 'singular' ion-source discharge. The H and T analytic result covers cases of ion sources proportional to exp(betaPHI) with PHI the normalized plasma potential and beta=0,1,2 values, which correspond to particular physical scenarios. Many years following H and T's work, Bissell and Johnson (B and J) [Phys. Fluids 30, 779 (1987)] developed a model with the so-called 'warm' ion-source temperature, i.e., 'regular' ion source, under B and J's particular assumption that the ionization strength is proportional to the local electron density. However, it appears that B and J were not interested in determining the ionization length at all. The importance of this quantity to theoretical modeling was recognized by Riemann, who recently answered all the questions of the most advanced up-to-date plasma-sheath boundary theory with cold ions [K.-U. Riemann, Phys. Plasmas 13, 063508 (2006)] but still without the stiff warm ion-source case solution, which is highly resistant to solution via any available analytic method. The present article is an extension of H and T's results obtained for a single point only with ion source temperature T{sub n}=0 to arbitrary finite ion source temperatures. The approach applied in this work is based on the method recently developed by Kos et al. [Phys. Plasmas 16, 093503 (2009)].« less

  15. Thermal annealing behavior of nano-size metal-oxide particles synthesized by ion implantation in Fe-Cr alloy

    NASA Astrophysics Data System (ADS)

    Zheng, C.; Gentils, A.; Ribis, J.; Borodin, V. A.; Descoins, M.; Mangelinck, D.; Dalle, F.; Arnal, B.; Delauche, L.

    2017-05-01

    Oxide dispersion strengthened (ODS) steels are promising structural materials for the next generation nuclear reactors, as well as fusion facilities. The detailed understanding of the mechanisms involved in the precipitation of nano-oxides during ODS steel production would strongly contribute to the improvement of the mechanical properties and the optimization of manufacturing of ODS steels, with a potentially strong economic impact for their industrialization. A useful tool for the experimental study of nano-oxide precipitation is ion implantation, a technique that is widely used to synthesize precipitate nanostructures in well-controlled conditions. Earlier, we have demonstrated the feasibility of synthesizing aluminum-oxide particles in the high purity Fe-10Cr alloy by consecutive implantation with Al and O ions at room temperature. This paper describes the effects of high-temperature annealing after the ion implantation stage on the development of the aluminum based oxide nanoparticle system. Using transmission electron microscopy and atom probe tomography experiments, we demonstrate that post-implantation heat treatment induces the growth of the nano-sized oxides in the implanted region and nucleation of new oxide precipitates behind the implantation zone as a result of the diffusion driven broadening of implant profiles. A tentative scenario for the development of metal-oxide nano-particles at both ion implantation and heat treatment stages is suggested based on the experimental observations.

  16. Numerical Analysis of Plasma Transport in Tandem Volume Magnetic Multicusp Ion Sources

    DTIC Science & Technology

    1992-03-01

    the results of the model are qualitatively correct. Boltzmann Equation, Ion Sources, Plasma Simulation, Electron Temperature, Plasma Density, Ion Temperature, Hydrogen Ions, Magnetic Filters, Hydrogen Plasma Chemistry .

  17. Observations of a field-aligned ion/ion-beam instability in a magnetized laboratory plasma

    DOE PAGES

    Heuer, P. V.; Weidl, M. S.; Dorst, R. S.; ...

    2018-03-01

    Collisionless coupling between super Alfvénic ions and an ambient plasma parallel to a background magnetic field is mediated by a set of electromagnetic ion/ion-beam instabilities including the resonant right hand instability (RHI). To study this coupling and its role in parallel shock formation, a new experimental configuration at the University of California, Los Angeles utilizes high-energy and high-repetition-rate lasers to create a super-Alfvénic field-aligned debris plasma within an ambient plasma in the Large Plasma Device. We used a time-resolved fluorescence monochromator and an array of Langmuir probes to characterize the laser plasma velocity distribution and density. The debris ions weremore » observed to be sufficiently super-Alfvénic and dense to excite the RHI. Measurements with magnetic flux probes exhibited a right-hand circularly polarized frequency chirp consistent with the excitation of the RHI near the laser target. To conclude, we compared measurements to 2D hybrid simulations of the experiment.« less

  18. Observations of a field-aligned ion/ion-beam instability in a magnetized laboratory plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Heuer, P. V.; Weidl, M. S.; Dorst, R. S.

    Collisionless coupling between super Alfvénic ions and an ambient plasma parallel to a background magnetic field is mediated by a set of electromagnetic ion/ion-beam instabilities including the resonant right hand instability (RHI). To study this coupling and its role in parallel shock formation, a new experimental configuration at the University of California, Los Angeles utilizes high-energy and high-repetition-rate lasers to create a super-Alfvénic field-aligned debris plasma within an ambient plasma in the Large Plasma Device. We used a time-resolved fluorescence monochromator and an array of Langmuir probes to characterize the laser plasma velocity distribution and density. The debris ions weremore » observed to be sufficiently super-Alfvénic and dense to excite the RHI. Measurements with magnetic flux probes exhibited a right-hand circularly polarized frequency chirp consistent with the excitation of the RHI near the laser target. To conclude, we compared measurements to 2D hybrid simulations of the experiment.« less

  19. Modeling Deuterium Release from Plasma Implanted Surfaces

    NASA Astrophysics Data System (ADS)

    Grossman, A. A.; Doerner, R.; Hirooka, Y.; Luckhardt, S. C.; Sze, F. C.

    1997-11-01

    When energetic ions or atoms of hydrogen isotopes interact with a solid surface, they may either be reflected or they may be implanted, a slowing down process within the subsurface layer of the energetic particles to thermal velocities. Subsequent interactions of the thermalized particles are those of diffusion and trapping within the material and the possibility of re-emission from the solid via desorption. The diffusion equation and its boundary conditions govern the transport of this thermalized hydrogen within the material. Diffusivities obey an Arrhenius law over as much as fourteen orders of magnitude for the temperature range of interest for a fusion reactor first wall and divertor plate. Using TMAP4, a variety of diffusion models are set up for comparison with experiments on PISCES which involve implantation and desorption of deuterium from beryllium, tungsten, carbon and boron carbide. The parameters and characteristics of the models which give the closest fit to the experimental data are reported. At the high fluences of these experiments, it is necessary to take into account saturation effects during implantation using a separate implantation layer with thickness given by TRIM and a higher trapping to lattice ratio than in the bulk in order to model the experimental data.

  20. Synthesis of sponge-like hydrophobic NiBi3 surface by 200 keV Ar ion implantation

    NASA Astrophysics Data System (ADS)

    Siva, Vantari; Datta, D. P.; Chatterjee, S.; Varma, S.; Kanjilal, D.; Sahoo, Pratap K.

    2017-07-01

    Sponge-like nanostructures develop under Ar-ion implantation of a Ni-Bi bilayer with increasing ion fluence at room temperature. The surface morphology features different stages of evolution as a function of ion fluence, finally resulting in a planar surface at the highest fluence. Our investigations on the chemical composition reveal a spontaneous formation of NiBi3 phase on the surface of the as deposited bilayer film. Interestingly, we observe a competition between crystallization and amorphization of the existing poly-crystalline phases as a function of the implanted fluence. Measurements of contact angle by sessile drop method clearly show the ion-fluence dependent hydrophobic nature of the nano-structured surfaces. The wettability has been correlated with the variation in roughness and composition of the implanted surface. In fact, our experimental results confirm dominant effect of ion-sputtering as well as ion-induced mixing at the bilayer interface in the evolution of the sponge-like surface.

  1. Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Koga, Yoshihiro; Kadono, Takeshi; Shigematsu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Okuyama, Ryousuke; Okuda, Hidehiko; Kurita, Kazunari

    2018-06-01

    We propose a fabrication process for silicon wafers by combining carbon-cluster ion implantation and room-temperature bonding for advanced CMOS image sensors. These carbon-cluster ions are made of carbon and hydrogen, which can passivate process-induced defects. We demonstrated that this combination process can be used to form an epitaxial layer on a carbon-cluster ion-implanted Czochralski (CZ)-grown silicon substrate with a high dose of 1 × 1016 atoms/cm2. This implantation condition transforms the top-surface region of the CZ-grown silicon substrate into a thin amorphous layer. Thus, an epitaxial layer cannot be grown on this implanted CZ-grown silicon substrate. However, this combination process can be used to form an epitaxial layer on the amorphous layer of this implanted CZ-grown silicon substrate surface. This bonding wafer has strong gettering capability in both the wafer-bonding region and the carbon-cluster ion-implanted projection range. Furthermore, this wafer inhibits oxygen out-diffusion to the epitaxial layer from the CZ-grown silicon substrate after device fabrication. Therefore, we believe that this bonding wafer is effective in decreasing the dark current and white-spot defect density for advanced CMOS image sensors.

  2. Method for the production of atomic ion species from plasma ion sources

    DOEpatents

    Spence, David; Lykke, Keith

    1998-01-01

    A technique to enhance the yield of atomic ion species (H.sup.+, D.sup.+, O.sup.+, N.sup.+, etc.) from plasma ion sources. The technique involves the addition of catalyzing agents to the ion discharge. Effective catalysts include H.sub.2 O, D.sub.2 O, O.sub.2, and SF.sub.6, among others, with the most effective being water (H.sub.2 O) and deuterated water (D.sub.2 O). This technique has been developed at Argonne National Laboratory, where microwave generated plasmas have produced ion beams comprised of close to 100% purity protons (H.sup.+) and close to 100% purity deuterons (D.sup.+). The technique also increases the total yield of protons and deuterons by converting unwanted ion species, namely, H.sub.2.sup.+,H.sub.3.sup.+ and D.sub.2.sup.+, D.sub.3.sup.+, into the desired ion species, H.sup.+ and D.sup.+, respectively.

  3. Friction wear and auger analysis of iron implanted with 1.5-MeV nitrogen ions

    NASA Technical Reports Server (NTRS)

    Ferrante, J.; Jones, W. R., Jr.

    1982-01-01

    The effect of implantation of 1.5-MeV nitrogen ions on the friction and wear characteristics of pure iron sliding against steel was studied in a pin-on disk apparatus. An implantation dose of 5 x 10 to the 17th power ions/sq cm was used. Small reductions in initial and steady-state wear rates were observed for nitrogen-implanted iron riders as compared with unimplanted controls. Auger electron spectroscopy revealed a subsurface Gaussian nitrogen distribution with a maximum concentration of 15 at. % at a depth of 8 x 10 to the -7th m. A similar analysis within the wear scar of an implanted rider after 20 microns of wear yielded only background nitrogen concentration, thus giving no evidence for diffusion of nitrogen beyond the implanted range.

  4. Ion Streaming Instabilities in Pair Ion Plasma and Localized Structure with Non-Thermal Electrons

    NASA Astrophysics Data System (ADS)

    Nasir Khattak, M.; Mushtaq, A.; Qamar, A.

    2015-12-01

    Pair ion plasma with a fraction of non-thermal electrons is considered. We investigate the effects of the streaming motion of ions on linear and nonlinear properties of unmagnetized, collisionless plasma by using the fluid model. A dispersion relation is derived, and the growth rate of streaming instabilities with effect of streaming motion of ions and non-thermal electrons is calculated. A qausi-potential approach is adopted to study the characteristics of ion acoustic solitons. An energy integral equation involving Sagdeev potential is derived during this process. The presence of the streaming term in the energy integral equation affects the structure of the solitary waves significantly along with non-thermal electrons. Possible application of the work to the space and laboratory plasmas are highlighted.

  5. Improved depth profiling with slow positrons of ion implantation-induced damage in silicon

    NASA Astrophysics Data System (ADS)

    Fujinami, M.; Miyagoe, T.; Sawada, T.; Akahane, T.

    2003-10-01

    Variable-energy positron annihilation spectroscopy (VEPAS) has been extensively applied to study defects in near-surface regions and buried interfaces, but there is an inherent limit for depth resolution due to broadening of the positron implantation profile. In order to overcome this limit and obtain optimum depth resolution, iterative chemical etching of the sample surface and VEPAS measurement are employed. This etch-and-measure technique is described in detail and the capabilities are illustrated by investigating the depth profile of defects in Si after B and P implantations with 2×1014/cm2 at 100 keV followed by annealing. Defect tails can be accurately examined and the extracted defect profile is proven to extend beyond the implanted ion range predicted by the Monte Carlo code TRIM. This behavior is more remarkable for P ion implantation than B, and the mass difference of the implanted ions is strongly related to it. No significant difference is recognized in the annealing behavior between B and P implantations. After annealing at 300 °C, the defect profile is hardly changed, but the ratio of the characteristic Doppler broadening, S, a parameter for defects, to that for the bulk Si rises by 0.01, indicating that divacancies, V2, are transformed into V4. Annealing at more than 500 °C causes diffusion of the defects toward the surface and positron traps are annealed out at 800 °C. It is proved that this resolution-enhanced VEPAS can eliminate some discrepancies in defect profiles extracted by conventional means.

  6. First experimental studies of ion flow in 3 ion species plasmas at the presheath-sheath transition

    NASA Astrophysics Data System (ADS)

    Severn, Greg

    2016-09-01

    The Bohm sheath criterion is studied with laser-induced fluorescence (LIF) in three ion species plasmas using two tunable diode lasers. KrI or HeI is added to a low pressure unmagnetized dc hot filament discharge in a mixture of argon and xenon gas confined by surface multi-dipole magnetic fields. The argon and xenon ion velocity distribution functions are measured at the sheath-presheath boundary near a negatively biased boundary plate. The potential structures of the plasma sheath and presheath are measured by an emissive probe. Results are compared with previous experiments with Ar-Xe plasmas, where the two ion species were observed to reach the sheath edge at nearly the same speed. This speed was the ion sound speed of the system, which is consistent with the generalized Bohm criterion. In such two ion species plasmas instability enhanced collisional friction (IEF) was demonstrated to exist which accounted for the observed results. When three ion species are present, it is demonstrated under most circumstances the ions do not fall out of the plasma at their individual Bohm velocities. It is also shown that under most circumstances the ions do not fall out of the plasma at the system sound speed. Results are consistent with the presence of instabilities. Author gratefully acknowledges collaborators Dr. Noah Hershkowtiz, Dr. Chi-Shung Yip, Dept. of Engineering Physics, Univ. Wisconsin-Madison, and Dr. Scott Baalrud, Dept. Physics, Univ. Iowa. Thanks to US DOE, grant DE-SC00014226.

  7. Survey of Voyager plasma science ions at Jupiter: 1. Analysis method

    NASA Astrophysics Data System (ADS)

    Bagenal, F.; Dougherty, L. P.; Bodisch, K. M.; Richardson, J. D.; Belcher, J. M.

    2017-08-01

    The Voyagers 1 and 2 spacecraft flew by Jupiter in March and July of 1979, respectively. The Plasma Science instrument (PLS) acquired detailed measurements of the plasma environment in the equatorial region of the magnetosphere between 4.9 and 4 RJ. While bulk plasma properties such as charge density, ion temperature, and bulk flow were reasonably well determined, the ion composition was only well constrained in occasional regions of cold plasma. The ion data obtained by the PLS instrument have been reanalyzed using physical chemistry models to constrain the composition and reduce the number of free parameters, particularly in regions of hotter plasma. This paper describes the method used for fitting the plasma data and presents the results versus time. Two companion papers describe the composition of heavy ions and present analysis of protons plus other minor ions.

  8. Variations of High-Energy Ions during Fast Plasma Flows and Dipolarization in the Plasma Sheet: Comparison Among Different Ion Species

    NASA Astrophysics Data System (ADS)

    Ohtani, S.; Nose, M.; Miyashita, Y.; Lui, A.

    2014-12-01

    We investigate the responses of different ion species (H+, He+, He++, and O+) to fast plasma flows and local dipolarization in the plasma sheet in terms of energy density. We use energetic (9-210 keV) ion composition measurements made by the Geotail satellite at r = 10~31 RE. The results are summarized as follows: (1) whereas the O+-to-H+ ratio decreases with earthward flow velocity, it increases with tailward flow velocity with Vx dependence steeper for perpendicular flows than for parallel flows; (2) for fast earthward flows, the energy density of each ion species increases without any clear preference for heavy ions; (3) for fast tailward flows the ion energy density increases initially, then it decreases to below pre-flow levels except for O+; (4) the O+-to-H+ ratio does not increase through local dipolarization irrespective of dipolarization amplitude, background BZ, X distance, and VX; (5) in general, the H+ and He++ ions behave similarly. Result (1) can be attributed to radial transport along with the earthward increase of the background O+-to-H+ ratio. Results (2) and (4) indicate that ion energization associated with local dipolarization is not mass-dependent possibly because in the energy range of our interest the ions are not magnetized irrespective of species. In the tailward outflow region of reconnection, where the plasma sheet becomes thinner, the H+ ions escape along the field line more easily than the O+ ions, which possibly explains result (3). Result (5) suggests that the solar wind is the primary source of the high-energy H+ ions.

  9. Plasma ion stratification by weak planar shocks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simakov, Andrei N.; Keenan, Brett D.; Taitano, William T.

    We derive fluid equations for describing steady-state planar shocks of a moderate strength (0 < M - 1 ≲ 1 with M the shock Mach number) propagating through an unmagnetized quasineutral collisional plasma comprising two separate ion species. In addition to the standard fluid shock quantities, such as the total mass density, mass-flow velocity, and electron and average ion temperatures, the equations describe shock stratification in terms of variations in the relative concentrations and temperatures of the two ion species along the shock propagation direction. We have solved these equations analytically for weak shocks (0 < M - 1 <ion masses and charges, and the upstream mass fraction of one of the ion species. These analytical results are instrumental for gaining understanding in the behavior of weak shocks, and they have been used to verify kinetic simulations of shocks in multi-ion plasmas.« less

  10. Plasma Ion Stratification by Weak Planar Shocks

    NASA Astrophysics Data System (ADS)

    Simakov, A. N.; Keenan, B. D.; Taitano, W. T.; Chacón, L.

    2017-10-01

    We derive fluid equations for describing steady-state planar shocks of a moderate strength (0plasma comprising two separate ion species. In addition to the standard fluid quantities, such as the total mass density, mass-flow velocity, and electron and average ion temperatures, the equations describe shock stratification in terms of variations in the relative concentrations and temperatures of the two ion species along the shock propagation direction. We have solved these equations analytically for weak shocks (0ion masses and charges, and the upstream mass fraction of one of the ion species. These analytical results are instrumental for gaining understanding in the behavior of weak shocks, and they have been used to verify kinetic simulations of shocks in multi-ion plasmas. Work supported by the US Department of Energy.

  11. Plasma ion stratification by weak planar shocks

    NASA Astrophysics Data System (ADS)

    Simakov, Andrei N.; Keenan, Brett D.; Taitano, William T.; Chacón, Luis

    2017-09-01

    We derive fluid equations for describing steady-state planar shocks of a moderate strength ( 0 plasma comprising two separate ion species. In addition to the standard fluid shock quantities, such as the total mass density, mass-flow velocity, and electron and average ion temperatures, the equations describe shock stratification in terms of variations in the relative concentrations and temperatures of the two ion species along the shock propagation direction. We have solved these equations analytically for weak shocks ( 0 ion masses and charges, and the upstream mass fraction of one of the ion species. These analytical results are instrumental for gaining understanding of the behavior of weak shocks, and they have been used to verify kinetic simulations of shocks in multi-ion plasmas.

  12. Plasma ion stratification by weak planar shocks

    DOE PAGES

    Simakov, Andrei N.; Keenan, Brett D.; Taitano, William T.; ...

    2017-08-01

    We derive fluid equations for describing steady-state planar shocks of a moderate strength (0 < M - 1 ≲ 1 with M the shock Mach number) propagating through an unmagnetized quasineutral collisional plasma comprising two separate ion species. In addition to the standard fluid shock quantities, such as the total mass density, mass-flow velocity, and electron and average ion temperatures, the equations describe shock stratification in terms of variations in the relative concentrations and temperatures of the two ion species along the shock propagation direction. We have solved these equations analytically for weak shocks (0 < M - 1 <ion masses and charges, and the upstream mass fraction of one of the ion species. These analytical results are instrumental for gaining understanding in the behavior of weak shocks, and they have been used to verify kinetic simulations of shocks in multi-ion plasmas.« less

  13. Preliminary scaling laws for plasma current, ion kinetic temperature, and plasma number density in the NASA Lewis bumpy torus plasma

    NASA Technical Reports Server (NTRS)

    Roth, J. R.

    1976-01-01

    Parametric variation of independent variables which may affect the characteristics of bumpy torus plasma have identified those which have a significant effect on the plasma current, ion kinetic temperature, and plasma number density, and those which do not. Empirical power law correlations of the plasma current, and the ion kinetic temperature and number density were obtained as functions of potential applied to the midplane electrode rings, the background neutral gas pressure, and the magnetic field strength. Additional parameters studied included the type of gas, the polarity of the midplane electrode rings, the mode of plasma operation, and the method of measuring the plasma number density. No significant departures from the scaling laws appear to occur at the highest ion kinetic temperatures or number densities obtained to date.

  14. Synthesis of graphene and graphene nanostructures by ion implantation and pulsed laser annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiaotie; Rudawski, Nicholas G.; Appleton, Bill R.

    2016-07-14

    In this paper, we report a systematic study that shows how the numerous processing parameters associated with ion implantation (II) and pulsed laser annealing (PLA) can be manipulated to control the quantity and quality of graphene (G), few-layer graphene (FLG), and other carbon nanostructures selectively synthesized in crystalline SiC (c-SiC). Controlled implantations of Si{sup −} plus C{sup −} and Au{sup +} ions in c-SiC showed that both the thickness of the amorphous layer formed by ion damage and the doping effect of the implanted Au enhance the formation of G and FLG during PLA. The relative contributions of the amorphousmore » and doping effects were studied separately, and thermal simulation calculations were used to estimate surface temperatures and to help understand the phase changes occurring during PLA. In addition to the amorphous layer thickness and catalytic doping effects, other enhancement effects were found to depend on other ion species, the annealing environment, PLA fluence and number of pulses, and even laser frequency. Optimum II and PLA conditions are identified and possible mechanisms for selective synthesis of G, FLG, and carbon nanostructures are discussed.« less

  15. Structural analysis of ion-implanted chemical-vapor-deposited diamond by transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Jiang, N.; Deguchi, M.; Wang, C. L.; Won, J. H.; Jeon, H. M.; Mori, Y.; Hatta, A.; Kitabatake, M.; Ito, T.; Hirao, T.; Sasaki, T.; Hiraki, A.

    1997-04-01

    A transmission electron microscope (TEM) study of ion-implanted chemical-vapor-deposited (CVD) diamond is presented. CVD diamond used for transmission electron microscope observation was directly deposited onto Mo TEM grids. As-deposited specimens were irradiated by C (100 keV) ions at room temperature with a wide range of implantation doses (10 12-10 17/cm 2). Transmission electron diffraction (TED) patterns indicate that there exists a critical dose ( Dc) for the onset of amorphization of CVD diamond as a result of ion induced damage and the value of critical dose is confirmed to be about 3 × 10 15/cm 2. The ion-induced transformation process is clearly revealed by high resolution electron microscope (HREM) images. For a higher dose implantation (7 × 10 15/cm 2) a large amount of diamond phase is transformed into amorphous carbon and many tiny misoriented diamond blocks are found to be left in the amorphous solid. The average size of these misoriented diamond blocks is only about 1-2 nm. Further bombardment (10 17/cm 2) almost kills all of the diamond phase within the irradiated volume and moreover leads to local formation of micropolycrystalline graphite.

  16. Ion sampling and transport in Inductively Coupled Plasma Mass Spectrometry

    NASA Astrophysics Data System (ADS)

    Farnsworth, Paul B.; Spencer, Ross L.

    2017-08-01

    Quantitative accuracy and high sensitivity in inductively coupled plasma mass spectrometry (ICP-MS) depend on consistent and efficient extraction and transport of analyte ions from an inductively coupled plasma to a mass analyzer, where they are sorted and detected. In this review we examine the fundamental physical processes that control ion sampling and transport in ICP-MS and compare the results of theory and computerized models with experimental efforts to characterize the flow of ions through plasma mass spectrometers' vacuum interfaces. We trace the flow of ions from their generation in the plasma, into the sampling cone, through the supersonic expansion in the first vacuum stage, through the skimmer, and into the ion optics that deliver the ions to the mass analyzer. At each stage we consider idealized behavior and departures from ideal behavior that affect the performance of ICP-MS as an analytical tool.

  17. Study of ion-ion plasma formation in negative ion sources by a three-dimensional in real space and three-dimensional in velocity space particle in cell model

    NASA Astrophysics Data System (ADS)

    Nishioka, S.; Goto, I.; Miyamoto, K.; Hatayama, A.; Fukano, A.

    2016-01-01

    Recently, in large-scale hydrogen negative ion sources, the experimental results have shown that ion-ion plasma is formed in the vicinity of the extraction hole under the surface negative ion production case. The purpose of this paper is to clarify the mechanism of the ion-ion plasma formation by our three dimensional particle-in-cell simulation. In the present model, the electron loss along the magnetic filter field is taken into account by the " √{τ///τ⊥ } model." The simulation results show that the ion-ion plasma formation is due to the electron loss along the magnetic filter field. Moreover, the potential profile for the ion-ion plasma case has been looked into carefully in order to discuss the ion-ion plasma formation. Our present results show that the potential drop of the virtual cathode in front of the plasma grid is large when the ion-ion plasma is formed. This tendency has been explained by a relationship between the virtual cathode depth and the net particle flux density at the virtual cathode.

  18. Measurements of ion species separation in strong plasma shocks

    NASA Astrophysics Data System (ADS)

    Rinderknecht, Hans

    2017-10-01

    Shocks are important dynamic phenomena in inertial confinement fusion (ICF) and astrophysical plasmas. While the relationship between upstream and downstream plasmas far from the shock front is fully determined by conservation equations, the structure of shock fronts is determined by dynamic kinetic processes. Kinetic theory and simulations predict that the width of a strong (M >2) collisional plasma shock front is on the order of tens of ion mean-free-paths. The shock front structure plays an important role for overall dynamics when the shock front width approaches plasma scale lengths, as in the spherically converging shock in the DT-vapor in an ICF implosion. However, there has been no experimental data benchmarking shock front structure in the plasma phase. The structure of a shock front in a plasma with multiple ion species has been directly measured for the first time using a combination of Thomson scattering and proton radiography in experiments on the OMEGA laser. Thomson scattering of a 263.25 nm probe beam is used to diagnose electron density, electron and ion temperature, ion species concentration, and flow velocity in strong shocks (M 5) propagating through low-density (ρ 0.1 mg/cc) plasmas composed of H(98%) +Ne(2%). Within the shock front, velocity separation of the ion species is observed for the first time: the light species (H) accelerates to of order the shocked fluid velocity (450 microns/ns) before the heavy species (Ne) begins to move. This velocity-space separation implies that the separation of ion species occurs at the shock front, a predicted feature of shocks in multi-species plasmas but never observed experimentally until now. Comparison of experimental data with PIC, Vlasov-Fokker-Planck, and multi-component hydrodynamic simulations will be presented.

  19. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  20. Certified ion implantation fluence by high accuracy RBS.

    PubMed

    Colaux, Julien L; Jeynes, Chris; Heasman, Keith C; Gwilliam, Russell M

    2015-05-07

    From measurements over the last two years we have demonstrated that the charge collection system based on Faraday cups can robustly give near-1% absolute implantation fluence accuracy for our electrostatically scanned 200 kV Danfysik ion implanter, using four-point-probe mapping with a demonstrated accuracy of 2%, and accurate Rutherford backscattering spectrometry (RBS) of test implants from our quality assurance programme. The RBS is traceable to the certified reference material IRMM-ERM-EG001/BAM-L001, and involves convenient calibrations both of the electronic gain of the spectrometry system (at about 0.1% accuracy) and of the RBS beam energy (at 0.06% accuracy). We demonstrate that accurate RBS is a definitive method to determine quantity of material. It is therefore useful for certifying high quality reference standards, and is also extensible to other kinds of samples such as thin self-supporting films of pure elements. The more powerful technique of Total-IBA may inherit the accuracy of RBS.

  1. Method for the production of atomic ion species from plasma ion sources

    DOEpatents

    Spence, D.; Lykke, K.

    1998-08-04

    A technique to enhance the yield of atomic ion species (H{sup +}, D{sup +}, O{sup +}, N{sup +}, etc.) from plasma ion sources. The technique involves the addition of catalyzing agents to the ion discharge. Effective catalysts include H{sub 2}O, D{sub 2}O, O{sub 2}, and SF{sub 6}, among others, with the most effective being water (H{sub 2}O) and deuterated water (D{sub 2}O). This technique has been developed at Argonne National Laboratory, where microwave generated plasmas have produced ion beams comprised of close to 100% purity protons (H{sup +}) and close to 100% purity deuterons (D{sup +}). The technique also increases the total yield of protons and deuterons by converting unwanted ion species, namely, H{sub 2}{sup +}, H{sub 3}{sup +} and D{sub 2}{sup +}, D{sub 3}{sup +}, into the desired ion species, H{sup +} and D{sup +}, respectively. 4 figs.

  2. Turbulent cascade in a two-ion plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qiu, Xin; Faculty of Information Engineering, Jiangxi University of Science and Technology, Ganzhou 341000; Liu, San-Qiu, E-mail: sqlgroup@ncu.edu.cn

    2014-11-15

    It is shown that small but finite-amplitude drift wave turbulence in a two-ion-species plasma can be modeled by a Hasegawa-Mima equation. The mode cascade process and resulting turbulent spectrum are investigated. The spectrum is found to be similar to that of a two-component plasma, but the space and time scales of the turbulent cascade process can be quite different since they are rescaled by the presence of the second ion species.

  3. Development of Bipolar Pulse Accelerator for Pulsed Ion Beam Implantation to Semiconductor

    NASA Astrophysics Data System (ADS)

    Masugata, Katsumi; Kawahara, Yoshihiro; Mitsui, Chihiro; Kitamura, Iwao; Takahashi, Takakazu; Tanaka, Yasunori; Tanoue, Hisao; Arai, Kazuo

    2002-12-01

    To improve the purity of the ion beams new type of pulsed power ion accelerator named "bipolar pulse accelerator" was proposed. The accelerator consists of two acceleration gaps (an ion source gap and a post acceleration gap) and a drift tube, and a bipolar pulse is applied to the drift tube to accelerate the beam. In the accelerator intended ions are selectively accelerated and the purity of the ion beam is enhanced. As the first step of the development of the accelerator, a Br-type magnetically insulated acceleration gap is developed. The gap has an ion source of coaxial gas puff plasma gun on the grounded anode and a negative pulse is applied to the cathode to accelerate the ion beam. By using the plasma gun, ion source plasma (nitrogen) of current density around 100 A/cm2 is obtained. In the paper, the experimental results of the evaluation of the ion beam and the characteristics of the gap are shown with the principle and the design concept of the proposed accelerator.

  4. Influence of 400 keV carbon ion implantation on structural, optical and electrical properties of PMMA

    NASA Astrophysics Data System (ADS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Sagheer, Riffat; Naab, Fabian; Toader, Ovidiu; Mahmood, Arshad; Rashid, Rashad; Mahmood, Mazhar

    2015-09-01

    Ion implantation is a useful technique to modify surface properties of polymers without altering their bulk properties. The objective of this work is to explore the 400 keV C+ ion implantation effects on PMMA at different fluences ranging from 5 × 1013 to 5 × 1015 ions/cm2. The surface topographical examination of irradiated samples has been performed using Atomic Force Microscope (AFM). The structural and chemical modifications in implanted PMMA are examined by Raman and Fourier Infrared Spectroscopy (FTIR) respectively. The effects of carbon ion implantation on optical properties of PMMA are investigated by UV-Visible spectroscopy. The modifications in electrical conductivity have been measured using a four point probe technique. AFM images reveal a decrease in surface roughness of PMMA with an increase in ion fluence from 5 × 1014 to 5 × 1015 ions/cm2. The existence of amorphization and sp2-carbon clusterization has been confirmed by Raman and FTIR spectroscopic analysis. The UV-Visible data shows a prominent red shift in absorption edge as a function of ion fluence. This shift displays a continuous reduction in optical band gap (from 3.13 to 0.66 eV) due to formation of carbon clusters. Moreover, size of carbon clusters and photoconductivity are found to increase with increasing ion fluence. The ion-induced carbonaceous clusters are believed to be responsible for an increase in electrical conductivity of PMMA from (2.14 ± 0.06) × 10-10 (Ω-cm)-1 (pristine) to (0.32 ± 0.01) × 10-5 (Ω-cm)-1 (irradiated sample).

  5. Modeling of low pressure plasma sources for microelectronics fabrication

    NASA Astrophysics Data System (ADS)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Likhanskii, Alexandre; Rauf, Shahid

    2017-10-01

    Chemically reactive plasmas operating in the 1 mTorr-10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift.

  6. The Thermal Ion Dynamics Experiment and Plasma Source Instrument

    NASA Technical Reports Server (NTRS)

    Moore, T. E.; Chappell, C. R.; Chandler, M. O.; Fields, S. A.; Pollock, C. J.; Reasoner, D. L.; Young, D. T.; Burch, J. L.; Eaker, N.; Waite, J. H., Jr.; hide

    1995-01-01

    The Thermal Ion Dynamics Experiment (TIDE) and the Plasma Source Instrument (PSI) have been developed in response to the requirements of the ISTP Program for three-dimensional (3D) plasma composition measurements capable of tracking the circulation of low-energy (0-500 eV) plasma through the polar magnetosphere. This plasma is composed of penetrating magnetosheath and escaping ionospheric components. It is in part lost to the downstream solar wind and in part recirculated within the magnetosphere, participating in the formation of the diamagnetic hot plasma sheet and ring current plasma populations. Significant obstacles which have previously made this task impossible include the low density and energy of the outflowing ionospheric plasma plume and the positive spacecraft floating potentials which exclude the lowest-energy plasma from detection on ordinary spacecraft. Based on a unique combination of focusing electrostatic ion optics and time of flight detection and mass analysis, TIDE provides the sensitivity (seven apertures of about 1 cm squared effective area each) and angular resolution (6 x 18 degrees) required for this purpose. PSI produces a low energy plasma locally at the POLAR spacecraft that provides the ion current required to balance the photoelectron current, along with a low temperature electron population, regulating the spacecraft potential slightly positive relative to the space plasma. TIDE/PSI will: (a) measure the density and flow fields of the solar and terrestrial plasmas within the high polar cap and magnetospheric lobes; (b) quantify the extent to which ionospheric and solar ions are recirculated within the distant magnetotail neutral sheet or lost to the distant tail and solar wind; (c) investigate the mass-dependent degree energization of these plasmas by measuring their thermodynamic properties; (d) investigate the relative roles of ionosphere and solar wind as sources of plasma to the plasma sheet and ring current.

  7. The detection of He in tungsten following ion implantation by laser-induced breakdown spectroscopy

    NASA Astrophysics Data System (ADS)

    Shaw, G.; Bannister, M.; Biewer, T. M.; Martin, M. Z.; Meyer, F.; Wirth, B. D.

    2018-01-01

    Laser-induced breakdown spectroscopy (LIBS) results are presented that provide depth-resolved identification of He implanted in polycrystalline tungsten (PC-W) targets by a 200 keV He+ ion beam, with a surface temperature of approximately 900 °C and a peak fluence of 1023 m-2. He retention, and the influence of He on deuterium and tritium recycling, permeation, and retention in PC-W plasma facing components are important questions for the divertor and plasma facing components in a fusion reactor, yet are difficult to quantify. The purpose of this work is to demonstrate the ability of LIBS to identify helium in tungsten; to investigate the sensitivity of laser parameters including, laser energy and gate delay, that directly influence the sensitivity and depth resolution of LIBS; and to perform a proof-of-principle experiment using LIBS to measure relative He intensities as a function of depth. The results presented demonstrate the potential not only to identify helium but also to develop a methodology to quantify gaseous impurity concentration in PC-W as a function of depth.

  8. Numerical simulation of plasma processes driven by transverse ion heating

    NASA Technical Reports Server (NTRS)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  9. Nanocrystalline SnO2 formation by oxygen ion implantation in tin thin films

    NASA Astrophysics Data System (ADS)

    Kondkar, Vidya; Rukade, Deepti; Kanjilal, Dinakar; Bhattacharyya, Varsha

    2018-03-01

    Metallic tin thin films of thickness 100 nm are deposited on fused silica substrates by thermal evaporation technique. These films are implanted with 45 keV oxygen ions at fluences ranging from 5 × 1015 to 5 × 1016 ions cm-2. The energy of the oxygen ions is calculated using SRIM in order to form embedded phases at the film-substrate interface. Post-implantation, films are annealed using a tube furnace for nanocrystalline tin oxide formation. These films are characterized using x-ray diffraction, Raman spectroscopy, UV-vis spectroscopy and photoluminescence spectroscopy. XRD and Raman spectroscopy studies reveal the formation of single rutile phase of SnO2. The size of the nanocrystallites formed decreases with an increase in the ion fluence. The nanocrystalline SnO2 formation is also confirmed by UV-vis and photoluminescence spectroscopy.

  10. Two-dimensional modulated ion-acoustic excitations in electronegative plasmas

    NASA Astrophysics Data System (ADS)

    Panguetna, Chérif S.; Tabi, Conrad B.; Kofané, Timoléon C.

    2017-09-01

    Two-dimensional modulated ion-acoustic waves are investigated in an electronegative plasma. Through the reductive perturbation expansion, the governing hydrodynamic equations are reduced to a Davey-Stewartson system with two-space variables. The latter is used to study the modulational instability of ion-acoustic waves along with the effect of plasma parameters, namely, the negative ion concentration ratio (α) and the electron-to-negative ion temperature ratio (σn). A parametric analysis of modulational instability is carried out, where regions of plasma parameters responsible for the emergence of modulated ion-acoustic waves are discussed, with emphasis on the behavior of the instability growth rate. Numerically, using perturbed plane waves as initial conditions, parameters from the instability regions give rise to series of dromion solitons under the activation of modulational instability. The sensitivity of the numerical solutions to plasma parameters is discussed. Some exact solutions in the form one- and two-dromion solutions are derived and their response to the effect of varying α and σn is discussed as well.

  11. Ion implantation effects in 'cosmic' dust grains

    NASA Technical Reports Server (NTRS)

    Bibring, J. P.; Langevin, Y.; Maurette, M.; Meunier, R.; Jouffrey, B.; Jouret, C.

    1974-01-01

    Cosmic dust grains, whatever their origin may be, have probably suffered a complex sequence of events including exposure to high doses of low-energy nuclear particles and cycles of turbulent motions. High-voltage electron microscope observations of micron-sized grains either naturally exposed to space environmental parameters on the lunar surface or artificially subjected to space simulated conditions strongly suggest that such events could drastically modify the mineralogical composition of the grains and considerably ease their aggregation during collisions at low speeds. Furthermore, combined mass spectrometer and ionic analyzer studies show that small carbon compounds can be both synthesized during the implantation of a mixture of low-energy D, C, N ions in various solids and released in space by ion sputtering.

  12. Process Control in Production-Worthy Plasma Doping Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Winder, Edmund J.; Fang Ziwei; Arevalo, Edwin

    2006-11-13

    As the semiconductor industry continues to scale devices of smaller dimensions and improved performance, many ion implantation processes require lower energy and higher doses. Achieving these high doses (in some cases {approx}1x1016 ions/cm2) at low energies (<3 keV) while maintaining throughput is increasingly challenging for traditional beamline implant tools because of space-charge effects that limit achievable beam density at low energies. Plasma doping is recognized as a technology which can overcome this problem. In this paper, we highlight the technology available to achieve process control for all implant parameters associated with modem semiconductor manufacturing.

  13. Are ion acoustic waves supported by high-density plasmas in the Large Plasma Device (LaPD)?

    NASA Astrophysics Data System (ADS)

    Roycroft, Rebecca; Dorfman, Seth; Carter, Troy A.; Gekelman, Walter; Tripathi, Shreekrishna

    2012-10-01

    Ion acoustic waves are a type of longitudinal wave in a plasma, propagating though the motion of the ions. The wave plays a key role in a parametric decay process thought to be responsible for the spectrum of turbulence observed in the solar wind. In recent LaPD experiments aimed at studying this process, modes thought to be ion acoustic waves are strongly damped when the pump Alfven waves are turned off. This observation motivates an experiment focused on directly launching ion acoustic waves under similar conditions. Our first attempt to launch ion acoustic waves using a metal grid in the plasma was unsuccessful at high magnetic fields and densities due to electrons shorting out the bias applied between the grid and the wall. Results from a new device based on [1] to launch ion acoustic waves will be presented; this device will consist of a small chamber with a plasma source separated from the main chamber by two biased grids. The plasma created inside the small device will be held at a different potential from the main plasma; modulation of this difference should affect the ions, allowing ion acoustic waves to be launched and their properties compared to the prior LaPD experiments.[4pt] [1] W. Gekelman and R. L. Stenzel, Phys. Fluids 21, 2014 (1978).

  14. Synergistic Effects of Iodine and Silver Ions Co-Implanted in 6H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuhudzai, Remeredzai J.; Malherbe, Johan; Hlatshwayo, T. T.

    2015-10-23

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H-SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H-SiC and their subsequent annealing behavior has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag inmore » the co-implanted samples after annealing at 1500 ºC for 30 hours in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H-SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings.« less

  15. Plasma & reactive ion etching to prepare ohmic contacts

    DOEpatents

    Gessert, Timothy A.

    2002-01-01

    A method of making a low-resistance electrical contact between a metal and a layer of p-type CdTe surface by plasma etching and reactive ion etching comprising: a) placing a CdS/CdTe layer into a chamber and evacuating said chamber; b) backfilling the chamber with Argon or a reactive gas to a pressure sufficient for plasma ignition; and c) generating plasma ignition by energizing a cathode which is connected to a power supply to enable the plasma to interact argon ions alone or in the presence of a radio-frequency DC self-bias voltage with the p-CdTe surface.

  16. Observations of the 3-D distribution of interplanetary electrons and ions from solar wind plasma to low energy cosmic rays

    NASA Technical Reports Server (NTRS)

    Lin, R. P.; Anderson, K. A.; Ashford, S.; Carlson, C.; Curtis, D.; Ergun, R.; Larson, D.; McFadden, J.; McCarthy, M.; Parks, G. K.

    1995-01-01

    The 3-D Plasma and Energetic Particle instrument on the GGS Wind spacecraft (launched November 1, 1994) is designed to make measurements of the full three-dimensional distribution of suprathermal electrons and ions from solar wind plasma to low energy cosmic rays, with high sensitivity, wide dynamic range, good energy and angular resolution, and high time resolution. Three pairs of double-ended telescopes, each with two or three closely sandwiched passivated ion implanted silicon detectors measure electrons and ions from approximately 20 keV to greater than or equal to 300 keV. Four top-hat symmetrical spherical section electrostatic analyzers with microchannel plate detectors, a large and a small geometric factor analyzer for electrons and a similar pair for ions, cover from approximately 3 eV to 30 keV. We present preliminary observations of the electron and ion distributions in the absence of obvious solar impulsive events and upstream particles. The quiet time electron energy spectrum shows a smooth approximately power law fall-off extending from the halo population at a few hundred eV to well above approximately 100 keV The quiet time ion energy spectrum also shows significant fluxes over this energy range. Detailed 3-D distributions and their temporal variations will be presented.

  17. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  18. Direct experimental evidence of ion-ion co-stream instability excited in the sheath-presheath of Ar +He two-ion species plasma

    NASA Astrophysics Data System (ADS)

    Kella, Vara Prasad; Ghosh, Joydeep; Chattopadhyay, Prabal; Sharma, Devendra; Saxena, Yogesh

    2017-10-01

    Recent experimental measurements of ion flow speeds near the sheath edge of two-ion species plasma shows that, the ions reach the sheath edge with common sound speed other than their individual Bohm speeds at nearly equal ion concentrations. Baalrud et al., explain these results on the basis of ion-ion two-stream instability enhanced collisional friction between the ions. Some authors stipulate the existence of the instability indirectly, by measuring the ion flow speeds near the sheath edge. In these experiments, the instability is directly observed from the floating potential fluctuations from Langmuir probe placed near the sheath edge and from grid in Ar +He plasma. The frequency spectra shows broad band peaks with central frequency in the range 150-200 kHz. The intensity of the instability maximizes in the plasma produced with approximately equal ion concentrations of both the ion species. The frequency and amplitude of the peak decreases as the He+ to Ar+ concentration ratio decreases from unity. The phase velocity of the wave is measured as 11 +/-2 km/s and identified to be twice the ion-sound speed in the bulk ( 6.3 km/s), which is good agreement with earlier results of IAWs. The measured wave number and frequencies are compared with the theoretical dispersion relations. These observations confirm the existence of ion-ion co-stream instability in sheath-presheath of two-ion species plasma.

  19. Ion Composition in Saturn's Plasma Environment: Early Results from the Cassini Plasma Spectrometer

    NASA Technical Reports Server (NTRS)

    Reisenfeld, D. B.; Baragiola, R. A.; Crary, F. J.; Coates, A. J.; Goldstein, R.; Hill, T. W.; Johnson, R. E.; McComas, D. J.; Sittler, E. C.; Shappirio, M. D.

    2005-01-01

    Prior to Cassini s arrival at Saturn, most of what was known about the composition of the plasma in Saturn s environment was derived from limited measurements by Pioneer 11 and Voyager 1 and 2 in 1979-1981[1-3]. The measurements reported here were made by the Cassini Plasma Spectrometer (CAPS) [4] during the first two Cassini orbits, including the closest approach to Saturn and the rings during the tour, and a close flyby of Titan. The CAPS instrument resolves ion energy/charge from 1 V to 50 kV and ion mass/charge from 1 to approx.100 amu/e, and it measures electron energy from 1 eV to 28 keV. Initial composition measurements of Saturn s magnetosphere show that protons dominate outside approx.8 R(sub s), while inside this radius the plasma is dominated by a mix of water-derived ions and N(+). Over the A and B rings a plasma layer is observed composed of O2(+) and O(+) . The close passage near Titan shows a rich network of both positive and negative molecular ions. We report preliminary analysis of these and other composition findings.

  20. The effects on γ-LiAlO2 induced by nuclear energy losses during Ga ions implantation

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Song, Hong-Lian; Qiao, Mei; Yu, Xiao-Fei; Wang, Tie-Jun; Wang, Xue-Lin

    2017-09-01

    To explore the evolution of γ-LiAlO2 under ion irradiation at low energy, we implanted Ga ions of 30, 80 and 150 keV at fluences of 1 × 1014 and 1 × 1015 ions/cm2 in z-cut γ-LiAlO2 samples, respectively. The implantation resulted in damage regions dominated by nuclear energy losses at depth of 232 Å, 514 Å, and 911 Å beneath the surface, respectively, which was simulated by the Stopping and Range of Ions in Matter program. The irradiated γ-LiAlO2 were characterized with atomic force microscope, Raman spectroscopy, X-ray diffraction and Rutherford backscattering in a channeling mode for morphology evolution, structure information and damage profiles. The interesting and partly abnormal results showed the various behaviors in modification of surface by Ga ions implantation.

  1. Accessibility condition of wave propagation and multicharged ion production in electron cyclotron resonance ion source plasma.

    PubMed

    Kato, Yushi; Yano, Keisuke; Nishiokada, Takuya; Nagaya, Tomoki; Kimura, Daiju; Kumakura, Sho; Imai, Youta; Hagino, Shogo; Otsuka, Takuro; Sato, Fuminobu

    2016-02-01

    A new tandem type source of electron cyclotron resonance (ECR) plasmas has been constructing for producing synthesized ion beams in Osaka University. Magnetic mirror field configuration with octupole magnets can be controlled to various shape of ECR zones, namely, in the 2nd stage plasma to be available by a pair mirror and a supplemental coil. Noteworthy correlations between these magnetic configurations and production of multicharged ions are investigated in detail, as well as their optimum conditions. We have been considering accessibility condition of electromagnetic and electrostatic waves propagating in ECR ion source plasma, and then investigated their correspondence relationships with production of multicharged ions. It has been clarified that there exits efficient configuration of ECR zones for producing multicharged ion beams experimentally, and then has been suggested from detail accessibility conditions on the ECR plasma that new resonance, i.e., upper hybrid resonance, must have occurred.

  2. Spatial Studies of Ion Beams in an Expanding Plasma

    NASA Astrophysics Data System (ADS)

    Aguirre, Evan; Good, Timothy; Scime, Earl; Thompson, Derek

    2017-10-01

    We report spatially resolved perpendicular and parallel ion velocity distribution function (IVDF) measurements in an expanding argon helicon plasma. The parallel IVDFs, obtained through laser induced fluorescence (LIF), show an ion beam with v 8 km/s flowing downstream that is confined to the center of the discharge. The ion beam is confined to within a few centimeters radially and is measurable for tens of centimeters axially before the LIF signal fades, likely a result of metastable quenching of the beam ions. The axial ion beam velocity slows in agreement with collisional processes. The perpendicular IVDFs show an ion population with a radially outward flow that increases with radial location. The DC electric field, electron temperature, and the plasma density in the double layer plume are all consistent with magnetic field aligned structures. The upstream and downstream electric field measurements show clear evidence of an ion hole that maps along the magnetic field at the edge of the plasma. Current theories and simulations of double layers, which are one-dimensional, completely miss these critically important two-dimensional features.

  3. The effects of ion implantation on the beaks of orthodontic pliers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mizrahi, E.; Cleaton-Jones, P.E.; Luyckz, S.

    1991-06-01

    The surface of stainless steel may be hardened by bombarding the material with a stream of nitrogen ions generated by a nuclear accelerator. In the present study this technique was used to determine the hardening effect of ion implantation on the beaks of stainless steel orthodontic pliers. Ten orthodontic pliers (Dentarum 003 094) were divided into two equal groups, designated control and experimental. The beaks of the experimental pliers were subjected to ion implantation, after which the tips of the beaks of all the pliers were stressed in an apparatus attached to an Instron testing machine. A cyclical load ofmore » 500 N was applied to the handles of the pliers, while a 0.9 mm (0.036 inch) round, stainless steel wire was held between the tips of the beaks. The effect of the stress was assessed by measurement with a traveling microscope of the gap produced between the tips of the beaks. Measurements were taken before loading and after 20, 40, 60, and 80 cycles. Statistical analysis of variance and the two-sample t tests indicated that there was a significant increase in the size of the gap as the pliers were stressed from 0 to 80 cycles (p less than 0.001). Furthermore, the mean gap was significantly greater in the control group than in the experimental group (p less than 0.001). This study suggests that ion implantation increases the hardness of the tips of the beaks of orthodontic pliers.« less

  4. Impact of Mg-ion implantation with various fluence ranges on optical properties of n-type GaN

    NASA Astrophysics Data System (ADS)

    Tsuge, Hirofumi; Ikeda, Kiyoji; Kato, Shigeki; Nishimura, Tomoaki; Nakamura, Tohru; Kuriyama, Kazuo; Mishima, Tomoyoshi

    2017-10-01

    Optical characteristics of Mg-ion implanted GaN layers with various fluence ranges were evaluated. Mg ion implantation was performed twice at energies of 30 and 60 keV on n-GaN layers. The first implantation at 30 keV was performed with three different fluence ranges of 1.0 × 1014, 1.0 × 1015 and 5.0 × 1015 cm-2. The second implantation at an energy of 60 keV was performed with a fluence of 6.5 × 1013 cm-2. After implantation, samples were annealed at 1250 °C for 1 min under N2 atmosphere. Photoluminescence (PL) spectrum of the GaN layer with the Mg ion implantation at the fluence range of 1.0 × 1014 cm-2 at 30 keV was similar to the one of Mg-doped p-GaN layers grown by MOVPE (Metal-Organic Vapor Phase Epitaxy) on free-standing GaN substrates and those at the fluence ranges over 1.0 × 1015 cm-2 were largely degraded.

  5. Ion acoustic waves in pair-ion plasma: Linear and nonlinear analyses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saeed, R.; Mushtaq, A.

    2009-03-15

    Linear and nonlinear properties of low frequency ion acoustic wave (IAW) in pair-ion plasma in the presence of electrons are investigated. The dispersion relation and Kadomtsev-Petviashvili equation for linear/nonlinear IAW are derived from sets of hydrodynamic equations where the ion pairs are inertial while electrons are Boltzmannian. The dispersion curves for various concentrations of electrons are discussed and compared with experimental results. The predicted linear IAW propagates at the same frequencies as those of the experimentally observed IAW if n{sub e0}{approx}10{sup 4} cm{sup -3}. It is found that nonlinear profile of the ion acoustic solitary waves is significantly affected bymore » the percentage ratio of electron number density and temperature. It is also determined that rarefactive solitary waves can propagate in this system. It is hoped that the results presented in this study would be helpful in understanding the salient features of the finite amplitude localized ion acoustic solitary pulses in a laboratory fullerene plasma.« less

  6. Electrical properties of PMMA ion-implanted with low-energy Si+ beam

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Gueorguiev, V. K.; Ivanov, Tz E.; Marinov, Y. G.; Ivanov, V. G.; Faulques, E.

    2010-01-01

    The electrical properties of polymethylmethacrylate (PMMA) after implantation with silicon ions accelerated to an energy of 50 keV are studied under DC electric bias field. The electrical response of the formed material is examined as a function of Si+ fluence in the range 1014 - 1017 cm-2. The carbonaceous subsurface region of the Si+-implanted PMMA displays a significant DC conductivity and a sizable field effect that can be used for electronic applications.

  7. Ion acoustic solitons in magnetized collisional non-thermal dusty plasmas

    NASA Astrophysics Data System (ADS)

    Sultana, S.

    2018-05-01

    The oblique propagation of ion-acoustic solitary waves (IASWs) is considered, in a magnetized non-thermal collisional dusty plasma, composed of non-Maxwelian κ-distributed electrons, inertial ions, and stationary dust. The reductive perturbation approach is adopted to derive the damped Korteweg de-Vries (dKdV) equation, and the dissipative oblique ion-acoustic wave properties are investigated in terms of different key plasma parameters via the numerical solution of the dKdV equation. The collisional effect, describing the ion-neutral collision in the plasma, is taken into account, and seen to influence the dynamics of IASWs significantly. The basic features of IASWs are observed to modify, and the polarity of the wave is seen to change due to the variation of dust to that of ion number density and also due to the variation of the supethermality index κ in the considered plasma system.

  8. Preliminary scaling laws for plasma current, ion kinetic temperature, and plasma number density in the NASA Lewis Bumpy Torus plasma

    NASA Technical Reports Server (NTRS)

    Roth, J. R.

    1976-01-01

    Parametric variation of independent variables which may affect the characteristics of the NASA Lewis Bumpy Torus plasma have identified those which have a significant effect on the plasma current, ion kinetic temperature, and plasma number density, and those which do not. Empirical power-law correlations of the plasma current, and the ion kinetic temperature and number density were obtained as functions of the potential applied to the midplane electrode rings, the background neutral gas pressure, and the magnetic field strength. Additional parameters studied include the type of gas, the polarity of the midplane electrode rings (and hence the direction of the radial electric field), the mode of plasma operation, and the method of measuring the plasma number density. No significant departures from the scaling laws appear to occur at the highest ion kinetic temperatures or number densities obtained to date.

  9. Study of Biological Effects of Low Energy Ion Implantation on Tomato and Radish Breeding

    NASA Astrophysics Data System (ADS)

    Liang, Qiuxia; Huang, Qunce; Cao, Gangqiang; Ying, Fangqing; Liu, Yanbo; Huang, Wen

    2008-04-01

    Biological effects of 30 keV low energy nitrogen ion implantation on the seeds of five types of tomato and one type of radish were investigated. Results showed that low energy ions have different effects on different vegetables. The whole dose-response curve of the germination ratio did not take on "the shape of saddle", but was a rising and falling waveform with the increase or decrease in ion implantation. In the vegetable of Solanaceae, two outstanding aberrant plants were selected from M1 of Henan No.4 tomato at a dose of 7 × 1017 nitrogen ions/cm2, which had thin-leaves, long-petal and nipple tip fruit stably inherited to M7. Furthermore the analysis of the isozyme showed that the activity of the mutant tomato seedling was distinct in quantity and color. In Raphanus sativus L., the aberrances were obvious in the mutant of radish 791 at a dose of 5 × 1017 nitrogen ions/cm2, and the weight of succulent root and the volume of growth were over twice the control's. At present, many species for breeding have been identified in the field and only stable species have been selected for the experiment of production. It is evident that the low energy ion implantation technology has clear effects on vegetables' genetic improvement.

  10. Strong Turbulence in Alkali Halide Negative Ion Plasmas

    NASA Astrophysics Data System (ADS)

    Sheehan, Daniel

    1999-11-01

    Negative ion plasmas (NIPs) are charge-neutral plasmas in which the negative charge is dominated by negative ions rather than electrons. They are found in laser discharges, combustion products, semiconductor manufacturing processes, stellar atmospheres, pulsar magnetospheres, and the Earth's ionosphere, both naturally and man-made. They often display signatures of strong turbulence^1. Development of a novel, compact, unmagnetized alkali halide (MX) NIP source will be discussed, it incorporating a ohmically-heated incandescent (2500K) tantulum solenoid (3cm dia, 15 cm long) with heat shields. The solenoid ionizes the MX vapor and confines contaminant electrons, allowing a very dry (electron-free) source. Plasma densities of 10^10 cm-3 and positive to negative ion mass ratios of 1 <= fracm_+m- <= 20 are achievable. The source will allow tests of strong turbulence theory^2. 1 Sheehan, D.P., et al., Phys. Fluids B5, 1593 (1993). 2 Tsytovich, V. and Wharton, C.W., Comm. Plasma Phys. Cont. Fusion 4, 91 (1978).

  11. The influence of nitrogen ion implantation on the tribological properties of piston rings made of Hardox and Raex steels

    NASA Astrophysics Data System (ADS)

    Budzyński, P.; Kamiński, M.; Pyszniak, K.

    2016-09-01

    The implantation of nitrogen, carbon, and oxygen can be used for enhancing the tribological properties of critical components for internal combustion engines. Hardox and Raex steels have very similar strength parameters as for steel used for piston rings in internal combustion engines. An essential criterion when selecting material for the production of piston rings is a low friction factor and a low wear index. The aim of this study was to determine the extent to which these parameters can be enhanced by nitrogen ion implantation. Samples were implanted with nitrogen ions with 65 keV energy and the fluence of implanted ions set to 1.1017 N + /cm2. Friction and wear measurements were performed on a pin-on disc stand. The results demonstrate that implantation with nitrogen ions significantly reduces the friction factor and wear of Hardox 450 and Raex 400 steels. Implantation can and should be used for enhancing the tribological properties of steel used for friction elements in internal combustion engines, particularly when heat treatment is excluded. Final elements can be subjected to implantation, as the process does not change their dimensions.

  12. The Use of Ion Implantation for Materials Processing.

    DTIC Science & Technology

    1980-10-06

    consists of a series of sections, each section being an annular insulator (glass) and a shaped metal electrode (polished aluminum ) cemented together. A...depending on the ion species, semiconductor material, attached materials (such as aluminum leads), implantation energy, and dose; but some devices are...concentration of subsurface carbon. Appearing directly beneath the oxide layer, the C concentration first reaches a maximum of about five times the bulk

  13. Studies on the surface modification of TiN coatings using MEVVA ion implantation with selected metallic species

    NASA Astrophysics Data System (ADS)

    Ward, L. P.; Purushotham, K. P.; Manory, R. R.

    2016-02-01

    Improvement in the performance of TiN coatings can be achieved using surface modification techniques such as ion implantation. In the present study, physical vapor deposited (PVD) TiN coatings were implanted with Cr, Zr, Nb, Mo and W using the metal evaporation vacuum arc (MEVVA) technique at a constant nominal dose of 4 × 1016 ions cm-2 for all species. The samples were characterized before and after implantation, using Rutherford backscattering (RBS), glancing incident angle X-ray diffraction (GIXRD), atomic force microscopy (AFM) and optical microscopy. Friction and wear studies were performed under dry sliding conditions using a pin-on-disc CSEM Tribometer at 1 N load and 450 m sliding distance. A reduction in the grain size and surface roughness was observed after implantation with all five species. Little variation was observed in the residual stress values for all implanted TiN coatings, except for W implanted TiN which showed a pronounced increase in compressive residual stress. Mo-implanted samples showed a lower coefficient of friction and higher resistance to breakdown during the initial stages of testing than as-received samples. Significant reduction in wear rate was observed after implanting with Zr and Mo ions compared with unimplanted TiN. The presence of the Ti2N phase was observed with Cr implantation.

  14. Modeling of negative ion transport in a plasma source

    NASA Astrophysics Data System (ADS)

    Riz, David; Paméla, Jérôme

    1998-08-01

    A code called NIETZSCHE has been developed to simulate the negative ion transport in a plasma source, from their birth place to the extraction holes. The ion trajectory is calculated by numerically solving the 3-D motion equation, while the atomic processes of destruction, of elastic collision H-/H+ and of charge exchange H-/H0 are handled at each time step by a Monte-Carlo procedure. This code can be used to calculate the extraction probability of a negative ion produced at any location inside the source. Calculations performed with NIETZSCHE have allowed to explain, either quantitatively or qualitatively, several phenomena observed in negative ion sources, such as the isotopic H-/D- effect, and the influence of the plasma grid bias or of the magnetic filter on the negative ion extraction. The code has also shown that in the type of sources contemplated for ITER, which operate at large arc power densities (>1 W cm-3), negative ions can reach the extraction region provided if they are produced at a distance lower than 2 cm from the plasma grid in the case of «volume production» (dissociative attachment processes), or if they are produced at the plasma grid surface, in the vicinity of the extraction holes.

  15. Harmonic plasma waves excitation and structure evolution of intense ion beams in background plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hu, Zhang-Hu, E-mail: zhanghu@dlut.edu.cn; Wang, You-Nian

    2016-08-15

    The long-term dynamic evolutions of intense ion beams in plasmas have been investigated with two-dimensional electromagnetic particle simulations, taking into account the effect of the two-stream instability between beam ions and plasma electrons. Depending on the initial beam radial density profile and velocity distribution, ring structures may be formed in the beam edge regions. At the later stage of beam-plasma interactions, the ion beams are strongly modulated by the two-stream instability and multiple density spikes are formed in the longitudinal direction. The formation of these density spikes is shown to result from the excitation of harmonic plasma waves when themore » instability gets saturated. Comparisons between the beam cases with initial flat-top and Gaussian radial density profiles are made, and a higher instability growth rate is observed for the flat-top profile case.« less

  16. Plasma chemistry study of PLAD processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qin Shu; Brumfield, Kyle; Liu, Lequn Jennifer

    2012-11-06

    Plasma doping (PLAD) shows very different impurity profiles compared to the conventional beam-line-based ion implantations due to its non-mass separation property and plasma environment. There is no simulation for PLAD process so far due to a lack of a dopant profile model. Several factors determine impurity profiles of PLAD process. The most significant factors are: plasma chemistry and deposition/etching characteristics of multi-ion species plasmas. In this paper, we present plasma chemistry and deposition/etching characteristics of PLAD processes versus co-gas dilutions. Four dopant plasmas including B{sub 2}H{sub 6}, BF{sub 3}, AsH{sub 3}, and PH{sub 3}, and two non-dopant plasmas including CH{submore » 4} and GeH{sub 4} are studied and demonstrated.« less

  17. Ion implantation reduces radiation sensitivity of metal oxide silicon /MOS/ devices

    NASA Technical Reports Server (NTRS)

    1971-01-01

    Implanting nitrogen ions improves hardening of silicon oxides 30 percent to 60 percent against ionizing radiation effects. Process reduces sensitivity, but retains stability normally shown by interfaces between silicon and thermally grown oxides.

  18. Use of low energy hydrogen ion implants in high efficiency crystalline silicon solar cells

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.; Singh, R.

    1985-01-01

    This program is a study of the use of low energy hydrogen ion implantation for high efficiency crystalline silicon solar cells. The first quarterly report focuses on two tasks of this program: (1) an examination of the effects of low energy hydrogen implants on surface recombination speed; and (2) an examination of the effects of hydrogen on silicon regrowth and diffusion in silicon. The first part of the project focussed on the measurement of surface properties of hydrogen implanted silicon. Low energy hydrogen ions when bombarded on the silicon surface will create structural damage at the surface, deactivate dopants and introduce recombination centers. At the same time the electrically active centers such as dangling bonds will be passivated by these hydrogen ions. Thus hydrogen is expected to alter properties such as the surface recombination velocity, dopant profiles on the emitter, etc. In this report the surface recombination velocity of a hydrogen emplanted emitter was measured.

  19. Initial Plasma Testing of the Ion Proportional Surface Emission Cathode

    DTIC Science & Technology

    2008-07-15

    REPRINT 3. DATES COVERED (From - To) 4. TITLE AND SUBTITLE Initial Plasma Testing of the Ion Proportional Surface Emission Cathode 5a. CONTRACT NUMBER...substrate and an adjacent metal cathode element. The substrate potential is held positive of the cathode with gate elements. In plasma , the gate is...eliminated due to ambient ion flux which maintains the substrate potential near plasma ground. Prototype devices have been tested using a laboratory plasma

  20. Measurements of ion temperature and flow of pulsed plasmas produced by a magnetized coaxial plasma gun device using an ion Doppler spectrometer

    NASA Astrophysics Data System (ADS)

    Kitagawa, Y.; Sakuma, I.; Iwamoto, D.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is important to know surface damage characteristics of plasma-facing component materials during transient heat and particle loads such as type I ELMs. A magnetized coaxial plasma gun (MCPG) device has been used as transient heat and particle source in ELM simulation experiments. Characteristics of pulsed plasmas produced by the MCPG device play an important role for the plasma material interaction. In this study, ion temperature and flow velocity of pulsed He plasmas were measured by an ion Doppler spectrometer (IDS). The IDS system consists of a light collection system including optical fibers, 1m-spectrometer and a 16 channel photomultiplier tube (PMT) detector. The IDS system measures the width and Doppler shift of HeII (468.58 nm) emission line with the time resolution of 1 μs. The Doppler broadened and shifted spectra were measured with 45 and 135 degree angles with respect to the plasmoid traveling direction. The observed emission line profile was represented by sum of two Gaussian components to determine the temperature and flow velocity. The minor component at around the wavelength of zero-velocity was produced by the stationary plasma. As the results, the ion velocity and temperature were 68 km/s and 19 eV, respectively. Thus, the He ion flow energy is 97 eV. The observed flow velocity agrees with that measured by a time of flight technique.

  1. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji

    2018-02-01

    The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.

  2. Physics-based investigation of negative ion behavior in a negative-ion-rich plasma using integrated diagnostics

    NASA Astrophysics Data System (ADS)

    Tsumori, K.; Takeiri, Y.; Ikeda, K.; Nakano, H.; Geng, S.; Kisaki, M.; Nagaoka, K.; Tokuzawa, T.; Wada, M.; Sasaki, K.; Nishiyama, S.; Goto, M.; Osakabe, M.

    2017-08-01

    Total power of 16 MW has been successfully delivered to the plasma confined in the Large Helical Device (LHD) from three Neutral Beam Injectors (NBIs) equipped with negative hydrogen (H-) ion sources. However, the detailed mechanisms from production through extraction of H- ions are still yet to be clarified and a similar size ion source on an independent acceleration test bench called Research and development Negative Ion Source (RNIS) serves as the facility to study physics related to H- production and transport for further improvement of NBI. The production of negative-ion-rich plasma and the H- ions behavior in the beam extraction region in RNIS is being investigated by employing an integrated diagnostic system. Flow patterns of electrons, positive ions and H- ions in the extraction region are described in a two-dimensional map. The measured flow patterns indicate the existence a stagnation region, where the H- flow changes the direction at a distance about 20 mm from the plasma grid. The pattern also suggested the H- flow originated from plasma grid (PG) surface that turned back toward extraction apertures. The turning region seems formed by a layer of combined magnetic field produced by the magnetic filter field and the Electron-Deflection Magnetic (EDM) field created by magnets installed in the extraction electrode.

  3. Carbonic Acid by Ion Implantation in Water_solarCarbon Dioxide Ice Mixtures

    NASA Astrophysics Data System (ADS)

    Brucato, J. R.; Palumbo, M. E.; Strazzulla, G.

    1997-01-01

    We present the results of experiments performed by keV ion (He and H) bombardment of frozen mixtures of H 2O:CO 2and of pure CO 2ice. Using keV He ions we confirm the already reported measurement of carbonic acid (H 2CO 3) production in an ice mixture of frozen water and carbon dioxide (1:1) after irradiation by 0.7 MeV H ions (Moore, M. H., and R. K. Khanna 1991. Spectrochim. Acta47, 255-262; Moore, M. H., R. K. Khanna, and B. Donn 1991. J. Geophys. Res. E96(2), 17,541-17,545.). Contrary to a previous report (Pirronello, V., W. L. Brown, L. J. Lanzerotti, K. J. Marcantonio, and E. H. Simmons 1982. Astrophys. J.262, 636-640.), formaldehyde (H 2CO), if any, is not a major product. Implantation with hydrogen ions demonstrates that carbonic acid is formed even if the irradiated target is pure CO 2; i.e., the implanted ion is incorporated into the target and forms new bonds. Some possible astrophysical applications on Solar System objects or (pre-solar) interstellar grains are discussed.

  4. Deciphering the kinetic structure of multi-ion plasma shocks

    DOE PAGES

    Keenan, Brett D.; Simakov, Andrei N.; Chacón, Luis; ...

    2017-11-15

    Here, strong collisional shocks in multi-ion plasmas are featured in many high-energy-density environments, including inertial confinement fusion implosions. However, their basic structure and its dependence on key parameters (e.g., the Mach number and the plasma ion composition) are poorly understood, and inconsistencies in that regard remain in the literature. In particular, the shock width's dependence on the Mach number has been hotly debated for decades. Using a high-fidelity Vlasov-Fokker-Planck code, iFP, and direct comparisons to multi-ion hydrodynamic simulations and semianalytic predictions, we resolve the structure of steady-state planar shocks in D- 3He plasmas. Additionally, we derive and confirm with kineticmore » simulations a quantitative description of the dependence of the shock width on the Mach number and initial ion concentration.« less

  5. Deciphering the kinetic structure of multi-ion plasma shocks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Keenan, Brett D.; Simakov, Andrei N.; Chacón, Luis

    Here, strong collisional shocks in multi-ion plasmas are featured in many high-energy-density environments, including inertial confinement fusion implosions. However, their basic structure and its dependence on key parameters (e.g., the Mach number and the plasma ion composition) are poorly understood, and inconsistencies in that regard remain in the literature. In particular, the shock width's dependence on the Mach number has been hotly debated for decades. Using a high-fidelity Vlasov-Fokker-Planck code, iFP, and direct comparisons to multi-ion hydrodynamic simulations and semianalytic predictions, we resolve the structure of steady-state planar shocks in D- 3He plasmas. Additionally, we derive and confirm with kineticmore » simulations a quantitative description of the dependence of the shock width on the Mach number and initial ion concentration.« less

  6. Computer-Controlled System for Plasma Ion Energy Auto-Analyzer

    NASA Astrophysics Data System (ADS)

    Wu, Xian-qiu; Chen, Jun-fang; Jiang, Zhen-mei; Zhong, Qing-hua; Xiong, Yu-ying; Wu, Kai-hua

    2003-02-01

    A computer-controlled system for plasma ion energy auto-analyzer was technically studied for rapid and online measurement of plasma ion energy distribution. The system intelligently controls all the equipments via a RS-232 port, a printer port and a home-built circuit. The software designed by Lab VIEW G language automatically fulfils all of the tasks such as system initializing, adjustment of scanning-voltage, measurement of weak-current, data processing, graphic export, etc. By using the system, a few minutes are taken to acquire the whole ion energy distribution, which rapidly provides important parameters of plasma process techniques based on semiconductor devices and microelectronics.

  7. Effect of nitrogen ion implantation on the structural and optical properties of indium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sethi, Riti; Aziz, Anver; Siddiqui, Azher M., E-mail: amsiddiqui@jmi.ac.in

    2016-06-10

    : We report here synthesis and subsequent nitrogen ion implantation of indium oxide (In{sub 2}O{sub 3}) thin films. The films were implanted with 25 keV N{sup +} beam for different ion doses between 3E15 to 1E16 ions/cm{sup 2}. The resulting changes in structural and optical properties were investigated using XRD, SEM-EDAX and UV-Vis Spectrometry. XRD studies reveal decrease in crystallite size from 20.06 to 12.42 nm with increase in ion dose. SEM micrographs show an increase in the grain size from 0.8 to 1.35 µm with increase in ion dose because of the agglomeration of the grains. Also, from EDAXmore » data on pristine and N-implanted thin films the presence of indium and oxygen without any traces of impurity elements could be seen. However, at lower ion doses such as 3E15 and 5E15 ions/cm{sup 2}, no evidence of the presence of nitrogen ion was seen. However, for the ion dose of 1E16 ions/cm{sup 2}, evidence of presence of nitrogen can be seen in the EDAX data. Band gap calculations reveal a decrease in band gap from 3.54 to 3.38 eV with increasing ion dose. However, the band gap was found to again show an increase to 3.58 eV at the highest ion dose owing to quantum confinement effect.« less

  8. Radiative transition of hydrogen-like ions in quantum plasma

    NASA Astrophysics Data System (ADS)

    Hu, Hongwei; Chen, Zhanbin; Chen, Wencong

    2016-12-01

    At fusion plasma electron temperature and number density regimes of 1 × 103-1 × 107 K and 1 × 1028-1 × 1031/m3, respectively, the excited states and radiative transition of hydrogen-like ions in fusion plasmas are studied. The results show that quantum plasma model is more suitable to describe the fusion plasma than the Debye screening model. Relativistic correction to bound-state energies of the low-Z hydrogen-like ions is so small that it can be ignored. The transition probability decreases with plasma density, but the transition probabilities have the same order of magnitude in the same number density regime.

  9. Research progress on ionic plasmas generated in an intense hydrogen negative ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeiri, Y., E-mail: takeiri@nifs.ac.jp; Tsumori, K.; Nagaoka, K.

    2015-04-08

    Characteristics of ionic plasmas, observed in a high-density hydrogen negative ion source, are investigated with a multi-diagnostics system. The ionic plasma, which consists of hydrogen positive- and negative-ions with a significantly low-density of electrons, is generated in the ion extraction region, from which the negative ions are extracted through the plasma grid. The negative ion density, i.e., the ionic plasma density, as high as the order of 1×10{sup 17}m{sup −3}, is measured with cavity ring-down spectroscopy, while the electron density is lower than 1×10{sup 16}m{sup −3}, which is confirmed with millimeter-wave interferometer. Reduction of the negative ion density is observedmore » at the negative ion extraction, and at that time the electron flow into the ionic plasma region is observed to conserve the charge neutrality. Distribution of the plasma potential is measured in the extraction region in the direction normal to the plasma grid surface with a Langmuir probe, and the results suggest that the sheath is formed at the plasma boundary to the plasma grid to which the bias voltage is applied. The beam extraction should drive the negative ion transport in the ionic plasma across the sheath formed on the extraction surface. Larger reduction of the negative ions at the beam extraction is observed in a region above the extraction aperture on the plasma grid, which is confirmed with 2D image measurement of the Hα emission and cavity ring-down spectroscopy. The electron distribution is also measured near the plasma grid surface. These various properties observed in the ionic plasma are discussed.« less

  10. Laser generated Ge ions accelerated by additional electrostatic field for implantation technology

    NASA Astrophysics Data System (ADS)

    Rosinski, M.; Gasior, P.; Fazio, E.; Ando, L.; Giuffrida, L.; Torrisi, L.; Parys, P.; Mezzasalma, A. M.; Wolowski, J.

    2013-05-01

    The paper presents research on the optimization of the laser ion implantation method with electrostatic acceleration/deflection including numerical simulations by the means of the Opera 3D code and experimental tests at the IPPLM, Warsaw. To introduce the ablation process an Nd:YAG laser system with repetition rate of 10 Hz, pulse duration of 3.5 ns and pulse energy of 0.5 J has been applied. Ion time of flight diagnostics has been used in situ to characterize concentration and energy distribution in the obtained ion streams while the postmortem analysis of the implanted samples was conducted by the means of XRD, FTIR and Raman Spectroscopy. In the paper the predictions of the Opera 3D code are compared with the results of the ion diagnostics in the real experiment. To give the whole picture of the method, the postmortem results of the XRD, FTIR and Raman characterization techniques are discussed. Experimental results show that it is possible to achieve the development of a micrometer-sized crystalline Ge phase and/or an amorphous one only after a thermal annealing treatment.

  11. Measurements of ion energies during plasma heating of the Proto-MPEX High Intensity Plasma Source

    NASA Astrophysics Data System (ADS)

    Caughman, J. B. O.; Goulding, R. H.; Biewer, T. M.; Bigelow, T. S.; Caneses, J.; Diem, S. J.; Green, D. L.; Isler, R. C.; Rapp, J.; Piotrowicz, P.; Beers, C. J.; Kafle, N.; Showers, M. A.

    2017-10-01

    The Prototype Materials Plasma Exposure eXperiment (Proto-MPEX) is a linear high-intensity RF plasma source that combines a high-density helicon plasma generator with ion and electron heating sections. It is being used to study the physics of heating over-dense plasmas in a linear configuration with the goal of delivering a plasma heat flux of 10 MW/m2 at a target. The helicon plasma is produced by coupling 13.56 MHz RF power at levels >100 kW. Additional heating is provided by ion cyclotron heating (ICH) ( 25 kW) and electron Bernstein wave (EBW) heating ( 25 kW) at 28 GHz. Measurements of the ion energy distribution with a retarding field energy analyzer (RFEA) show an increase in ion energies in the edge of the plasma when ICH is applied, which is consistent with COMSOL modeling of the power deposition from the antenna. Views of the target plate with an infrared camera show an increase in the surface temperature at large radii during ICH, and these areas map back to magnetic field lines near the antenna. The change in the power deposition at the target during ICH is compared with Thomson Scattering and RFEA measurements near the target. ORNL is managed by UT-Battelle, LLC, for the U.S. DOE under contract DE-AC-05-00OR22725.

  12. Plasma treatment for producing electron emitters

    DOEpatents

    Coates, Don Mayo; Walter, Kevin Carl

    2001-01-01

    Plasma treatment for producing carbonaceous field emission electron emitters is disclosed. A plasma of ions is generated in a closed chamber and used to surround the exposed surface of a carbonaceous material. A voltage is applied to an electrode that is in contact with the carbonaceous material. This voltage has a negative potential relative to a second electrode in the chamber and serves to accelerate the ions toward the carbonaceous material and provide an ion energy sufficient to etch the exposed surface of the carbonaceous material but not sufficient to result in the implantation of the ions within the carbonaceous material. Preferably, the ions used are those of an inert gas or an inert gas with a small amount of added nitrogen.

  13. Ion beam driven ion-acoustic waves in a plasma cylinder with negative ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, Suresh C.; Gahlot, Ajay

    2008-07-15

    An ion beam propagating through a magnetized plasma cylinder containing K{sup +} positive ions, electrons, and SF{sub 6}{sup -} negative ions drives electrostatic ion-acoustic (IA) waves to instability via Cerenkov interaction. Two electrostatic IA wave modes in presence of K{sup +} and SF{sub 6}{sup -} ions are studied. The phase velocity of the sound wave in presence of positive and negative ions increase with the relative density of negative ions. The unstable wave frequencies and the growth rate of both the modes in presence of positive and negative ions increase with the relative density of negative ions. The growth ratemore » of both the unstable modes in presence of SF{sub 6}{sup -} and K{sup +} ions scales as the one-third power of the beam density. Numerical calculations of the phase velocity, growth rate, and mode frequencies have been carried out for the parameters of the experiment of Song et al. [Phys. Fluids B 3, 284 (1991)].« less

  14. Effect of plasma grid bias on extracted currents in the RF driven surface-plasma negative ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belchenko, Yu., E-mail: belchenko@inp.nsk.su; Ivanov, A.; Sanin, A.

    2016-02-15

    Extraction of negative ions from the large inductively driven surface-plasma negative ion source was studied. The dependencies of the extracted currents vs plasma grid (PG) bias potential were measured for two modifications of radio-frequency driver with and without Faraday screen, for different hydrogen feeds and for different levels of cesium conditioning. The maximal PG current was independent of driver modification and it was lower in the case of inhibited cesium. The maximal extracted negative ion current depends on the potential difference between the near-PG plasma and the PG bias potentials, while the absolute value of plasma potential in the drivermore » and in the PG area is less important for the negative ion production. The last conclusion confirms the main mechanism of negative ion production through the surface conversion of fast atoms.« less

  15. Microstructures of plasma-sprayed hydroxyapatite-coated Ti-6Al-4V dental implants.

    PubMed

    Tufekci, E; Brantley, W A; Mitchell, J C; McGlumphy, E A

    1997-01-01

    The purpose of this study was to investigate the microstructure of plasma-sprayed hydroxyapatite coatings and the elemental composition near the coating-substrate interface for two commercial implants, using the scanning electron microscope. Both coating surfaces and cross-sectioned specimens were examined. The results indicated that while the surface microstructures of both implants were consistent with the plasma-spraying process, the scale of the constituents was much finer for one product. In cross-section, both coatings exhibited minimal porosity and intimate contact with the titanium alloy substrate. It was found that limited interdiffusion of titanium and calcium occurred near the interface.

  16. Improved yields for MOST’s using ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brockman, H. E.

    1976-04-01

    Conventionally diffused source and drain polysilicon gate MOST's commonly exhibit one type of fault, namely, that of polysilicon-to-diffusion short circuits. Investigations into the yields of large-area devices fabricated using ion-implanted sources and drains are compared with those of diffused structures. An improved technology for the chemical shaping of the polysilicon gates, which improves the yields for both types of devices, is also described. (AIP)

  17. Origins of low resistivity in Al ion-implanted ZnO bulk single crystals

    NASA Astrophysics Data System (ADS)

    Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2011-06-01

    The origins of low resistivity in Al ion-implanted ZnO bulk single crystals are studied by combining Rutherford backscattering spectroscopy (RBS), nuclear reaction analysis (NRA), photoluminescence (PL), and Van der Pauw methods. The Al-ion implantation (peak concentration: 2.6 × 1020cm-3) into ZnO is performed using a multiple-step energy. The resistivity decreases from ˜104 Ω cm for un-implanted ZnO to 1.4 × 10-1 Ω cm for as-implanted, and reaches 6.0 × 10-4 Ω cm for samples annealed at 1000 °C. RBS and NRA measurements for as-implanted ZnO suggest the existence of the lattice displacement of Zn (Zni) and O (Oi), respectively. After annealing at 1000 °C, the Zni related defects remain and the Oi related defects disappear. The origin of the low resistivity in the as-implanted sample is attributed to the Zni (˜30 meV [Look et al., Phys. Rev. Lett. 82, 2552 (1999)]). In contrast, the origin of the low resistivity in the sample annealed at 1000 °C is assigned to both of the Zni related defects and the electrically activated Al donor. A new PL emission appears at around 3.32 eV after annealing at 1000 °C, suggesting electrically activated Al donors.

  18. Magnetic phase composition of strontium titanate implanted with iron ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dulov, E.N., E-mail: evgeny.dulov@ksu.ru; Ivoilov, N.G.; Strebkov, O.A.

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The origin of RT-ferromagnetism in iron implanted strontium titanate. Black-Right-Pointing-Pointer Metallic iron nanoclusters form during implantation and define magnetic behaviour. Black-Right-Pointing-Pointer Paramagnetic at room temperature iron-substituted strontium titanate identified. -- Abstract: Thin magnetic films were synthesized by means of implantation of iron ions into single-crystalline (1 0 0) substrates of strontium titanate. Depth-selective conversion electron Moessbauer spectroscopy (DCEMS) indicates that origin of the samples magnetism is {alpha}-Fe nanoparticles. Iron-substituted strontium titanate was also identified but with paramagnetic behaviour at room temperature. Surface magneto-optical Kerr effect (SMOKE) confirms that the films reveal superparamagnetism (the low-fluence sample) or ferromagnetism (themore » high-fluence sample), and demonstrate absence of magnetic in-plane anisotropy. These findings highlight iron implanted strontium titanate as a promising candidate for composite multiferroic material and also for gas sensing applications.« less

  19. Ion cyclotron range of frequencies heating of plasma with small impurity production

    DOEpatents

    Ohkawa, Tihiro

    1987-01-01

    Plasma including plasma ions is magnetically confined by a magnetic field. The plasma has a defined outer surface and is intersected by resonance surfaces of respective common ion cyclotron frequency of a predetermined species of plasma ions moving in the magnetic field. A radio frequency source provides radio frequency power at a radio frequency corresponding to the ion cyclotron frequency of the predetermined species of plasma ions moving in the field at a respective said resonance surface. RF launchers coupled to the radio frequency source radiate radio frequency energy at the resonance frequency onto the respective resonance surface within the plasma from a plurality of locations located outside the plasma at such respective distances from the intersections of the respective resonance surface and the defined outer surface and at such relative phases that the resulting interference pattern provides substantially null net radio frequency energy over regions near and including substantial portions of the intersections relative to the radio frequency energy provided thereby at other portions of the respective resonance surface within the plasma.

  20. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of themore » III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.« less

  1. Ring-averaged ion velocity distribution function probe for laboratory magnetized plasma experiment

    NASA Astrophysics Data System (ADS)

    Kawamori, Eiichirou; Chen, Jinting; Lin, Chiahsuan; Lee, Zongmau

    2017-10-01

    Ring-averaged velocity distribution function of ions at a fixed guiding center position is a fundamental quantity in the gyrokinetic plasma physics. We have developed a diagnostic tool for the ring averaged velocity distribution function of ions for laboratory plasma experiments, which is named as the ring-averaged ion distribution function probe (RIDFP). The RIDFP is a set of ion collectors for different velocities. It is designed to be immersed in magnetized plasmas and achieves momentum selection of incoming ions by the selection of the ion Larmor radii. To nullify the influence of the sheath potential surrounding the RIDFP on the orbits of the incoming ions, the electrostatic potential of the RIDFP body is automatically adjusted to coincide with the space potential of the target plasma with the use of an emissive probe and a voltage follower. The developed RIDFP successfully measured the equilibrium ring-averaged velocity distribution function of a laboratory magnetized plasma, which was in accordance with the Maxwellian distribution having an ion temperature of 0.2 eV.

  2. Ring-averaged ion velocity distribution function probe for laboratory magnetized plasma experiment.

    PubMed

    Kawamori, Eiichirou; Chen, Jinting; Lin, Chiahsuan; Lee, Zongmau

    2017-10-01

    Ring-averaged velocity distribution function of ions at a fixed guiding center position is a fundamental quantity in the gyrokinetic plasma physics. We have developed a diagnostic tool for the ring averaged velocity distribution function of ions for laboratory plasma experiments, which is named as the ring-averaged ion distribution function probe (RIDFP). The RIDFP is a set of ion collectors for different velocities. It is designed to be immersed in magnetized plasmas and achieves momentum selection of incoming ions by the selection of the ion Larmor radii. To nullify the influence of the sheath potential surrounding the RIDFP on the orbits of the incoming ions, the electrostatic potential of the RIDFP body is automatically adjusted to coincide with the space potential of the target plasma with the use of an emissive probe and a voltage follower. The developed RIDFP successfully measured the equilibrium ring-averaged velocity distribution function of a laboratory magnetized plasma, which was in accordance with the Maxwellian distribution having an ion temperature of 0.2 eV.

  3. Laser-induced fluorescence measurements of argon and xenon ion velocities near the sheath boundary in 3 ion species plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yip, Chi-Shung; Hershkowitz, Noah; Severn, Greg

    2016-05-15

    The Bohm sheath criterion is studied with laser-induced fluorescence in three ion species plasmas using two tunable diode lasers. Krypton is added to a low pressure unmagnetized DC hot filament discharge in a mixture of argon and xenon gas confined by surface multi-dipole magnetic fields. The argon and xenon ion velocity distribution functions are measured at the sheath-presheath boundary near a negatively biased boundary plate. The potential structures of the plasma sheath and presheath are measured by an emissive probe. Results are compared with previous experiments with Ar–Xe plasmas, where the two ion species were observed to reach the sheathmore » edge at nearly the same speed. This speed was the ion sound speed of the system, which is consistent with the generalized Bohm criterion. In such two ion species plasmas, instability enhanced collisional friction was demonstrated [Hershkowitz et al., Phys. Plasmas 18(5), 057102 (2011).] to exist which accounted for the observed results. When three ion species are present, it is demonstrated under most circumstances the ions do not fall out of the plasma at their individual Bohm velocities. It is also shown that under most circumstances the ions do not fall out of the plasma at the system sound speed. These observations are also consistent with the presence of the instabilities.« less

  4. Preparation of graphene on Cu foils by ion implantation with negative carbon clusters

    NASA Astrophysics Data System (ADS)

    Li, Hui; Shang, Yan-Xia; Zhang, Zao-Di; Wang, Ze-Song; Zhang, Rui; Fu, De-Jun

    2015-01-01

    We report on few-layer graphene synthesized on Cu foils by ion implantation using negative carbon cluster ions, followed by annealing at 950 °C in vacuum. Raman spectroscopy reveals IG/I2D values varying from 1.55 to 2.38 depending on energy and dose of the cluster ions, indicating formation of multilayer graphene. The measurements show that the samples with more graphene layers have fewer defects. This is interpreted by graphene growth seeded by the first layers formed via outward diffusion of C from the Cu foil, though nonlinear damage and smoothing effects also play a role. Cluster ion implantation overcomes the solubility limit of carbon in Cu, providing a technique for multilayer graphene synthesis. Project supported by the National Natural Science Foundation of China (Grant Nos. 11105100, 11205116, and 11375135) and the State Key Laboratory of Advanced Welding and Joining, Harbin Institute of Technology, China (Grant No. AWJ-M13-03).

  5. Evaluation of the ion implantation process for production of solar cells from silicon sheet materials

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.

    1983-01-01

    For the ion implantation tooling was fabricated with which to hold dendritic web samples. This tooling permits the expeditious boron implantation of the back to form the back surface field (BSF). Baseline BSF web cells were fabricated.

  6. Surface characteristics, mechanical properties, and cytocompatibility of oxygen plasma-implanted porous nickel titanium shape memory alloy.

    PubMed

    Wu, S L; Chu, Paul K; Liu, X M; Chung, C Y; Ho, J P Y; Chu, C L; Tjong, S C; Yeung, K W K; Lu, W W; Cheung, K M C; Luk, K D K

    2006-10-01

    Good surface properties and biocompatibility are crucial to porous NiTi shape memory alloys (SMA) used in medical implants, as possible nickel release from porous NiTi may cause deleterious effects in the human body. In this work, oxygen plasma immersion ion implantation (O-PIII) was used to reduce the amount of nickel leached from porous NiTi alloys with a porosity of 42% prepared by capsule-free hot isostatic pressing. The mechanical properties, surface properties, and biocompatibility were studied by compression tests, X-ray photoelectron spectroscopy (XPS), and cell culturing. The O-PIII porous NiTi SMAs have good mechanical properties and excellent superelasticity, and the amount of nickel leached from the O-PIII porous NiTi is much less than that from the untreated samples. XPS results indicate that a nickel-depleted surface layer predominantly composed of TiO(2) is produced by O-PIII and acts as a barrier against out-diffusion of nickel. The cell culturing tests reveal that both the O-PIII and untreated porous NiTi alloys have good biocompatibility. (c) 2006 Wiley Periodicals, Inc

  7. Formation of a periodic diffractive structure based on poly(methyl methacrylate) with ion-implanted silver nanoparticles

    NASA Astrophysics Data System (ADS)

    Galyautdinov, M. F.; Nuzhdin, V. I.; Fattakhov, Ya. V.; Farrakhov, B. F.; Valeev, V. F.; Osin, Yu. N.; Stepanov, A. L.

    2016-02-01

    We propose to form optical diffractive elements on the surface of poly(methyl methacrylate) (PMMA) by implanting the polymer with silver ions ( E = 30 keV; D = 5.0 × 1014 to 1.5 × 1017 ion/cm2; I = 2 μA/cm2) through a nickel grid (mask). Ion implantation leads to the nucleation and growth of silver nanoparticles in unmasked regions of the polymer. The formation of periodic surface microstructures during local sputtering of the polymer by incident ions was monitored using an optical microscope. The diffraction efficiency of obtained gratings is demonstrated under conditions of their probing with semiconductor laser radiation in the visible spectral range.

  8. Diagnosis of high-intensity pulsed heavy ion beam generated by a novel magnetically insulated diode with gas puff plasma gun.

    PubMed

    Ito, H; Miyake, H; Masugata, K

    2008-10-01

    Intense pulsed heavy ion beam is expected to be applied to materials processing including surface modification and ion implantation. For those applications, it is very important to generate high-purity ion beams with various ion species. For this purpose, we have developed a new type of a magnetically insulated ion diode with an active ion source of a gas puff plasma gun. When the ion diode was operated at a diode voltage of about 190 kV, a diode current of about 15 kA, and a pulse duration of about 100 ns, the ion beam with an ion current density of 54 A/cm(2) was obtained at 50 mm downstream from the anode. By evaluating the ion species and the energy spectrum of the ion beam via a Thomson parabola spectrometer, it was confirmed that the ion beam consists of nitrogen ions (N(+) and N(2+)) of energy of 100-400 keV and the proton impurities of energy of 90-200 keV. The purity of the beam was evaluated to be 94%. The high-purity pulsed nitrogen ion beam was successfully obtained by the developed ion diode system.

  9. Modeling of negative ion transport in a plasma source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Riz, David; Departement de Recherches sur la Fusion Controelee CE Cadarache, 13108 St Paul lez Durance; Pamela, Jerome

    1998-08-20

    A code called NIETZSCHE has been developed to simulate the negative ion transport in a plasma source, from their birth place to the extraction holes. The ion trajectory is calculated by numerically solving the 3-D motion equation, while the atomic processes of destruction, of elastic collision H{sup -}/H{sup +} and of charge exchange H{sup -}/H{sup 0} are handled at each time step by a Monte-Carlo procedure. This code can be used to calculate the extraction probability of a negative ion produced at any location inside the source. Calculations performed with NIETZSCHE have allowed to explain, either quantitatively or qualitatively, severalmore » phenomena observed in negative ion sources, such as the isotopic H{sup -}/D{sup -} effect, and the influence of the plasma grid bias or of the magnetic filter on the negative ion extraction. The code has also shown that in the type of sources contemplated for ITER, which operate at large arc power densities (>1 W cm{sup -3}), negative ions can reach the extraction region provided if they are produced at a distance lower than 2 cm from the plasma grid in the case of 'volume production' (dissociative attachment processes), or if they are produced at the plasma grid surface, in the vicinity of the extraction holes.« less

  10. Fast Ion and Thermal Plasma Transport in Turbulent Waves in the Large Plasma Device (LAPD)

    NASA Astrophysics Data System (ADS)

    Zhou, Shu

    2011-10-01

    The transport of fast ions and thermal plasmas in electrostatic microturbulence is studied. Strong density and potential fluctuations (δn / n ~ δϕ / kTe ~ 0 . 5 , f ~5-50 kHz) are observed in the LAPD in density gradient regions produced by obstacles with slab or cylindrical geometry. Wave characteristics and the associated plasma transport are modified by driving sheared E ×B drift through biasing the obstacle, and by modification of the axial magnetic fields (Bz) and the plasma species. Cross-field plasma transport is suppressed with small bias and large Bz, and is enhanced with large bias and small Bz. Suppressed cross-field thermal transport coincides with a 180° phase shift between the density and potential fluctuations in the radial direction, while the enhanced thermal transport is associated with modes having low mode number (m = 1) and long radial correlation length. Large gyroradius lithium ions (ρfast /ρs ~ 10) orbit through the turbulent region. Scans with a collimated analyzer and with Langmuir probes give detailed profiles of the fast ion spatial-temporal distribution and of the fluctuating fields. Fast-ion transport decreases rapidly with increasing fast-ion gyroradius. Background waves with different scale lengths also alter the fast ion transport: Beam diffusion is smaller in waves with smaller structures (higher mode number); also, coherent waves with long correlation length cause less beam diffusion than turbulent waves. Experimental results agree well with gyro-averaging theory. When the fast ion interacts with the wave for most of a wave period, a transition from super-diffusive to sub-diffusive transport is observed, as predicted by diffusion theory. A Monte Carlo trajectory-following code simulates the interaction of the fast ions with the measured turbulent fields. Good agreement between observation and modeling is observed. Work funded by DOE and NSF and performed at the Basic Plasma Science Facility.

  11. Waves and instabilities in high β, warm ion plasmas in LAPD

    NASA Astrophysics Data System (ADS)

    Carter, T. A.; Dorfman, S. E.; Rossi, G.; Guice, D.

    2014-12-01

    The LArge Plasma Device (LAPD) has been upgraded with a second LaB6 cathode plasma source that permits the creation of higher density (~ 3×1013 cm-3), higher temperature (Te ~ 12eV), warm ion (Ti ~ 6eV) plasmas. Along with lowered magnetic field, significant increases in plasma β can be achieved with this new source (e.g. at B=100G, β~1). These new plasma conditions permit a range of new experimental opportunities on LAPD including: linear and nonlinear studies of Alfvén waves in warm ion, high β plasmas; pressure-gradient driven instabilities in increased β plasmas and electromagnetic modifications to turbulence and transport; instabilities driven by ion temperature anisotropies (e.g. firehose and mirror instabilities). The characteristics of the new plasma will be presented along with a discussion of these new research areas.

  12. Waves and instabilities in high β, warm ion plasmas in LAPD

    NASA Astrophysics Data System (ADS)

    Carter, Troy; Dorfman, Seth; Rossi, Giovanni; Guice, Daniel; Gekelman, Walter; Klein, Kris; Howes, Greg

    2014-10-01

    The LArge Plasma Device (LAPD) has been upgraded with a second LaB6 cathode plasma source that permits the creation of higher density (~ 3 ×1013 cm-3), higher temperature (Te ~ 12 eV), warm ion (Ti ~ 6 eV) plasmas. Along with lowered magnetic field, significant increases in plasma β can be achieved with this new source (e.g. at B = 100 G , β ~ 1). These new plasma conditions permit a range of new experimental opportunities on LAPD including: linear and nonlinear studies of Alfvén waves in warm ion, high β plasmas; pressure-gradient driven instabilities in increased β plasmas and electromagnetic modifications to turbulence and transport; instabilities driven by ion temperature anisotropies (e.g. firehose and mirror instabilities). The characteristics of the new plasma will be presented along with a discussion of these new research areas.

  13. High carrier activation of Mg ion-implanted GaN by conventional rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Niwa, Takaki; Fujii, Takahiro; Oka, Tohru

    2017-09-01

    A high activation ratio of Mg ion implantation by conventional rapid thermal annealing (RTA) was demonstrated. To obtain the high activation ratio of Mg ion implantation, the dependence of hole concentration on Mg dose was investigated. A maximum hole concentration and a high activation ratio of 2.3% were obtained at a Mg dose of 2.3 × 1014 cm-2 between 9.2 × 1013 and 2.3 × 1015 cm-2. The ratio is, to the best of our knowledge, the highest ever obtained by conventional RTA.

  14. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayedh, H. M.; Svensson, B. G.; Hallén, A.

    The carbon vacancy (V{sub C}) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the V{sub C}-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (C{sub i}'s) and annihilation of V{sub C}'s in the epi-layer “bulk”. Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the V{sub C} annihilation. Actually, employing normalized implantation conditions with respect to displaced Cmore » atoms, other heavier ions like Al and Si are found to be more efficient in annihilating V{sub C}'s. Concentrations of V{sub C} below ∼2 × 10{sup 11} cm{sup −3} can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the V{sub C}-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote C{sub i}-clustering and reduce dynamic defect annealing. These C{sub i}-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced C{sub i} injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the V{sub C}-concentration, which limit the net effect of the C{sub i} injection, and a competition between the two processes occurs.« less

  15. Chaotic ion motion in magnetosonic plasma waves

    NASA Technical Reports Server (NTRS)

    Varvoglis, H.

    1984-01-01

    The motion of test ions in a magnetosonic plasma wave is considered, and the 'stochasticity threshold' of the wave's amplitude for the onset of chaotic motion is estimated. It is shown that for wave amplitudes above the stochasticity threshold, the evolution of an ion distribution can be described by a diffusion equation with a diffusion coefficient D approximately equal to 1/v. Possible applications of this process to ion acceleration in flares and ion beam thermalization are discussed.

  16. Ion heating in a plasma focus

    NASA Technical Reports Server (NTRS)

    Hohl, F.; Gary, S. P.

    1974-01-01

    Ion acceleration and heating in a plasma focus were investigated by the numerical integration of the three-dimensional equations of motion. The electric and magnetic fields given were derived from experimental data. The results obtained show that during the collapse phase of focus formation, ions are efficiently heated to temperatures of several keV. During the phase of rapid current reduction, ions are accelerated to large velocities in the axial direction. The results obtained with the model are in general agreement with experimental results.

  17. In situ plasma removal of surface contaminants from ion trap electrodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Haltli, Raymond A.

    2015-05-01

    In this thesis, the construction and implementation of an in situ plasma discharge designed to remove surface contaminants from electrodes in an ion trapping experimental system is presented with results. In recent years, many advances have been made in using ion traps for quantum information processing. All of the criteria defined by DiVincenzo for using ion traps for implementing a quantum computer have been individually demonstrated, and in particular surface traps provide a scalable platform for ions. In order to be used for quantum algorithms, trapped ions need to be cooled to their motional (quantum mechanical) ground state. One ofmore » the hurdles in integrating surface ion traps for a quantum computer is minimizing electric field noise, which causes the ion to heat out of its motional ground state and which increases with smaller ion-to-electrode distances realized with surface traps. Surface contamination of trap electrodes is speculated to be the primary source of electric field noise. The main goal achieved by this work was to implement an in situ surface cleaning solution for surface electrode ion traps, which would not modify the ion trap electrode surface metal. Care was taken in applying the RF power in order to localize a plasma near the trap electrodes. A method for characterizing the energy of the plasma ions arriving at the ion trap surface is presented and results for plasma ion energies are shown. Finally, a method for quantifying the effectiveness of plasma cleaning of trap electrodes, using the surface analysis technique of X-ray photoelectron spectroscopy for measuring the amount and kind of surface contaminants, is described. A significant advantage of the trap electrode surface cleaning method presented here is the minimal changes necessary for implementation on a working ion trap experimental system.« less

  18. Investigation of Dusts Effect and Negative Ion in DC Plasmas by Electric Probes

    NASA Astrophysics Data System (ADS)

    Oh, Hye Taek; Kang, Inje; Bae, Min-Keun; Park, Insun; Lee, Seunghwa; Jeong, Seojin; Chung, Kyu-Sun

    2017-10-01

    Dust is typically negatively charged by electron attachment whose thermal velocities are fast compared to that of the heavier ions. The negatively charged particles can play a role of negative ions which affect the quasi-neutrality of background plasma. To investigate effect of metal dusts and negative ion on plasma and materials, metal dusts are injected into background Ar plasma which is generated by tungsten filament using dust dispenser on Cubical Plasma Device (CPD). The CPD has following conditions: size =24x24x24cm3, plasma source =DC filament plasma (ne 1x10x1010, Te 2eV), background gas =Ar, dusts =tungsten powder (diameter 1.89micron). The dust dispenser is developed to quantitate of metal dust by ultrasonic transducer. Electronegative plasmas are generated by adding O2 + Ar plasma to compare negative ion and dust effect. A few grams of micron-sized dusts are placed in the dust dispenser which is located at the upper side of the Cubical Plasma Device. The falling particles by dust dispenser are mainly charged up by the collection of the background plasma. The change in parameters due to negative ion production are characterized by measuring the floating and plasma potential, electron temperature and negative ion density using electric probes.

  19. Processing of silicon solar cells by ion implantation and laser annealing

    NASA Technical Reports Server (NTRS)

    Minnucci, J. A.; Matthei, K. W.; Greenwald, A. C.

    1981-01-01

    Methods to improve the radiation tolerance of silicon cells for spacecraft use are described. The major emphasis of the program was to reduce the process-induced carbon and oxygen impurities in the junction and base regions of the solar cell, and to measure the effect of reduced impurity levels on the radiation tolerance of cells. Substrates of 0.1, 1.0 and 10.0 ohm-cm float-zone material were used as starting material in the process sequence. High-dose, low-energy ion implantation was used to form the junction in n+p structures. Implant annealing was performed by conventional furnace techniques and by pulsed laser and pulsed electron beam annealing. Cells were tested for radiation tolerance at Spire and NASA-LeRC. After irradiation by 1 MeV electrons to a fluence of 10 to the 16th power per sq cm, the cells tested at Spire showed no significant process induced variations in radiation tolerance. However, for cells tested at Lewis to a fluence of 10 to the 15th power per sq cm, ion-implanted cells annealed in vacuum by pulsed electron beam consistently showed the best radiation tolerance for all cell resistivities.

  20. Impact of He and H relative depth distributions on the result of sequential He+ and H+ ion implantation and annealing in silicon

    NASA Astrophysics Data System (ADS)

    Cherkashin, N.; Daghbouj, N.; Seine, G.; Claverie, A.

    2018-04-01

    Sequential He++H+ ion implantation, being more effective than the sole implantation of H+ or He+, is used by many to transfer thin layers of silicon onto different substrates. However, due to the poor understanding of the basic mechanisms involved in such a process, the implantation parameters to be used for the efficient delamination of a superficial layer are still subject to debate. In this work, by using various experimental techniques, we have studied the influence of the He and H relative depth-distributions imposed by the ion energies onto the result of the sequential implantation and annealing of the same fluence of He and H ions. Analyzing the characteristics of the blister populations observed after annealing and deducing the composition of the gas they contain from FEM simulations, we show that the trapping efficiency of He atoms in platelets and blisters during annealing depends on the behavior of the vacancies generated by the two implants within the H-rich region before and after annealing. Maximum efficiency of the sequential ion implantation is obtained when the H-rich region is able to trap all implanted He ions, while the vacancies it generated are not available to favor the formation of V-rich complexes after implantation then He-filled nano-bubbles after annealing. A technological option is to implant He+ ions first at such an energy that the damage it generates is located on the deeper side of the H profile.