Sample records for polycrystalline si thin

  1. Effect of flash lamp annealing on electrical activation in boron-implanted polycrystalline Si thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Do, Woori; Jin, Won-Beom; Choi, Jungwan

    2014-10-15

    Highlights: • Intensified visible light irradiation was generated via a high-powered Xe arc lamp. • The disordered Si atomic structure absorbs the intensified visible light. • The rapid heating activates electrically boron-implanted Si thin films. • Flash lamp heating is applicable to low temperature polycrystalline Si thin films. - Abstract: Boron-implanted polycrystalline Si thin films on glass substrates were subjected to a short duration (1 ms) of intense visible light irradiation generated via a high-powered Xe arc lamp. The disordered Si atomic structure absorbs the intense visible light resulting from flash lamp annealing. The subsequent rapid heating results in themore » electrical activation of boron-implanted Si thin films, which is empirically observed using Hall measurements. The electrical activation is verified by the observed increase in the crystalline component of the Si structures resulting in higher transmittance. The feasibility of flash lamp annealing has also been demonstrated via a theoretical thermal prediction, indicating that the flash lamp annealing is applicable to low-temperature polycrystalline Si thin films.« less

  2. Polycrystalline silicon thin-film transistors on quartz fiber

    NASA Astrophysics Data System (ADS)

    Sugawara, Yuta; Uraoka, Yukiharu; Yano, Hiroshi; Hatayama, Tomoaki; Fuyuki, Takashi; Nakamura, Toshihiro; Toda, Sadayuki; Koaizawa, Hisashi; Mimura, Akio; Suzuki, Kenkichi

    2007-11-01

    We demonstrate the fabrication of polycrystalline silicon (poly-Si) thin-film transistors (TFTs) on a thin quartz fiber for the first time. The poly-Si used in the active layer of the TFTs was prepared by excimer laser annealing of an amorphous Si thin film deposited on the fiber. Top-gated TFTs were fabricated on the fiber, and a field effect mobility of 10cm2/Vs was obtained. The proposed TFTs on a thin quartz fiber, named fiber TFTs, have potential application in microelectronic devices using TFTs fabricated on one-dimensional substrates.

  3. Solution-Based Fabrication of Polycrystalline Si Thin-Film Transistors from Recycled Polysilanes.

    PubMed

    Sberna, Paolo M; Trifunovic, Miki; Ishihara, Ryoichi

    2017-07-03

    Currently, research has been focusing on printing and laser crystallization of cyclosilanes, bringing to life polycrystalline silicon (poly-Si) thin-film transistors (TFTs) with outstanding properties. However, the synthesis of these Si-based inks is generally complex and expensive. Here, we prove that a polysilane ink, obtained as a byproduct of silicon gases and derivatives, can be used successfully for the synthesis of poly-Si by laser annealing, at room temperature, and for n- and p-channel TFTs. The devices, fabricated according to CMOS compatible processes at 350 °C, showed field effect mobilities up to 8 and 2 cm 2 /(V s) for n- and p-type TFTs, respectively. The presented method combines a low-cost coating technique with the usage of recycled material, opening a route to a convenient and sustainable production of large-area, flexible, and even disposable/single-use electronics.

  4. Comparison of efficiency degradation in polycrystalline-Si and CdTe thin-film PV modules via accelerated lifecycle testing

    NASA Astrophysics Data System (ADS)

    Lai, T.; Potter, B. G.; Simmons-Potter, K.

    2017-08-01

    Thin-film solar cells normally have the shortest energy payback time due to their simpler mass-production process compared to polycrystalline-Si photovoltaic (PV) modules, despite the fact that crystalline-Si-based technology typically has a longer total lifetime and a higher initial power conversion efficiency. For both types of modules, significant aging occurs during the first two years of usage with slower long-term aging over the module lifetime. The PV lifetime and the return-on-investment for local PV system installations rely on long-term device performance. Understanding the efficiency degradation behavior under a given set of environmental conditions is, therefore, a primary goal for experimental research and economic analysis. In the present work, in-situ measurements of key electrical characteristics (J, V, Pmax, etc.) in polycrystalline-Si and CdTe thin-film PV modules have been analyzed. The modules were subjected to identical environmental conditions, representative of southern Arizona, in a full-scale, industrial-standard, environmental degradation chamber, equipped with a single-sun irradiance source, temperature, and humidity controls, and operating an accelerated lifecycle test (ALT) sequence. Initial results highlight differences in module performance with environmental conditions, including temperature de-rating effects, for the two technologies. Notably, the thin-film CdTe PV module was shown to be approximately 15% less sensitive to ambient temperature variation. After exposure to a seven-month equivalent compressed night-day weather cycling regimen the efficiency degradation rates of both PV technology types were obtained and will be discussed.

  5. Effect of Grain Boundaries on the Performance of Thin-Film-Based Polycrystalline Silicon Solar Cells: A Numerical Modeling

    NASA Astrophysics Data System (ADS)

    Chhetri, Nikita; Chatterjee, Somenath

    2018-01-01

    Solar cells/photovoltaic, a renewable energy source, is appraised to be the most effective alternative to the conventional electrical energy generator. A cost-effective alternative of crystalline wafer-based solar cell is thin-film polycrystalline-based solar cell. This paper reports the numerical analysis of dependency of the solar cell parameters (i.e., efficiency, fill factor, open-circuit voltage and short-circuit current density) on grain size for thin-film-based polycrystalline silicon (Si) solar cells. A minority carrier lifetime model is proposed to do a correlation between the grains, grain boundaries and lifetime for thin-film-based polycrystalline Si solar cells in MATLAB environment. As observed, the increment in the grain size diameter results in increase in minority carrier lifetime in polycrystalline Si thin film. A non-equivalent series resistance double-diode model is used to find the dark as well as light (AM1.5) current-voltage (I-V) characteristics for thin-film-based polycrystalline Si solar cells. To optimize the effectiveness of the proposed model, a successive approximation method is used and the corresponding fitting parameters are obtained. The model is validated with the experimentally obtained results reported elsewhere. The experimentally reported solar cell parameters can be found using the proposed model described here.

  6. Effect of nickel silicide gettering on metal-induced crystallized polycrystalline-silicon thin-film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Hyung Yoon; Seok, Ki Hwan; Chae, Hee Jae; Lee, Sol Kyu; Lee, Yong Hee; Joo, Seung Ki

    2017-06-01

    Low-temperature polycrystalline-silicon (poly-Si) thin-film transistors (TFTs) fabricated via metal-induced crystallization (MIC) are attractive candidates for use in active-matrix flat-panel displays. However, these exhibit a large leakage current due to the nickel silicide being trapped at the grain boundaries of the poly-Si. We reduced the leakage current of the MIC poly-Si TFTs by developing a gettering method to remove the Ni impurities using a Si getter layer and natively-formed SiO2 as the etch stop interlayer. The Ni trap state density (Nt) in the MIC poly-Si film decreased after the Ni silicide gettering, and as a result, the leakage current of the MIC poly-Si TFTs decreased. Furthermore, the leakage current of MIC poly-Si TFTs gradually decreased with additional gettering. To explain the gettering effect on MIC poly-Si TFTs, we suggest an appropriate model. He received the B.S. degree in School of Advanced Materials Engineering from Kookmin University, Seoul, South Korea in 2012, and the M.S. degree in Department of Materials Science and Engineering from Seoul National University, Seoul, South Korea in 2014. He is currently pursuing the Ph.D. degree with the Department of Materials Science and Engineering, Seoul National University, Seoul. He is involved in semiconductor device fabrication technology and top-gate polycrystalline-silicon thin-film transistors. He received the M.S. degree in innovation technology from Ecol Polytechnique, Palaiseau, France in 2013. He is currently pursuing the Ph.D. degree with the Department of Materials Science and Engineering, Seoul National University, Seoul. He is involved in semiconductor device fabrication technology and bottom-gate polycrystalline-silicon thin-film transistors. He is currently pursuing the integrated M.S and Ph.D course with the Department of Materials Science and Engineering, Seoul National University, Seoul. He is involved in semiconductor device fabrication technology and copper

  7. On the Discontinuity of Polycrystalline Silicon Thin Films Realized by Aluminum-Induced Crystallization of PECVD-Deposited Amorphous Si

    NASA Astrophysics Data System (ADS)

    Pan, Qingtao; Wang, Tao; Yan, Hui; Zhang, Ming; Mai, Yaohua

    2017-04-01

    Crystallization of glass/Aluminum (50, 100, 200 nm) /hydrogenated amorphous silicon (a-Si:H) (50, 100, 200 nm) samples by Aluminum-induced crystallization (AIC) is investigated in this article. After annealing and wet etching, we found that the continuity of the polycrystalline silicon (poly-Si) thin films was strongly dependent on the double layer thicknesses. Increasing the a-Si:H/Al layer thickness ratio would improve the film microcosmic continuity. However, too thick Si layer might cause convex or peeling off during annealing. Scanning electron microscopy (SEM) and Energy Dispersive X-ray spectroscopy (EDX) are introduced to analyze the process of the peeling off. When the thickness ratio of a-Si:H/Al layer is around 1 to 1.5 and a-Si:H layer is less than 200 nm, the poly-Si film has a good continuity. Hall measurements are introduced to determine the electrical properties. Raman spectroscopy and X-ray diffraction (XRD) results show that the poly-Si film is completely crystallized and has a preferential (111) orientation.

  8. Recent progress in Si thin film technology for solar cells

    NASA Astrophysics Data System (ADS)

    Kuwano, Yukinori; Nakano, Shoichi; Tsuda, Shinya

    1991-11-01

    Progress in Si thin film technology 'specifically amorphous Si (a-Si) and polycrystalline Si (poly-Si) thin film' for solar cells is summarized here from fabrication method, material, and structural viewpoints. In addition to a-Si, primary results on poly-Si thin film research are discussed. Various applications for a-Si solar cells are mentioned, and consumer applications and a-Si solar cell photovoltaic systems are introduced. New product developments include see-through solar cells, solar cell roofing tiles, and ultra-light flexible solar cells. As for new systems, air conditioning equipment powered by solar cells is described. Looking to the future, the proposed GENESIS project is discussed.

  9. Ferroelectric and structural properties of stress-constrained and stress-relaxed polycrystalline BiFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Nakashima, Seiji; Ricinschi, Dan; Park, Jung Min; Kanashima, Takeshi; Fujisawa, Hironori; Shimizu, Masaru; Okuyama, Masanori

    2009-03-01

    The stress influence of the structural and ferroelectric properties of polycrystalline BiFeO3 (BFO) thin films has been investigated using a membrane substrate for relaxing stress. Reciprocal space mapping (RSM) measurement has been performed to confirm the stress dependence of the crystal structure of polycrystalline BFO thin films on the Pt (200 nm)/TiO2 (50 nm)/SiO2 (600 nm)/Si (625 μm) substrate (stress-constrained BFO film) and the Pt (200 nm)/TiO2 (50 nm)/SiO2 (600 nm)/Si (15 μm) membrane substrate (stress-relaxed BFO film). The BFO thin films prepared by pulsed laser deposition were polycrystalline and mainly exhibit a texture with (001) and (110) plane orientations. From the RSM results, the crystal structure of the (001)-oriented domain changes from Pm monoclinic to Cm monoclinic or to R3c rhombohedral due to stress relaxation. Moreover, at room temperature as well as at 150 K, remanent polarization (Pr) increases and double coercive field (2Ec) decreases (in the latter case from 88 to 94 μC/cm2 and from 532 to 457 kV/cm, respectively) due to relaxing stress. The enhancement of ferroelectricity is attributed to the crystal structural deformation and/or transition and angle change between the polarization direction and film plane.

  10. Polycrystalline-thin-film thermophotovoltaic cells

    NASA Astrophysics Data System (ADS)

    Dhere, Neelkanth G.

    1996-02-01

    Thermophotovoltaic (TPV) cells convert thermal energy to electricity. Modularity, portability, silent operation, absence of moving parts, reduced air pollution, rapid start-up, high power densities, potentially high conversion efficiencies, choice of a wide range of heat sources employing fossil fuels, biomass, and even solar radiation are key advantages of TPV cells in comparison with fuel cells, thermionic and thermoelectric convertors, and heat engines. The potential applications of TPV systems include: remote electricity supplies, transportation, co-generation, electric-grid independent appliances, and space, aerospace, and military power applications. The range of bandgaps for achieving high conversion efficiencies using low temperature (1000-2000 K) black-body or selective radiators is in the 0.5-0.75 eV range. Present high efficiency convertors are based on single crystalline materials such as In1-xGaxAs, GaSb, and Ga1-xInxSb. Several polycrystalline thin films such as Hg1-xCdxTe, Sn1-xCd2xTe2, and Pb1-xCdxTe, etc., have great potential for economic large-scale applications. A small fraction of the high concentration of charge carriers generated at high fluences effectively saturates the large density of defects in polycrystalline thin films. Photovoltaic conversion efficiencies of polycrystalline thin films and PV solar cells are comparable to single crystalline Si solar cells, e.g., 17.1% for CuIn1-xGaxSe2 and 15.8% for CdTe. The best recombination-state density Nt is in the range of 10-15-10-16 cm-3 acceptable for TPV applications. Higher efficiencies may be achieved because of the higher fluences, possibility of bandgap tailoring, and use of selective emitters such as rare earth oxides (erbia, holmia, yttria) and rare earth-yttrium aluminium garnets. As compared to higher bandgap semiconductors such as CdTe, it is easier to dope the lower bandgap semiconductors. TPV cell development can benefit from the more mature PV solar cell and opto

  11. Solution-derived SiO2 gate insulator formed by CO2 laser annealing for polycrystalline silicon thin-film transistors

    NASA Astrophysics Data System (ADS)

    Hishitani, Daisuke; Horita, Masahiro; Ishikawa, Yasuaki; Ikenoue, Hiroshi; Uraoka, Yukiharu

    2017-05-01

    The formation of perhydropolysilazane (PHPS)-based SiO2 films by CO2 laser annealing is proposed. Irradiation with a CO2 laser with optimum fluence transformed a prebaked PHPS film into a SiO2 film with uniform composition in the thickness direction. Polycrystalline silicon thin-film transistors (poly-Si TFTs) with a SiO2 film as the gate insulator were fabricated. When the SiO2 film was formed by CO2 laser annealing (CO2LA) at the optimum fluence of 20 mJ/cm2, the film had fewer OH groups which was one-twentieth that of the furnace annealed PHPS film and one-hundredth that of the SiO2 film deposited by plasma-enhanced chemical vapor deposition (PECVD) using tetraethyl orthosilicate (TEOS). The resulting TFTs using PHPS showed a clear transistor operation with a field-effect mobility of 37.9 ± 1.2 cm2 V-1 s-1, a threshold voltage of 9.8 ± 0.2 V, and a subthreshold swing of 0.76 ± 0.02 V/decade. The characteristics of such TFTs were as good as those of a poly-Si TFT with a SiO2 gate insulator prepared by PECVD using TEOS.

  12. Fabrication of poly-crystalline Si-based Mie resonators via amorphous Si on SiO2 dewetting.

    PubMed

    Naffouti, Meher; David, Thomas; Benkouider, Abdelmalek; Favre, Luc; Ronda, Antoine; Berbezier, Isabelle; Bidault, Sebastien; Bonod, Nicolas; Abbarchi, Marco

    2016-02-07

    We report the fabrication of Si-based dielectric Mie resonators via a low cost process based on solid-state dewetting of ultra-thin amorphous Si on SiO2. We investigate the dewetting dynamics of a few nanometer sized layers annealed at high temperature to form submicrometric Si-particles. Morphological and structural characterization reveal the polycrystalline nature of the semiconductor matrix as well as rather irregular morphologies of the dewetted islands. Optical dark field imaging and spectroscopy measurements of the single islands reveal pronounced resonant scattering at visible frequencies. The linewidth of the low-order modes can be ∼20 nm in full width at half maximum, leading to a quality factor Q exceeding 25. These values reach the state-of-the-art ones obtained for monocrystalline Mie resonators. The simplicity of the dewetting process and its cost-effectiveness opens the route to exploiting it over large scales for applications in silicon-based photonics.

  13. Low Temperature Deposition of PECVD Polycrystalline Silicon Thin Films using SiF4 / SiH4 mixture

    NASA Astrophysics Data System (ADS)

    Syed, Moniruzzaman; Inokuma, Takao; Kurata, Yoshihiro; Hasegawa, Seiichi

    2016-03-01

    Polycrystalline silicon films with a strong (110) texture were prepared at 400°C by a plasma-enhanced chemical vapor deposition using different SiF4 flow rates ([SiF4] = 0-0.5 sccm) under a fixed SiH4 flow rate ([SiH4] = 1 or 0.15 sccm). The effects of the addition of SiF4 to SiH4 on the structural properties of the films were studied by Raman scattering, X-ray diffraction (XRD), Atomic force microscopy and stress measurements. For [SiH4] = 1 sccm, the crystallinity and the (110) XRD grain size monotonically increased with increasing [SiF4] and their respective maxima reach 90% and 900 Å. However, for [SiH4] = 0.15 sccm, both the crystallinity and the grain size decreased with [SiF4]. Mechanisms causing the change in crystallinity are discussed, and it was suggested that an improvement in the crystallinity, due to the addition of SiF4, is likely to be caused by the effect of a change in the surface morphology of the substrates along with the effect of in situ chemical cleaning.

  14. Progress with polycrystalline silicon thin-film solar cells on glass at UNSW

    NASA Astrophysics Data System (ADS)

    Aberle, Armin G.

    2006-01-01

    Polycrystalline Si (pc-Si) thin-film solar cells on glass have long been considered a very promising approach for lowering the cost of photovoltaic (PV) solar electricity. In recent years there have been dramatic advances with this PV technology, and the first commercial modules (CSG Solar) are expected to hit the marketplace in 2006. The CSG modules are based on solid-phase crystallisation of plasma-enhanced chemical vapor deposition (PECVD) -deposited amorphous Si. Independent research in the author's group at the University of New South Wales (UNSW) during recent years has led to the development of three alternative pc-Si thin-film solar cells on glass—EVA, ALICIA and ALICE. Cell thickness is generally about 2 μm. The first two cells are made by vacuum evaporation, whereas ALICE cells can be made by either vacuum evaporation or PECVD. Evaporation has the advantage of being a fast and inexpensive Si deposition method. A crucial component of ALICIA and ALICE cells is a seed layer made on glass by metal-induced crystallisation of amorphous silicon (a-Si). The absorber layer of these cells is made by either ion-assisted Si epitaxy (ALICIA) or solid-phase epitaxy of a-Si (ALICE). This paper reports on the status of these three new thin-film PV technologies. All three solar cells seem to be capable of voltages of over 500 mV and, owing to their potentially inexpensive and scalable fabrication process, have significant industrial appeal.

  15. Polycrystalline silicon thin-film transistors fabricated by Joule-heating-induced crystallization

    NASA Astrophysics Data System (ADS)

    Hong, Won-Eui; Ro, Jae-Sang

    2015-01-01

    Joule-heating-induced crystallization (JIC) of amorphous silicon (a-Si) films is carried out by applying an electric pulse to a conductive layer located beneath or above the films. Crystallization occurs across the whole substrate surface within few tens of microseconds. Arc instability, however, is observed during crystallization, and is attributed to dielectric breakdown in the conductor/insulator/transformed polycrystalline silicon (poly-Si) sandwich structures at high temperatures during electrical pulsing for crystallization. In this study, we devised a method for the crystallization of a-Si films while preventing arc generation; this method consisted of pre-patterning an a-Si active layer into islands and then depositing a gate oxide and gate electrode. Electric pulsing was then applied to the gate electrode formed using a Mo layer. The Mo layer was used as a Joule-heat source for the crystallization of pre-patterned active islands of a-Si films. JIC-processed poly-Si thin-film transistors (TFTs) were fabricated successfully, and the proposed method was found to be compatible with the standard processing of coplanar top-gate poly-Si TFTs.

  16. Planarized thick copper gate polycrystalline silicon thin film transistors for ultra-large AMOLED displays

    NASA Astrophysics Data System (ADS)

    Yun, Seung Jae; Lee, Yong Woo; Son, Se Wan; Byun, Chang Woo; Reddy, A. Mallikarjuna; Joo, Seung Ki

    2012-08-01

    A planarized thick copper (Cu) gate low temperature polycrystalline silicon (LTPS) thin film transistors (TFTs) is fabricated for ultra-large active-matrix organic light-emitting diode (AMOLED) displays. We introduce a damascene and chemical mechanical polishing process to embed a planarized Cu gate of 500 nm thickness into a trench and Si3N4/SiO2 multilayer gate insulator, to prevent the Cu gate from diffusing into the silicon (Si) layer at 550°C, and metal-induced lateral crystallization (MILC) technology to crystallize the amorphous Si layer. A poly-Si TFT with planarized thick Cu gate exhibits a field effect mobility of 5 cm2/Vs and a threshold voltage of -9 V, and a subthreshold swing (S) of 1.4 V/dec.

  17. Enhancement of lower critical field by reducing the thickness of epitaxial and polycrystalline MgB₂ thin films

    DOE PAGES

    Tan, Teng; Wolak, M. A.; Acharya, Narendra; ...

    2015-04-01

    For potential applications in superconducting RF cavities, we have investigated the properties of polycrystalline MgB₂ films, including the thickness dependence of the lower critical field Hc₁. MgB₂ thin films were fabricated by hybrid physical-chemical vapor deposition on (0001) SiC substrate either directly (for epitaxial films) or with a MgO buffer layer (for polycrystalline films). When the film thickness decreased from 300 nm to 100 nm, Hc₁ at 5 K increased from around 600 Oe to 1880 Oe in epitaxial films and to 1520 Oe in polycrystalline films. The result is promising for using MgB₂/MgO multilayers to enhance the vortex penetrationmore » field.« less

  18. Enhanced magnetic and thermoelectric properties in epitaxial polycrystalline SrRuO3 thin films.

    PubMed

    Woo, Sungmin; Lee, Sang A; Mun, Hyeona; Choi, Young Gwan; Zhung, Chan June; Shin, Soohyeon; Lacotte, Morgane; David, Adrian; Prellier, Wilfrid; Park, Tuson; Kang, Won Nam; Lee, Jong Seok; Kim, Sung Wng; Choi, Woo Seok

    2018-03-01

    Transition metal oxide thin films show versatile electric, magnetic, and thermal properties which can be tailored by deliberately introducing macroscopic grain boundaries via polycrystalline solids. In this study, we focus on the modification of magnetic and thermal transport properties by fabricating single- and polycrystalline epitaxial SrRuO 3 thin films using pulsed laser epitaxy. Using the epitaxial stabilization technique with an atomically flat polycrystalline SrTiO 3 substrate, an epitaxial polycrystalline SrRuO 3 thin film with the crystalline quality of each grain comparable to that of its single-crystalline counterpart is realized. In particular, alleviated compressive strain near the grain boundaries due to coalescence is evidenced structurally, which induced the enhancement of ferromagnetic ordering of the polycrystalline epitaxial thin film. The structural variations associated with the grain boundaries further reduce the thermal conductivity without deteriorating the electronic transport, and lead to an enhanced thermoelectric efficiency in the epitaxial polycrystalline thin films, compared with their single-crystalline counterpart.

  19. Mixed Al and Si doping in ferroelectric HfO{sub 2} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomenzo, Patrick D.; Nishida, Toshikazu, E-mail: nishida@ufl.edu; Takmeel, Qanit

    2015-12-14

    Ferroelectric HfO{sub 2} thin films 10 nm thick are simultaneously doped with Al and Si. The arrangement of the Al and Si dopant layers within the HfO{sub 2} greatly influences the resulting ferroelectric properties of the polycrystalline thin films. Optimizing the order of the Si and Al dopant layers led to a remanent polarization of ∼20 μC/cm{sup 2} and a coercive field strength of ∼1.2 MV/cm. Post-metallization anneal temperatures from 700 °C to 900 °C were used to crystallize the Al and Si doped HfO{sub 2} thin films. Grazing incidence x-ray diffraction detected differences in peak broadening between the mixed Al and Si doped HfO{submore » 2} thin films, indicating that strain may influence the formation of the ferroelectric phase with variations in the dopant layering. Endurance characteristics show that the mixed Al and Si doped HfO{sub 2} thin films exhibit a remanent polarization greater than 15 μC/cm{sup 2} up to 10{sup 8} cycles.« less

  20. Structural control of In2Se3 polycrystalline thin films by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Okamoto, T.; Nakada, Y.; Aoki, T.; Takaba, Y.; Yamada, A.; Konagai, M.

    2006-09-01

    Structural control of In2Se3 polycrystalline thin films was attempted by molecular beam epitaxy (MBE) technique. In2Se3 polycrystalline films were obtained on glass substrates at substrate temperatures above 400 °C. VI/III ratio greatly affected crystal structure of In2Se3 polycrystalline films. Mixtures of -In2Se3 and γ-In2Se3 were obtained at VI/III ratios greater than 20, and layered InSe polycrystalline films were formed at VI/III ratios below 1. γ-In2Se3 polycrystalline thin films without α-phase were successfully deposited with VI/III ratios in a range of 2 to 4. Photocurrent spectra of the γ-In2Se3 polycrystalline films showed an abrupt increase at approximately 1.9 eV, which almost corresponds with the reported bandgap of γ-In2Se3. Dark conductivity and photoconductivity measured under solar simulator light (AM 1.5, 100 mW/cm2) were approximately 10-9 and 10-5 S/cm in the γ-In2Se3 polycrystalline thin films, respectively.

  1. Interaction of metal layers with polycrystalline Si

    NASA Technical Reports Server (NTRS)

    Nakamura, K.; Olowolafe, J. O.; Lau, S. S.; Nicolet, M.-A.; Mayer, J. W.; Shima, R.

    1976-01-01

    Solid-phase reactions of metal films deposited on 0.5-micron-thick polycrystalline layers of Si grown by chemical vapor deposition at 640 C were investigated by MeV He-4 backscattering spectrometry, glancing angle X-ray diffraction, and SEM observations. For the metals Al, Ag, and Au, which form simple eutectics, heat treatment at temperatures below the eutectic results in erosion of the poly-Si layer and growth of Si crystallites in the metal film. Crystallite formation is observed at temperatures exceeding 550 C for Ag, at those exceeding 400 C for Al, and at those exceeding 200 C for Au films. For Pd, Ni, and Cr, heat treatment results in silicide formation. The same initial silicides (Pd2Si, Ni2Si, and CrSi2), are formed at similar temperatures on single-crystal substrates.

  2. Polycrystalline silicon availability for photovoltaic and semiconductor industries

    NASA Technical Reports Server (NTRS)

    Ferber, R. R.; Costogue, E. N.; Pellin, R.

    1982-01-01

    Markets, applications, and production techniques for Siemens process-produced polycrystalline silicon are surveyed. It is noted that as of 1982 a total of six Si materials suppliers were servicing a worldwide total of over 1000 manufacturers of Si-based devices. Besides solar cells, the Si wafers are employed for thyristors, rectifiers, bipolar power transistors, and discrete components for control systems. An estimated 3890 metric tons of semiconductor-grade polycrystalline Si will be used in 1982, and 6200 metric tons by 1985. Although the amount is expected to nearly triple between 1982-89, research is being carried out on the formation of thin films and ribbons for solar cells, thereby eliminating the waste produced in slicing Czolchralski-grown crystals. The free-world Si production in 1982 is estimated to be 3050 metric tons. Various new technologies for the formation of polycrystalline Si at lower costs and with less waste are considered. New entries into the industrial Si formation field are projected to produce a 2000 metric ton excess by 1988.

  3. Fabrication and characterization of low temperature polycrystalline silicon thin film transistors

    NASA Astrophysics Data System (ADS)

    Krishnan, Anand Thiruvengadathan

    2000-10-01

    The proliferation of devices with built-in displays, such as personal digital assistants and cellular phones has created a demand for rugged light-weight displays. Polymeric substrates could be suited for these applications, and they offer the possibility of flexible displays also. However, driver circuitry needs to be integrated in the display if the cost is to be reduced. Low temperature (<350°C) polycrystalline silicon (poly-Si) thin film transistors, if developed, offer driver circuitry integration during pixel transistor fabrication on top of flexible substrates. This thesis addresses several issues related to the fabrication of thin film transistors at low temperatures on glass substrates. A high-density plasma (electron cyclotron resonance (ECR)) based approach was adopted for deposition of thin films. A process for deposition of n-type doped silicon (n-type doped Si) at T < 350°C and having resistivity <1 ohm/cm has been developed. Intrinsic poly-Si was deposited under different conditions of microwave power, RF bias and deposition times. The properties of n-type doped Si and intrinsic poly-Si were correlated with the structure and the deposition conditions. A novel TFT structure has been proposed and implemented in this work. This top gate TFT structure uses n-type doped Si and utilizes only two masks and one alignment step. There are no critical etch steps and good interface quality could be obtained even without post-processing hydrogenation as the poly-Si surface was not exposed to air before deposition of the gate dielectric. TFTs using this top gate structure were fabricated with no process step exceeding 340°C electrode temperature (surface temperature <300°C). These TFTs show ON/OFF ratios in excess of 105. Their sub-threshold swing is ˜0.5 V/decade and mobility is 1--10 cm2/V-s. Several TFTs were also fabricated using alternative dielectrics such as oxide deposited from tetramethyl silane in an RFPECVD chamber and silicon nitride deposited in

  4. Polycrystalline Thin-Film Photovoltaics | Photovoltaic Research | NREL

    Science.gov Websites

    (CdTe) We develop processes and a range of materials for CdTe photovoltaic (PV) devices. Our work partners. Our objectives are to improve CdTe PV performance, reduce costs, and advance fundamental processes and materials related to thin-film polycrystalline PV devices, and our measurements and

  5. Polycrystalline Superconducting Thin Films: Texture Control and Critical Current Density

    NASA Astrophysics Data System (ADS)

    Yang, Feng

    1995-01-01

    The growth processes of polycrystalline rm YBa_2CU_3O_{7-X} (YBCO) and yttria-stabilized-zirconia (YSZ) thin films have been developed. The effectiveness of YSZ buffer layers on suppression of the reaction between YBCO thin films and metallic substrates was carefully studied. Grown on the chemically inert surfaces of YSZ buffer layers, YBCO thin films possessed good quality of c-axis alignment with the c axis parallel to the substrate normal, but without any preferred in-plane orientations. This leads to the existence of a large percentage of the high-angle grain boundaries in the YBCO films. The critical current densities (rm J_{c}'s) found in these films were much lower than those in single crystal YBCO thin films, which was the consequence of the weak -link effect of the high-angle grain boundaries in these films. It became clear that the in-plane alignment is vital for achieving high rm J_{c }s in polycrystalline YBCO thin films. To induce the in-plane alignment, ion beam-assisted deposition (IBAD) technique was integrated into the conventional pulsed laser deposition process for the growth of the YSZ buffer layers. It was demonstrated that using IBAD the in-plane orientations of the YSZ grains could be controlled within a certain range of a common direction. This ion -bombardment induced in-plane texturing was explained using the anisotropic sputtering yield theory. Our observations and analyses have provided valuable information on the optimization of the IBAD process, and shed light on the texturing mechanism in YSZ. With the in-plane aligned YSZ buffer layers, YBCO thin films grown on metallic substrates showed improved rm J_{c}s. It was found that the in-plane alignment of YSZ and that of YBCO were closely related. A direct correlation was revealed between the rm J_{c} value and the degree of the in-plane alignment for the YBCO thin films. To explain this correlation, a numerical model was applied to multi-grain superconducting paths with different

  6. Charge carrier transport in polycrystalline organic thin film based field effect transistors

    NASA Astrophysics Data System (ADS)

    Rani, Varsha; Sharma, Akanksha; Ghosh, Subhasis

    2016-05-01

    The charge carrier transport mechanism in polycrystalline thin film based organic field effect transistors (OFETs) has been explained using two competing models, multiple trapping and releases (MTR) model and percolation model. It has been shown that MTR model is most suitable for explaining charge carrier transport in grainy polycrystalline organic thin films. The energetic distribution of traps determined independently using Mayer-Neldel rule (MNR) is in excellent agreement with the values obtained by MTR model for copper phthalocyanine and pentacene based OFETs.

  7. Effects of a capping oxide layer on polycrystalline-silicon thin-film transistors fabricated by continuous-wave laser crystallization

    NASA Astrophysics Data System (ADS)

    Li, Yi-Shao; Wu, Chun-Yi; Chou, Chia-Hsin; Liao, Chan-Yu; Chuang, Kai-Chi; Luo, Jun-Dao; Li, Wei-Shuo; Cheng, Huang-Chung

    2018-06-01

    A tetraethyl-orthosilicate (TEOS) capping oxide was deposited by low-pressure chemical vapor deposition (LPCVD) on a 200-nm-thick amorphous Si (a-Si) film as a heat reservoir to improve the crystallinity and surface roughness of polycrystalline silicon (poly-Si) formed by continuous-wave laser crystallization (CLC). The effects of four thicknesses of the capping oxide layer to satisfy an antireflection condition, namely, 90, 270, 450, and 630 nm, were investigated. The largest poly-Si grain size of 2.5 × 20 µm2 could be achieved using a capping oxide layer with an optimal thickness of 450 nm. Moreover, poly-Si nanorod (NR) thin-film transistors (TFTs) fabricated using the aforementioned technique exhibited a superior electron field-effect mobility of 1093.3 cm2 V‑1 s‑1 and an on/off current ratio of 2.53 × 109.

  8. Creep behavior for advanced polycrystalline SiC fibers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Youngblood, G.E.; Jones, R.H.; Kohyama, Akira

    1997-04-01

    A bend stress relaxation (BSR) test has been utilized to examine irradiation enhanced creep in polycrystalline SiC fibers which are under development for use as fiber reinforcement in SiC/SiC composite. Qualitative, S-shaped 1hr BSR curves were compared for three selected advanced SiC fiber types and standard Nicalon CG fiber. The temperature corresponding to the middle of the S-curve (where the BSR parameter m = 0.5) is a measure of a fiber`s thermal stability as well as it creep resistance. In order of decreasing thermal creep resistance, the measured transition temperatures were Nicalon S (1450{degrees}C), Sylramic (1420{degrees}C), Hi-Nicalon (1230{degrees}C) and Nicalonmore » CG (1110{degrees}C).« less

  9. Advances in polycrystalline thin-film photovoltaics for space applications

    NASA Technical Reports Server (NTRS)

    Lanning, Bruce R.; Armstrong, Joseph H.; Misra, Mohan S.

    1994-01-01

    Polycrystalline, thin-film photovoltaics represent one of the few (if not the only) renewable power sources which has the potential to satisfy the demanding technical requirements for future space applications. The demand in space is for deployable, flexible arrays with high power-to-weight ratios and long-term stability (15-20 years). In addition, there is also the demand that these arrays be produced by scalable, low-cost, high yield, processes. An approach to significantly reduce costs and increase reliability is to interconnect individual cells series via monolithic integration. Both CIS and CdTe semiconductor films are optimum absorber materials for thin-film n-p heterojunction solar cells, having band gaps between 0.9-1.5 ev and demonstrated small area efficiencies, with cadmium sulfide window layers, above 16.5 percent. Both CIS and CdTe polycrystalline thin-film cells have been produced on a laboratory scale by a variety of physical and chemical deposition methods, including evaporation, sputtering, and electrodeposition. Translating laboratory processes which yield these high efficiency, small area cells into the design of a manufacturing process capable of producing 1-sq ft modules, however, requires a quantitative understanding of each individual step in the process and its (each step) effect on overall module performance. With a proper quantification and understanding of material transport and reactivity for each individual step, manufacturing process can be designed that is not 'reactor-specific' and can be controlled intelligently with the design parameters of the process. The objective of this paper is to present an overview of the current efforts at MMC to develop large-scale manufacturing processes for both CIS and CdTe thin-film polycrystalline modules. CIS cells/modules are fabricated in a 'substrate configuration' by physical vapor deposition techniques and CdTe cells/modules are fabricated in a 'superstrate configuration' by wet chemical

  10. Defect engineering and luminescence characterization in bulk and thin film polycrystalline silicon

    NASA Astrophysics Data System (ADS)

    Koshka, Yaroslav

    The passivation of recombination centers and the monitoring of passivation efficiency are critical for successful utilization of polycrystalline silicon (poly-Si) in solar cells and in thin-film transistors. Two important classes of poly-Si-thin films and bulk wafers-can respond differently to passivation processes (hydrogenation efficiency, possibilities of extrinsic and intrinsic gettering, etc.) and demand different approaches to their characterization. The effect of photoluminescence (PL) enhancement using ultrasound treatment (UST) was studied in poly-Si and amorphous-Si films on glass. In addition to the previously documented growth of the 0.7 eV oxygen related band in poly-Si films, generation and dramatic enhancement of a new luminescence maximum at about 0.98 eV occurs in films containing a superposition of poly-Si and alpha-Si phases. A model of ultrasound stimulated hydrogen detrapping followed by hydrogen diffusion and passivation of non-radiative centers was developed. Room temperature photoluminescence (PL) mapping was used to monitor improvement of recombination properties in bulk photovoltaic poly-Si during solar cell fabrication. Analysis of the statistical distribution of the values of PL enhancement shows that the contribution of individual processing steps to the increasing PL are different in nature. A correlation between PL mapping and minority carrier diffusion length was performed and quantitatively described. A method of obtaining separate information about the recombination properties of the bulk and the p/n junction regions of solar cells was developed. The method is based on measurements of PL distribution under different biases applied to solar cells and under different intensities of the excitation light. A PL study at 0.8 eV spectral maximum and comparison with the band-to-band PL was performed. Influence of the defects responsible for the 0.8 eV defect band was insignificant in as-grown wafers. It was revealed, however, that these

  11. Strain evolution of each type of grains in poly-crystalline (Ba,Sr)TiO3 thin films grown by sputtering

    PubMed Central

    Park, Woo Young; Park, Min Hyuk; Lee, Jong Ho; Yoon, Jung Ho; Han, Jeong Hwan; Choi, Jung-Hae; Hwang, Cheol Seong

    2012-01-01

    The strain states of [111]-, [110]-, and [002]-oriented grains in poly-crystalline sputtered (Ba,Sr)TiO3 thin films on highly [111]-oriented Pt electrode/Si substrates were carefully examined by X-ray diffraction techniques. Remarkably, [002]-oriented grains respond more while [110]- and [111]-oriented grains do less than the theoretically estimated responses, which is understandable from the arrangement of the TiO6 octahedra with respect to the stress direction. Furthermore, such mechanical responses are completely independent of the degree of crystallization and film thickness. The transition growth temperature between the positive and negative strains was also different depending on the grain orientation. The unstrained lattice parameter for each type of grain was different suggesting that the oxygen vacancy concentration for each type of grain is different, too. The results reveal that polycrystalline (Ba,Sr)TiO3 thin films are not an aggregation of differently oriented grains which simply follow the mechanical behavior of single crystal with different orientations. PMID:23230505

  12. Fabrication of microchannels in polycrystalline diamond using pre-fabricated Si substrates

    NASA Astrophysics Data System (ADS)

    Chandran, Maneesh; Elfimchev, Sergey; Michaelson, Shaul; Akhvlediani, Rozalia; Ternyak, Orna; Hoffman, Alon

    2017-10-01

    In this paper, we report on a simple, feasible method to fabricate microchannels in diamond. Polycrystalline diamond microchannels were produced by fabricating trenches in a Si wafer and subsequently depositing a thin layer of diamond onto this substrate using the hot filament vapor deposition technique. Fabrication of trenches in the Si substrate at different depths was carried out by standard photolithography, and the subsequent deposition of the diamond layer was performed by the hot filament chemical vapor deposition technique. The growth mechanism of diamond that leads to the formation of closed diamond microchannels is discussed in detail based on the Knudsen number and growth chemistry of diamond. Variations in the crystallite size, crystalline quality, and thickness of the diamond layer along the trench depths were systematically analyzed using cross-sectional scanning electron microscopy and Raman spectroscopy. Defect density and formation of non-diamond forms of carbon in the diamond layer were found to increase with the trench depth, which sets a limit of 5-45 μm trench depth (or an aspect ratio of 1-9) for the fabrication of diamond microchannels using this method under the present conditions.

  13. Surface Engineering of Polycrystalline Silicon for Long-Term Mechanical Stress Endurance Enhancement in Flexible Low-Temperature Poly-Si Thin-Film Transistors.

    PubMed

    Chen, Bo-Wei; Chang, Ting-Chang; Chang, Kuan-Chang; Hung, Yu-Ju; Huang, Shin-Ping; Chen, Hua-Mao; Liao, Po-Yung; Lin, Yu-Ho; Huang, Hui-Chun; Chiang, Hsiao-Cheng; Yang, Chung-I; Zheng, Yu-Zhe; Chu, Ann-Kuo; Li, Hung-Wei; Tsai, Chih-Hung; Lu, Hsueh-Hsing; Wang, Terry Tai-Jui; Chang, Tsu-Chiang

    2017-04-05

    The surface morphology in polycrystalline silicon (poly-Si) film is an issue regardless of whether conventional excimer laser annealing (ELA) or the newer metal-induced lateral crystallization (MILC) process is used. This paper investigates the stress distribution while undergoing long-term mechanical stress and the influence of stress on electrical characteristics. Our simulated results show that the nonuniform stress in the gate insulator is more pronounced near the polysilicon/gate insulator edge and at the two sides of the polysilicon protrusion. This stress results in defects in the gate insulator and leads to a nonuniform degradation phenomenon, which affects both the performance and the reliability in thin-film transistors (TFTs). The degree of degradation is similar regardless of bending axis (channel-length axis, channel-width axis) or bending type (compression, tension), which means that the degradation is dominated by the protrusion effects. Furthermore, by utilizing long-term electrical bias stresses after undergoing long-tern bending stress, it is apparent that the carrier injection is severe in the subchannel region, which confirms that the influence of protrusions is crucial. To eliminate the influence of surface morphology in poly-Si, three kinds of laser energy density were used during crystallization to control the protrusion height. The device with the lowest protrusions demonstrates the smallest degradation after undergoing long-term bending.

  14. Hydrogen passivation of polycrystalline silicon thin films

    NASA Astrophysics Data System (ADS)

    Scheller, L.-P.; Weizman, M.; Simon, P.; Fehr, M.; Nickel, N. H.

    2012-09-01

    The influence of post-hydrogenation on the electrical and optical properties of solid phase crystallized polycrystalline silicon (poly-Si) was examined. The passivation of grain-boundary defects was measured as a function of the passivation time. The silicon dangling-bond concentration decreases with increasing passivation time due to the formation of Si-H complexes. In addition, large H-stabilized platelet-like clusters are generated. The influence of H on the electrical properties was investigated using temperature dependent conductivity and Hall-effect measurements. For poly-Si on Corning glass, the dark conductivity decreases upon hydrogenation, while it increases when the samples are fabricated on silicon-nitride covered Borofloat glass. Hall-effect measurements reveal that for poly-Si on Corning glass the hole concentration and the mobility decrease upon post-hydrogenation, while a pronounced increase is observed for poly-Si on silicon-nitride covered Borofloat glass. This indicates the formation of localized states in the band gap, which is supported by sub band-gap absorption measurments. The results are discussed in terms of hydrogen-induced defect passivation and generation mechanisms.

  15. Effects of high optical injection levels in polycrystalline Si wafers on carrier transport

    NASA Astrophysics Data System (ADS)

    Steele, Doneisha; Semichaevsky, Andrey

    High levels of carrier injection in polycrystalline Si may arise, for example, in solar cells under concentrated sunlight. Mechanisms for non-radiative carrier recombination include trap-mediated SRH and higher-order processes, e.g., Auger recombination. In this paper we present our experimental results for intensity-dependent carrier lifetimes and conduction currents in polycrystalline Si wafers illuminated with pulses of up to 50 Sun intensity. We also use a computational model for carrier transport that includes both SRH and Auger recombination mechanisms, in order to explain our experiments. The model allows quantifying recombination rate dependence on carrier concentration. Our goal is to relate the recombination rates to Si microstructure and defect densities that are revealed by IR PL images. We acknowledge the NSF support through Grant 1505377.

  16. Anisotropic thermal conductivity of thin polycrystalline oxide samples

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tiwari, A., E-mail: abhishektiwariiitr@gmail.com; Department of Mechanical and Aerospace Engineering, Monash University, Melbourne, VIC 3800; Boussois, K.

    2013-11-15

    This paper reports about the development of a modified laser-flash technique and relation to measure the in-plane thermal diffusivity of thin polycrystalline oxide samples. Thermal conductivity is then calculated with the product of diffusivity, specific heat and density. Design and operating features for evaluating in-plane thermal conductivities are described. The technique is advantageous as thin samples are not glued together to measure in-plane thermal conductivities like earlier methods reported in literature. The approach was employed to study anisotropic thermal conductivity in alumina sheet, textured kaolin ceramics and montmorillonite. Since it is rare to find in-plane thermal conductivity values for suchmore » anisotropic thin samples in literature, this technique offers a useful variant to existing techniques.« less

  17. Comparative study of mobility extraction methods in p-type polycrystalline silicon thin film transistors

    NASA Astrophysics Data System (ADS)

    Liu, Kai; Liu, Yuan; Liu, Yu-Rong; En, Yun-Fei; Li, Bin

    2017-07-01

    Channel mobility in the p-type polycrystalline silicon thin film transistors (poly-Si TFTs) is extracted using Hoffman method, linear region transconductance method and multi-frequency C-V method. Due to the non-negligible errors when neglecting the dependence of gate-source voltage on the effective mobility, the extracted mobility results are overestimated using linear region transconductance method and Hoffman method, especially in the lower gate-source voltage region. By considering of the distribution of localized states in the band-gap, the frequency independent capacitance due to localized charges in the sub-gap states and due to channel free electron charges in the conduction band were extracted using multi-frequency C-V method. Therefore, channel mobility was extracted accurately based on the charge transport theory. In addition, the effect of electrical field dependent mobility degradation was also considered in the higher gate-source voltage region. In the end, the extracted mobility results in the poly-Si TFTs using these three methods are compared and analyzed.

  18. Comparison of the agglomeration behavior of thin metallic films on SiO2

    NASA Astrophysics Data System (ADS)

    Gadkari, P. R.; Warren, A. P.; Todi, R. M.; Petrova, R. V.; Coffey, K. R.

    2005-07-01

    The stability of continuous metallic thin films on insulating oxide surfaces is of interest to applications such as semiconductor interconnections and gate engineering. In this work, we report the study of the formation of voids and agglomeration of initially continuous Cu, Au, Ru and Pt thin films deposited on amorphous thermally grown SiO2 surfaces. Polycrystalline thin films having thicknesses in the range of 10-100 nm were ultrahigh vacuum sputter deposited on thermally grown SiO2 surfaces. The films were annealed at temperatures in the range of 150-800 °C in argon and argon+3% hydrogen gases. Scanning electron microscopy was used to investigate the agglomeration behavior, and transmission electron microscopy was used to characterize the microstructure of the as-deposited and annealed films. The agglomeration sequence in all of the films is found to follow a two step process of void nucleation and void growth. However, void growth in Au and Pt thin films is different from Cu and Ru thin films. Residual stress and adhesion were observed to play an important part in deciding the mode of void growth in Au and Pt thin films. Last, it is also observed that the tendency for agglomeration can be reduced by encapsulating the metal film with an oxide overlayer.

  19. Highly efficient phosphorescent organic light-emitting diode with a nanometer-thick Ni silicide/polycrystalline p-Si composite anode.

    PubMed

    Li, Y Z; Wang, Z L; Luo, H; Wang, Y Z; Xu, W J; Ran, G Z; Qin, G G; Zhao, W Q; Liu, H

    2010-07-19

    A phosphorescent organic light-emitting diode (PhOLED) with a nanometer-thick (approximately 10 nm) Ni silicide/ polycrystalline p-Si composite anode is reported. The structure of the PhOLED is Al mirror/ glass substrate / Si isolation layer / Ni silicide / polycrystalline p-Si/ V(2)O(5)/ NPB/ CBP: (ppy)(2)Ir(acac)/ Bphen/ Bphen: Cs(2)CO(3)/ Sm/ Au/ BCP. In the composite anode, the Ni-induced polycrystalline p-Si layer injects holes into the V(2)O(5)/ NPB, and the Ni silicide layer reduces the sheet resistance of the composite anode and thus the series resistance of the PhOLED. By adopting various measures for specially optimizing the thickness of the Ni layer, which induces Si crystallization and forms a Ni silicide layer of appropriate thickness, the highest external quantum efficiency and power conversion efficiency have been raised to 26% and 11%, respectively.

  20. Advanced Micro-Polycrystalline Silicon Films Formed by Blue-Multi-Laser-Diode Annealing

    NASA Astrophysics Data System (ADS)

    Noguchi, Takashi; Chen, Yi; Miyahira, Tomoyuki; de Dieu Mugiraneza, Jean; Ogino, Yoshiaki; Iida, Yasuhiro; Sahota, Eiji; Terao, Motoyasu

    2010-03-01

    Semiconductor blue-multi-laser-diode annealing (BLDA) for amorphous Si film was performed to obtain a film containing uniform polycrystalline silicon (poly-Si) grains as a low temperature poly-Si (LTPS) process used for thin-film transistor (TFT). By adopting continuous wave (CW) mode at the 445 nm wavelength of the BLDA system, the light beam is efficiently absorbed into the thin amorphous silicon film of 50 nm thickness and can be crystallized stably. By adjusting simply the laser power below 6 W with controlled beam shape, the isotropic Si grains from uniform micro-grains to arbitral grain size of polycrystalline phase can be obtained with reproducible by fixing the scan speed at 500 mm/s. As a result of analysis using electron microscopy and atomic force microscopy (AFM), uniform distributed micro-poly-Si grains of smooth surface were observed at a power condition below 5 W and the preferred crystal orientation of (111) face was confirmed. As arbitral grain size can be obtained stably and reproducibly merely by controlling the laser power, BLDA is promising as a next-generation LTPS process for AM OLED panel including a system on glass (SoG).

  1. Fabrication of polycrystalline thin films by pulsed laser processing

    DOEpatents

    Mitlitsky, Fred; Truher, Joel B.; Kaschmitter, James L.; Colella, Nicholas J.

    1998-02-03

    A method for fabricating polycrystalline thin films on low-temperature (or high-temperature) substrates which uses processing temperatures that are low enough to avoid damage to the substrate, and then transiently heating select layers of the thin films with at least one pulse of a laser or other homogenized beam source. The pulse length is selected so that the layers of interest are transiently heated to a temperature which allows recrystallization and/or dopant activation while maintaining the substrate at a temperature which is sufficiently low to avoid damage to the substrate. This method is particularly applicable in the fabrication of solar cells.

  2. On the interplay between phonon-boundary scattering and phonon-point-defect scattering in SiGe thin films

    NASA Astrophysics Data System (ADS)

    Iskandar, A.; Abou-Khalil, A.; Kazan, M.; Kassem, W.; Volz, S.

    2015-03-01

    This paper provides theoretical understanding of the interplay between the scattering of phonons by the boundaries and point-defects in SiGe thin films. It also provides a tool for the design of SiGe-based high-efficiency thermoelectric devices. The contributions of the alloy composition, grain size, and film thickness to the phonon scattering rate are described by a model for the thermal conductivity based on the single-mode relaxation time approximation. The exact Boltzmann equation including spatial dependence of phonon distribution function is solved to yield an expression for the rate at which phonons scatter by the thin film boundaries in the presence of the other phonon scattering mechanisms. The rates at which phonons scatter via normal and resistive three-phonon processes are calculated by using perturbation theories with taking into account dispersion of confined acoustic phonons in a two dimensional structure. The vibrational parameters of the model are deduced from the dispersion of confined acoustic phonons as functions of temperature and crystallographic direction. The accuracy of the model is demonstrated with reference to recent experimental investigations regarding the thermal conductivity of single-crystal and polycrystalline SiGe films. The paper describes the strength of each of the phonon scattering mechanisms in the full temperature range. Furthermore, it predicts the alloy composition and film thickness that lead to minimum thermal conductivity in a single-crystal SiGe film, and the alloy composition and grain size that lead to minimum thermal conductivity in a polycrystalline SiGe film.

  3. Low temperature production of large-grain polycrystalline semiconductors

    DOEpatents

    Naseem, Hameed A [Fayetteville, AR; Albarghouti, Marwan [Loudonville, NY

    2007-04-10

    An oxide or nitride layer is provided on an amorphous semiconductor layer prior to performing metal-induced crystallization of the semiconductor layer. The oxide or nitride layer facilitates conversion of the amorphous material into large grain polycrystalline material. Hence, a native silicon dioxide layer provided on hydrogenated amorphous silicon (a-Si:H), followed by deposited Al permits induced crystallization at temperatures far below the solid phase crystallization temperature of a-Si. Solar cells and thin film transistors can be prepared using this method.

  4. Si-Doping Effects in Cu(In,Ga)Se2 Thin Films and Applications for Simplified Structure High-Efficiency Solar Cells.

    PubMed

    Ishizuka, Shogo; Koida, Takashi; Taguchi, Noboru; Tanaka, Shingo; Fons, Paul; Shibata, Hajime

    2017-09-13

    We found that elemental Si-doped Cu(In,Ga)Se 2 (CIGS) polycrystalline thin films exhibit a distinctive morphology due to the formation of grain boundary layers several tens of nanometers thick. The use of Si-doped CIGS films as the photoabsorber layer in simplified structure buffer-free solar cell devices is found to be effective in enhancing energy conversion efficiency. The grain boundary layers formed in Si-doped CIGS films are expected to play an important role in passivating CIGS grain interfaces and improving carrier transport. The simplified structure solar cells, which nominally consist of only a CIGS photoabsorber layer and a front transparent and a back metal electrode layer, demonstrate practical application level solar cell efficiencies exceeding 15%. To date, the cell efficiencies demonstrated from this type of device have remained relatively low, with values of about 10%. Also, Si-doped CIGS solar cell devices exhibit similar properties to those of CIGS devices fabricated with post deposition alkali halide treatments such as KF or RbF, techniques known to boost CIGS device performance. The results obtained offer a new approach based on a new concept to control grain boundaries in polycrystalline CIGS and other polycrystalline chalcogenide materials for better device performance.

  5. Charge retention characteristics of silicide-induced crystallized polycrystalline silicon floating gate thin-film transistors for active matrix organic light-emitting diode.

    PubMed

    Park, Jae Hyo; Son, Se Wan; Byun, Chang Woo; Kim, Hyung Yoon; Joo, So Na; Lee, Yong Woo; Yun, Seung Jae; Joo, Seung Ki

    2013-10-01

    In this work, non-volatile memory thin-film transistor (NVM-TFT) was fabricated by nickel silicide-induced laterally crystallized (SILC) polycrystalline silicon (poly-Si) as the active layer. The nickel seed silicide-induced crystallized (SIC) poly-Si was used as storage layer which is embedded in the gate insulator. The novel unit pixel of active matrix organic light-emitting diode (AMOLED) using NVM-TFT is proposed and investigated the electrical and optical performance. The threshold voltage shift showed 17.2 V and the high reliability of retention characteristic was demonstrated until 10 years. The retention time can modulate the recharge refresh time of the unit pixel of AMOLED up to 5000 sec.

  6. Polycrystalline Si nanoparticles and their strong aging enhancement of blue photoluminescence

    NASA Astrophysics Data System (ADS)

    Yang, Shikuan; Cai, Weiping; Zeng, Haibo; Li, Zhigang

    2008-07-01

    Nearly spherical polycrystalline Si nanoparticles with 20 nm diameter were fabricated based on laser ablation of silicon wafer immersed in sodium dodecyl sulfate aqueous solution. Such Si nanoparticles consist of disordered areas and ultrafine grains of 3 nm in mean size and exhibit significant photoluminescence in blue region. Importantly, aging at ambient air leads to continuing enhancement of the emission (more than 130 times higher in 16 weeks) showing stable and strong blue emission. This aging enhancement is attributed to progressive passivation of nonradiative Pb centers corresponding to silicon dangling bonds on the particles' surface. This study could be helpful in pushing Si into optoelectronic field and Si-based full color display, biomedical tagging, and flash memories.

  7. Fabrication of polycrystalline thin films by pulsed laser processing

    DOEpatents

    Mitlitsky, F.; Truher, J.B.; Kaschmitter, J.L.; Colella, N.J.

    1998-02-03

    A method is disclosed for fabricating polycrystalline thin films on low-temperature (or high-temperature) substrates which uses processing temperatures that are low enough to avoid damage to the substrate, and then transiently heating select layers of the thin films with at least one pulse of a laser or other homogenized beam source. The pulse length is selected so that the layers of interest are transiently heated to a temperature which allows recrystallization and/or dopant activation while maintaining the substrate at a temperature which is sufficiently low to avoid damage to the substrate. This method is particularly applicable in the fabrication of solar cells. 1 fig.

  8. Microstructure and photovoltaic performance of polycrystalline silicon thin films on temperature-stable ZnO:Al layers

    NASA Astrophysics Data System (ADS)

    Becker, C.; Ruske, F.; Sontheimer, T.; Gorka, B.; Bloeck, U.; Gall, S.; Rech, B.

    2009-10-01

    Polycrystalline silicon (poly-Si) thin films have been prepared by electron-beam evaporation and thermal annealing for the development of thin-film solar cells on glass coated with ZnO:Al as a transparent, conductive layer. The poly-Si microstructure and photovoltaic performance were investigated as functions of the deposition temperature by Raman spectroscopy, scanning and transmission electron microscopies including defect analysis, x-ray diffraction, external quantum efficiency, and open circuit measurements. It is found that two temperature regimes can be distinguished: Poly-Si films fabricated by deposition at low temperatures (Tdep<400 °C) and a subsequent thermal solid phase crystallization step exhibit 1-3 μm large, randomly oriented grains, but a quite poor photovoltaic performance. However, silicon films deposited at higher temperatures (Tdep>400 °C) directly in crystalline phase reveal columnar, up to 300 nm big crystals with a strong ⟨110⟩ orientation and much better solar cell parameters. It can be concluded from the results that the electrical quality of the material, reflected by the open circuit voltage of the solar cell, only marginally depends on crystal size and shape but rather on the intragrain properties of the material. The carrier collection, described by the short circuit current of the cell, seems to be positively influenced by preferential ⟨110⟩ orientation of the grains. The correlation between experimental, microstructural, and photovoltaic parameters will be discussed in detail.

  9. Synthesis and characterization of polycrystalline CdSiP2

    NASA Astrophysics Data System (ADS)

    Bereznaya, S. A.; Korotchenko, Z. V.; Sarkisov, S. Yu; Korolkov, I. V.; Kuchumov, B. M.; Saprykin, A. I.; Atuchin, V. V.

    2018-05-01

    A modified method is proposed for the CdSiP2 compound synthesis from elemental starting components. The developed technique allows completing the synthesis process within 30 h. The phase and chemical composition of the synthesized material were confirmed by the x-ray diffraction analysis and scanning electron microscopy with energy-dispersive spectroscopy. The transparent crystal block sized 3 × 3 × 2 mm3 was cut from the polycrystalline ingot and characterized by optical methods.

  10. Hard TiCx/SiC/a-C:H nanocomposite thin films using pulsed high energy density plasma focus device

    NASA Astrophysics Data System (ADS)

    Umar, Z. A.; Rawat, R. S.; Tan, K. S.; Kumar, A. K.; Ahmad, R.; Hussain, T.; Kloc, C.; Chen, Z.; Shen, L.; Zhang, Z.

    2013-04-01

    Thin films of TiCx/SiC/a-C:H were synthesized on Si substrates using a complex mix of high energy density plasmas and instability accelerated energetic ions of filling gas species, emanated from hot and dense pinched plasma column, in dense plasma focus device. The conventional hollow copper anode of Mather type plasma focus device was replaced by solid titanium anode for synthesis of TiCx/SiC/a-C:H nanocomposite thin films using CH4:Ar admixture of (1:9, 3:7 and 5:5) for fixed 20 focus shots as well as with different number of focus shots with fixed CH4:Ar admixture ratio 3:7. XRD results showed the formation of crystalline TiCx/SiC phases for thin film synthesized using different number of focus shots with CH4:Ar admixture ratio fixed at 3:7. SEM results showed that the synthesized thin films consist of nanoparticle agglomerates and the size of agglomerates depended on the CH4:Ar admixture ratio as well as on the number of focus shots. Raman analysis showed the formation of polycrystalline/amorphous Si, SiC and a-C for different CH4:Ar ratio as well as for different number of focus shots. The XPS analysis confirmed the formation of TiCx/SiC/a-C:H composite thin film. Nanoindentation results showed that the hardness and elastic modulus values of composite thin films increased with increasing number of focus shots. Maximum values of hardness and elastic modulus at the surface of the composite thin film were found to be about 22 and 305 GPa, respectively for 30 focus shots confirming the successful synthesis of hard composite TiCx/SiC/a-C:H coatings.

  11. Synthesis of Polycrystalline CdSiP2 in a Gradient Temperature Field

    NASA Astrophysics Data System (ADS)

    Bereznaya, S. A.; Korotchenko, Z. V.; Kurasova, A. S.; Sarkisov, S. Yu.; Sarkisov, Yu. S.; Chernyshov, A. I.; Korolkov, I. V.; Kuchumov, B. M.; Saprykin, A. I.; Atuchin, V. V.

    2018-05-01

    A procedure for the synthesis of a CdSiP2 compound from the initial elementary components in a gradient thermal field has been developed. The phase and chemical composition of the synthesized and recrystallized material is confirmed by the data of X-ray diffraction analysis and scanning electron microscopy with an energy-dispersive system. The polycrystalline material obtained by the developed method will be used to grow bulk nonlinear optical CdSiP2 crystals.

  12. Residual stress in thick low-pressure chemical-vapor deposited polycrystalline SiC coatings on Si substrates

    NASA Astrophysics Data System (ADS)

    Choi, D.; Shinavski, R. J.; Steffier, W. S.; Spearing, S. M.

    2005-04-01

    Residual stress in thick coatings of polycrystalline chemical-vapor deposited SiC on Si substrates is a key variable that must be controlled if SiC is to be used in microelectromechanical systems. Studies have been conducted to characterize the residual stress level as a function of deposition temperature, Si wafer and SiC coating thickness, and the ratios of methyltrichlorosilane to hydrogen and hydrogen chloride. Wafer curvature was used to monitor residual stress in combination with a laminated plate analysis. Compressive intrinsic (growth) stresses were measured with magnitudes in the range of 200-300MPa; however, these can be balanced with the tensile stress due to the thermal-expansion mismatch to leave near-zero stress at room temperature. The magnitude of the compressive intrinsic stress is consistent with previously reported values of surface stress in combination with the competition between grain-boundary energy and elastic strain energy.

  13. Metal Induced Growth of Si Thin Films and NiSi Nanowires

    DTIC Science & Technology

    2010-02-25

    Zinc Oxide Over MIG Silicon- We have been studying the formation of ZnO films by RF sputtering. Part of this study deals with...about 50 nm. 15. SUBJECT TERMS Thin film silicon, solar cells, thin film transistors , nanowires, metal induced growth 16. SECURITY CLASSIFICATION...to achieve, µc-Si is more desirable than a-Si due to its increased mobility. Thin film µc-Si is also a popular material for thin film transistors

  14. Exploration of maximum count rate capabilities for large-area photon counting arrays based on polycrystalline silicon thin-film transistors

    NASA Astrophysics Data System (ADS)

    Liang, Albert K.; Koniczek, Martin; Antonuk, Larry E.; El-Mohri, Youcef; Zhao, Qihua

    2016-03-01

    Pixelated photon counting detectors with energy discrimination capabilities are of increasing clinical interest for x-ray imaging. Such detectors, presently in clinical use for mammography and under development for breast tomosynthesis and spectral CT, usually employ in-pixel circuits based on crystalline silicon - a semiconductor material that is generally not well-suited for economic manufacture of large-area devices. One interesting alternative semiconductor is polycrystalline silicon (poly-Si), a thin-film technology capable of creating very large-area, monolithic devices. Similar to crystalline silicon, poly-Si allows implementation of the type of fast, complex, in-pixel circuitry required for photon counting - operating at processing speeds that are not possible with amorphous silicon (the material currently used for large-area, active matrix, flat-panel imagers). The pixel circuits of two-dimensional photon counting arrays are generally comprised of four stages: amplifier, comparator, clock generator and counter. The analog front-end (in particular, the amplifier) strongly influences performance and is therefore of interest to study. In this paper, the relationship between incident and output count rate of the analog front-end is explored under diagnostic imaging conditions for a promising poly-Si based design. The input to the amplifier is modeled in the time domain assuming a realistic input x-ray spectrum. Simulations of circuits based on poly-Si thin-film transistors are used to determine the resulting output count rate as a function of input count rate, energy discrimination threshold and operating conditions.

  15. A multiscale coupled finite-element and phase-field framework to modeling stressed grain growth in polycrystalline thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jamshidian, M., E-mail: jamshidian@cc.iut.ac.ir; Institute of Structural Mechanics, Bauhaus-University Weimar, Marienstrasse 15, 99423 Weimar; Thamburaja, P., E-mail: prakash.thamburaja@gmail.com

    A previously-developed finite-deformation- and crystal-elasticity-based constitutive theory for stressed grain growth in cubic polycrystalline bodies has been augmented to include a description of excess surface energy and grain-growth stagnation mechanisms through the use of surface effect state variables in a thermodynamically-consistent manner. The constitutive theory was also implemented into a multiscale coupled finite-element and phase-field computational framework. With the material parameters in the constitutive theory suitably calibrated, our three-dimensional numerical simulations show that the constitutive model is able to accurately predict the experimentally-determined evolution of crystallographic texture and grain size statistics in polycrystalline copper thin films deposited on polyimide substratemore » and annealed at high-homologous temperatures. In particular, our numerical analyses show that the broad texture transition observed in the annealing experiments of polycrystalline thin films is caused by grain growth stagnation mechanisms. - Graphical abstract: - Highlights: • Developing a theory for stressed grain growth in polycrystalline thin films. • Implementation into a multiscale coupled finite-element and phase-field framework. • Quantitative reproduction of the experimental grain growth data by simulations. • Revealing the cause of texture transition to be due to the stagnation mechanisms.« less

  16. Electrical characteristics and thermal stability of n+ polycrystalline- Si/ZrO2/SiO2/Si metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lim, Kwan-Yong; Park, Dae-Gyu; Cho, Heung-Jae; Kim, Joong-Jung; Yang, Jun-Mo; Ii, Choi-Sang; Yeo, In-Seok; Park, Jin Won

    2002-01-01

    We have investigated the thermal stability of n+ polycrystalline-Si(poly-Si)/ZrO2(50-140 Å)/SiO2(7 Å)/p-Si metal-oxide-semiconductor (MOS) capacitors via electrical and material characterization. The ZrO2 gate dielectric was prepared by atomic layer chemical vapor deposition using ZrCl4 and H2O vapor. Capacitance-voltage hysteresis as small as ˜12 mV with the flatband voltage of -0.5 V and the interface trap density of ˜5×1010cm-2 eV-1 were attained with activation anneal at 750 °C. A high level of gate leakage current was observed at the activation temperatures over 750 °C and attributed to the interfacial reaction of poly-Si and ZrO2 during the poly-Si deposition and the following high temperature anneal. Because of this, the ZrO2 gate dielectric is incompatible with the conventional poly-Si gate process. In the MOS capacitors having a smaller active area (<50×50 μm2), fortunately, the electrical degradation by further severe silicidation does not occur up to an 800 °C anneal in N2 for 30 min.

  17. Leakage current suppression with a combination of planarized gate and overlap/off-set structure in metal-induced laterally crystallized polycrystalline-silicon thin-film transistors

    NASA Astrophysics Data System (ADS)

    Chae, Hee Jae; Seok, Ki Hwan; Lee, Sol Kyu; Joo, Seung Ki

    2018-04-01

    A novel inverted staggered metal-induced laterally crystallized (MILC) polycrystalline-silicon (poly-Si) thin-film transistors (TFTs) with a combination of a planarized gate and an overlap/off-set at the source-gate/drain-gate structure were fabricated and characterized. While the MILC process is advantageous for fabricating inverted staggered poly-Si TFTs, MILC TFTs reveal higher leakage current than TFTs crystallized by other processes due to their high trap density of Ni contamination. Due to this drawback, the planarized gate and overlap/off-set structure were applied to inverted staggered MILC TFTs. The proposed device shows drastic suppression of leakage current and pinning phenomenon by reducing the lateral electric field and the space-charge limited current from the gate to the drain.

  18. Electronic transport in highly conducting Si-doped ZnO thin films prepared by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuznetsov, Vladimir L.; Vai, Alex T.; Edwards, Peter P., E-mail: peter.edwards@chem.ox.ac.uk

    2015-12-07

    Highly conducting (ρ = 3.9 × 10{sup −4} Ωcm) and transparent (83%) polycrystalline Si-doped ZnO (SiZO) thin films have been deposited onto borosilicate glass substrates by pulsed laser deposition from (ZnO){sub 1−x}(SiO{sub 2}){sub x} (0 ≤ x ≤ 0.05) ceramic targets prepared using a sol-gel technique. Along with their structural, chemical, and optical properties, the electronic transport within these SiZO samples has been investigated as a function of silicon doping level and temperature. Measurements made between 80 and 350 K reveal an almost temperature-independent carrier concentration consistent with degenerate metallic conduction in all of these samples. The temperature-dependent Hall mobility has been modeled by considering the varying contribution of grainmore » boundary and electron-phonon scattering in samples with different nominal silicon concentrations.« less

  19. Structural and Magnetic Properties of Sputter-Deposited Polycrystalline Ni-Mn-Ga Ferromagnetic Shape-Memory Thin Films

    NASA Astrophysics Data System (ADS)

    Vinodh Kumar, S.; Seenithurai, S.; Manivel Raja, M.; Mahendran, M.

    2015-10-01

    Polycrystalline Ni-Mn-Ga ferromagnetic shape-memory thin films have been deposited on Si (100) substrates using a direct-current magnetron sputtering technique. The microstructure and the temperature dependence of magnetic properties of the films have been investigated by x-ray diffraction, scanning electron microscopy, and thermomagnetic measurements. As-deposited Ni50.2Mn30.6Ga19.2 film showed quasi-amorphous structure with paramagnetic nature at room temperature. When annealed at 873 K, the quasi-amorphous film attained crystallinity and possessed L21 cubic ordering with high magnetic transition temperature. Saturation magnetization and coercivity values for the annealed film were found to be 220 emu/cm3 and 70 Oe, respectively, indicating soft ferromagnetic character with low magnetocrystalline anisotropy. The magnetic transitions of the film deposited at 100 W were above room temperature, making this a potential candidate for use in microelectromechanical system devices.

  20. Morphology and electronic transport of polycrystalline pentacene thin-film transistors

    NASA Astrophysics Data System (ADS)

    Knipp, D.; Street, R. A.; Völkel, A. R.

    2003-06-01

    Temperature-dependent measurements of thin-film transistors were performed to gain insight in the electronic transport of polycrystalline pentacene. Devices were fabricated with plasma-enhanced chemical vapor deposited silicon nitride gate dielectrics. The influence of the dielectric roughness and the deposition temperature of the thermally evaporated pentacene films were studied. Although films on rougher gate dielectrics and films prepared at low deposition temperatures exhibit similar grain size, the electronic properties are different. Increasing the dielectric roughness reduces the free carrier mobility, while low substrate temperature leads to more and deeper hole traps.

  1. Evaluation of Electrical Characteristics and Trap-State Density in Bottom-Gate Polycrystalline Thin Film Transistors Processed with High-Pressure Water Vapor Annealing

    NASA Astrophysics Data System (ADS)

    Kunii, Masafumi

    2006-02-01

    This paper discusses electrical characteristics and trap-state density in polycrystalline silicon (poly-Si) used in bottom-gate poly-Si thin film transistors (TFTs) processed with high-pressure water vapor annealing (HWA). The threshold voltage uniformity of the HWA-processed TFTs is improved by 42% for N-channel and 38% for P-channel TFTs in terms of standard deviation, and carrier mobility is enhanced by 10% or greater for both N- and P-channel TFTs than those TFTs processed conventionally. Subthreshold swing is also improved by HWA, showing that HWA postannealing is effective for improving the Si/SiO2 interface of the bottom-gate TFTs. Two types of TFTs having different poly-Si crystallinities are examined to investigate carrier transport in poly-Si processed by HWA postannealing. The evaluation of trap-state density for the two types of poly-Si reveals that HWA postannealing is more efficient for N-channel than for P-channel TFTs. Furthermore, HWA postannealing is more effective for poly-Si with high crystallinity to improve TFT characteristics. The analysis of the trap-state distributions and the activation energy of TFT drain current indicate that HWA deactivates dangling bonds highly localized at poly-Si grain boundaries (GBs). Thus, HWA postannealing effects can be interpreted by a GB barrier potential model similar to that applied to conventional hydrogenation.

  2. Hot-Carrier Immunity of Polycrystalline Silicon Thin Film Transistors Using Silicon Oxynitride Gate Dielectric Formed with Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kunii, Masafumi

    2009-11-01

    An analysis is presented of the hot-carrier degradation in a polycrystalline silicon (poly-Si) thin film transistor (TFT) with a silicon oxynitride gate dielectric formed with plasma-enhanced chemical vapor deposition. An introduction of silicon oxynitride into a gate dielectric significantly improves hot-carrier immunity even under the severe stressing mode of drain avalanche hot carriers. To compensate the initial negative shift of threshold voltage for TFTs with a silicon oxynitride gate dielectric, high-pressure water vapor annealing (HWA) is applied. A comparison of TFTs with and without HWA reveals that the improvement in hot-carrier immunity is mainly attributed to the introduction of Si≡N bonds into a gate dielectric.

  3. Polycrystalline Thin Film Photovoltaics: Research, Development, and Technologies: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ullal, H. S.; Zweibel, K.; von Roedern, B.

    2002-05-01

    II-VI binary thin-film solar cells based on cadmium telluride (CdTe) and I-III-VI ternary thin-film solar cells based on copper indium diselenide (CIS) and related materials have been the subject of intense research and development in the past few years. Substantial progress has been made thus far in the area of materials research, device fabrication, and technology development, and numerous applications based on CdTe and CIS have been deployed worldwide. World record efficiency of 16.5% has been achieved by NREL scientists for a thin-film CdTe solar cell using a modified device structure. Also, NREL scientists achieved world-record efficiency of 21.1% formore » a thin-film CIGS solar cell under a 14X concentration and AM1.5 global spectrum. When measured under a AM1.5 direct spectrum, the efficiency increases to 21.5%. Pathways for achieving 25% efficiency for tandem polycrystalline thin-film solar cells are elucidated. R&D issues relating to CdTe and CIS are reported in this paper, such as contact stability and accelerated life testing in CdTe, and effects of moisture ingress in thin-film CIS devices. Substantial technology development is currently under way, with various groups reporting power module efficiencies in the range of 7.0% to 12.1% and power output of 40.0 to 92.5 W. A number of lessons learned during the scale-up activities of the technology development for fabrication of thin-film power modules are discussed. The major global players actively involved in the technology development and commercialization efforts using both rigid and flexible power modules are highlighted.« less

  4. Formation, optical properties, and electronic structure of thin Yb silicide films on Si(111)

    NASA Astrophysics Data System (ADS)

    Galkin, N. G.; Maslov, A. M.; Polyarnyi, V. O.

    2005-06-01

    Continuous very thin (2.5-3.0 nm) and thin (16-18 nm) ytterbium suicide films with some pinhole density (3×107- 1×108 cm-2) have been formed on Si(111) by solid phase epitaxy (SPE) and reactive deposition epitaxy (RDE) growth methods on templates. The stoichiometric ytterbium suicide (YbSi2) formation has shown in SPE grown films by AES and EELS data. Very thin Yb suicide films grown by RDE method had the silicon enrichment in YbSi2 suicide composition. The analysis of LEED data and AFM imaging has shown that ytterbium suicide films had non-oriented blocks with the polycrystalline structure. The analysis of scanning region length dependencies of the root mean square roughness deviation (σR(L)) for grown suicide films has shown that the formation of ytterbium suicide in SPE and RDE growth methods is determined by the surface diffusion of Yb atoms during the three-dimensional growth process. Optical functions (n, k, α, ɛ1, ɛ2, Im ɛ1-1, neff, ɛeff) of ytterbium silicide films grown on Si(1 1 1) have been calculated from transmittance and reflectance spectra in the energy range of 0.1-6.2 eV. Two nearly discrete absorption bands have been observed in the electronic structure of Yb silicide films with different composition, which connected with interband transitions on divalent and trivalent Yb states. It was established that the reflection coefficient minimum in R-spectra at energies higher 4.2 eV corresponds to the state density minimum in Yb suicide between divalent and trivalent Yb states. It was shown from optical data that Yb silicide films have the semi-metallic properties with low state densities at energies less 0.4 eV and high state densities at 0.5-2.5 eV.

  5. Controlled tuning of thin film deposition of IrO{sub 2} on Si using pulsed laser ablation technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koshy, Abraham M., E-mail: abraham@ug.iisc.in; Bhat, Shwetha G., E-mail: shwethabhat@physics.iisc.ernet.in; Kumar, P. S. Anil, E-mail: anil@physics.iisc.ernet.in

    2016-05-06

    We have successfully grown a stable phase of polycrystalline IrO{sub 2} on Si (100) substrate. We have found that the phase of IrO{sub 2} can be controllably tuned to obtain either Ir or IrO{sub 2} using pulsed laser ablation technique. O{sub 2} conditions during the deposition influences the phase directly and drastically whereas annealing conditions do not show any variation in the phase of thin film. X-ray diffraction and X-ray photoemission experiments confirm both Ir and IrO{sub 2} can be successively grown on Si using IrO{sub 2} target. Also, the morphology is found to be influenced by the O{sub 2}more » conditions.« less

  6. Synthesis of TiN/a-Si3N4 thin film by using a Mather type dense plasma focus system

    NASA Astrophysics Data System (ADS)

    Hussain, T.; R., Ahmad; Khalid, N.; A. Umar, Z.; Hussnain, A.

    2013-05-01

    A 2.3 kJ Mather type pulsed plasma focus device was used for the synthesis of a TiN/a-Si3N4 thin film at room temperature. The film was characterized using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), and atomic force microscopy (AFM). The XRD pattern confirms the growth of polycrystalline TiN thin film. The XPS results indicate that the synthesized film is non-stoichiometric and contains titanium nitride, silicon nitride, and a phase of silicon oxy-nitride. The SEM and AFM results reveal that the surface of the synthesized film is quite smooth with 0.59 nm roughness (root-mean-square).

  7. Thermoelectric Properties of Epitaxial β-FeSi2 Thin Films on Si(111) and Approach for Their Enhancement

    NASA Astrophysics Data System (ADS)

    Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Okuhata, Ryo; Ishibe, Takafumi; Watanabe, Kentaro; Suzuki, Takeyuki; Fujita, Takeshi; Sawano, Kentarou; Nakamura, Yoshiaki

    2017-05-01

    We have investigated the intrinsic thermoelectric properties of epitaxial β-FeSi2 thin films and the impact of phosphorus (P) doping. Epitaxial β-FeSi2 thin films with single phase were grown on Si(111) substrates by two different techniques in an ultrahigh-vacuum molecular beam epitaxy (MBE) system: solid-phase epitaxy (SPE), where iron silicide films formed by codeposition of Fe and Si at room temperature were recrystallized by annealing at 530°C to form epitaxial β-FeSi2 thin films on Si(111) substrates, and MBE of β-FeSi2 thin films on epitaxial β-FeSi2 templates formed on Si(111) by reactive deposition epitaxy (RDE) at 530°C (RDE + MBE). Epitaxial SPE thin films based on codeposition had a flatter surface and more abrupt β-FeSi2/Si(111) interface than epitaxial RDE + MBE thin films. We investigated the intrinsic thermoelectric properties of the epitaxial β-FeSi2 thin films on Si(111), revealing lower thermal conductivity and higher electrical conductivity compared with bulk β-FeSi2. We also investigated the impact of doping on the Seebeck coefficient of bulk and thin-film β-FeSi2. A route to enhance the thermoelectric performance of β-FeSi2 is proposed, based on (1) fabrication of thin-film structures for high electrical conductivity and low thermal conductivity, and (2) proper choice of doping for high Seebeck coefficient.

  8. Well-aligned polycrystalline lanthanum silicate oxyapatite grown by reactive diffusion between solid La{sub 2}SiO{sub 5} and gases [SiO+1/2O{sub 2}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fukuda, Koichiro, E-mail: fukuda.koichiro@nitech.ac.jp; Hasegawa, Ryo; Kitagawa, Takuya

    2016-03-15

    The c-axis-oriented polycrystalline lanthanum silicate oxyapatite, La{sub 9.48}(Si{sub 5.89}□{sub 0.11})O{sub 26} (□ denotes a vacancy in the Si site), was successfully prepared by the reactive diffusion between randomly grain-oriented La{sub 2}SiO{sub 5} polycrystal and [SiO+1/2O{sub 2}] gases at 1873 K in Ar atmosphere. The polycrystal was characterized using optical microscopy, scanning electron microscopy equipped with energy dispersive X-ray spectroscopy, micro-Raman spectroscopy, X-ray diffractometry, and impedance spectroscopy. The crystal structure (space group P6{sub 3}/m) showed the deficiency of Si site at ca. 1.9%. The bulk oxide-ion conductivity along the grain-alignment direction steadily increased from 9.2 × 10{sup −3} to 1.17 ×more » 10{sup −2} S/cm with increasing temperature from 923 to 1073 K. The activation energy of conduction was 0.23(2) eV. - Graphical abstract: We have successfully prepared the highly c-axis-oriented polycrystalline La{sub 9.48}(Si{sub 5.89}□{sub 0.11})O{sub 26} by the reactive diffusion between randomly grain-oriented La{sub 2}SiO{sub 5} polycrystal and [SiO + 1/2O{sub 2}] gases at 1873 K in Ar atmosphere. The crystal structure (space group P6{sub 3}/m) showed the deficiency of Si site of ca. 1.9%. - Highlights: • The c-axis-oriented polycrystalline La{sub 9.48}(Si{sub 5.89}□{sub 0.11})O{sub 26} is successfully prepared. • Crystal structure of La{sub 9.48}(Si{sub 5.89}□{sub 0.11})O{sub 26} is determined by single-crystal XRD. • The polycrystal shows relatively high oxide ion conductivity along the common c-axis. • Reactive diffusion is successfully used for the preparation of grain-aligned ceramics.« less

  9. Polycrystalline Silicon Thin-film Solar cells with Plasmonic-enhanced Light-trapping

    PubMed Central

    Varlamov, Sergey; Rao, Jing; Soderstrom, Thomas

    2012-01-01

    conditions. An optimised nanoparticle array alone results in cell Jsc enhancement of about 28%, similar to the effect of the diffuse reflector. The photocurrent can be further increased by coating the nanoparticles by a low refractive index dielectric, like MgF2, and applying the diffused reflector. The complete plasmonic cell structure comprises the polycrystalline silicon film, a silver nanoparticle array, a layer of MgF2, and a diffuse reflector. The Jsc for such cell is 21-23 mA/cm2, up to 45% higher than Jsc of the original cell without light-trapping or ~25% higher than Jsc for the cell with the diffuse reflector only. Introduction Light-trapping in silicon solar cells is commonly achieved via light scattering at textured interfaces. Scattered light travels through a cell at oblique angles for a longer distance and when such angles exceed the critical angle at the cell interfaces the light is permanently trapped in the cell by total internal reflection (Animation 1: Light-trapping). Although this scheme works well for most solar cells, there are developing technologies where ultra-thin Si layers are produced planar (e.g. layer-transfer technologies and epitaxial c-Si layers) 1 and or when such layers are not compatible with textures substrates (e.g. evaporated silicon) 2. For such originally planar Si layer alternative light trapping approaches, such as diffuse white paint reflector 3, silicon plasma texturing 4 or high refractive index nanoparticle reflector 5 have been suggested. Metal nanoparticles can effectively scatter incident light into a higher refractive index material, like silicon, due to the surface plasmon resonance effect 6. They also can be easily formed on the planar silicon cell surface thus offering a light-trapping approach alternative to texturing. For a nanoparticle located at the air-silicon interface the scattered light fraction coupled into silicon exceeds 95% and a large faction of that light is scattered at angles above critical providing

  10. Optical and electrical properties of polycrystalline and amorphous Al-Ti thin films

    NASA Astrophysics Data System (ADS)

    Canulescu, S.; Borca, C. N.; Rechendorff, K.; Davidsdóttir, S.; Pagh Almtoft, K.; Nielsen, L. P.; Schou, J.

    2016-04-01

    The structural, optical, and transport properties of sputter-deposited Al-Ti thin films have been investigated as a function of Ti alloying with a concentration ranging from 2% to 46%. The optical reflectivity of Al-Ti films at visible and near-infrared wavelengths decreases with increasing Ti content. X-ray absorption fine structure measurements reveal that the atomic ordering around Ti atoms increases with increasing Ti content up to 20% and then decreases as a result of a transition from a polycrystalline to amorphous structure. The transport properties of the Al-Ti films are influenced by electron scattering at the grain boundaries in the case of polycrystalline films and static defects, such as anti-site effects and vacancies in the case of the amorphous alloys. The combination of Ti having a real refractive index (n) comparable with the extinction coefficient (k) and Al with n much smaller than k allows us to explore the parameter space for the free-electron behavior in transition metal-Al alloys. The free electron model, applied for the polycrystalline Al-Ti films with Ti content up to 20%, leads to an optical reflectance at near infrared wavelengths that scales linearly with the square root of the electrical resistivity.

  11. Crystallization to polycrystalline silicon thin film and simultaneous inactivation of electrical defects by underwater laser annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Machida, Emi; Research Fellowships of the Japan Society for the Promotion of Science, Japan Society for the Promotion of Science, 1-8 Chiyoda, Tokyo 102-8472; Horita, Masahiro

    2012-12-17

    We propose a low-temperature laser annealing method of a underwater laser annealing (WLA) for polycrystalline silicon (poly-Si) films. We performed crystallization to poly-Si films by laser irradiation in flowing deionized-water where KrF excimer laser was used for annealing. We demonstrated that the maximum value of maximum grain size of WLA samples was 1.5 {mu}m, and that of the average grain size was 2.8 times larger than that of conventional laser annealing in air (LA) samples. Moreover, WLA forms poly-Si films which show lower conductivity and larger carrier life time attributed to fewer electrical defects as compared to LA poly-Si films.

  12. Microstructure and ferroelectricity of BaTiO3 thin films on Si for integrated photonics

    NASA Astrophysics Data System (ADS)

    Kormondy, Kristy J.; Popoff, Youri; Sousa, Marilyne; Eltes, Felix; Caimi, Daniele; Rossell, Marta D.; Fiebig, Manfred; Hoffmann, Patrik; Marchiori, Chiara; Reinke, Michael; Trassin, Morgan; Demkov, Alexander A.; Fompeyrine, Jean; Abe, Stefan

    2017-02-01

    Significant progress has been made in integrating novel materials into silicon photonic structures in order to extend the functionality of photonic circuits. One of these promising optical materials is BaTiO3 or barium titanate (BTO) that exhibits a very large Pockels coefficient as required for high-speed light modulators. However, all previous demonstrations show a noticable reduction of the Pockels effect in BTO thin films deposited on silicon substrates compared to BTO bulk crystals. Here, we report on the strong dependence of the Pockels effect in BTO thin films on their microstructure, and provide guidelines on how to engineer thin films with strong electro-optic response. We employ several deposition methods such as molecular beam epitaxy and chemical vapor deposition to realize BTO thin films with different morphology and crystalline structure. While a linear electro-optic response is present even in porous, polycrystalline BTO thin films with an effective Pockels coefficient r eff = 6 pm V-1, it is maximized for dense, tetragonal, epitaxial BTO films (r eff = 140 pm V-1). By identifying the key structural predictors of electro-optic response in BTO/Si, we provide a roadmap to fully exploit the linear electro-optic effect in novel hybrid oxide/semiconductor nanophotonic devices.

  13. Development of high efficiency thin film polycrystalline silicon solar cells using VEST process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ishihara, T.; Arimoto, S.; Morikawa, H.

    1998-12-31

    Thin film Si solar cell has been developed using Via-hole Etching for the Separation of Thin films (VEST) process. The process is based on SOI technology of zone-melting recrystallization (ZMR) followed by chemical vapor deposition (CVD), separation of thin film, and screen printing. Key points for achieving high efficiency are (1) quality of Si films, (2) back surface emitter (BSE), (3) front surface emitter etch-back process, (4) back surface field (BSF) layer thickness and its resistivity, and (5) defect passivation by hydrogen implantation. As a result of experiments, the authors have achieved 16% efficiency (V{sub oc}:0.589V, J{sub sc}:35.6mA/cm{sup 2}, F,F:0.763)more » with a cell size of 95.8cm{sup 2} and the thickness of 77 {micro}m. It is the highest efficiency ever reported for large area thin film Si solar cells.« less

  14. Thermoelectric properties of epitaxial β-FeSi2 thin films grown on Si(111) substrates with various film qualities

    NASA Astrophysics Data System (ADS)

    Watanabe, Kentaro; Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Suzuki, Takeyuki; Fujita, Takeshi; Nakamura, Yoshiaki

    2017-05-01

    Si-based epitaxial β-FeSi2 thin films are attractive as materials for on-chip thermoelectric power generators. We investigated the structure, crystallinity, and thermoelectric properties of β-FeSi2 thin films epitaxially grown on Si(111) substrates by using three different techniques: conventional reactive deposition epitaxy followed by molecular beam epitaxy (RDE+MBE), solid phase epitaxy (SPE) based on codeposition of Fe and Si presented previously, and SPE followed by MBE (SPE+MBE) presented newly by this work. Their epitaxial growth temperatures were fixed at 530 °C for comparison. RDE+MBE thin films exhibited high crystalline quality, but rough surfaces and rugged β-FeSi2/Si(111) interfaces. On the other hand, SPE thin films showed flat surfaces and abrupt β-FeSi2/Si(111) interfaces but low crystallinity. We found that SPE+MBE thin films realized crystallinity higher than SPE thin films, and also had flatter surfaces and sharper interfaces than RDE+MBE thin films. In SPE+MBE thin film growth, due to the initial SPE process with low temperature codeposition, thermal interdiffusion of Fe and Si was suppressed, resulting in the surface flatness and abrupt interface. Second high temperature MBE process improved the crystallinity. We also investigated thermoelectric properties of these β-FeSi2 thin films. Structural factors affecting the thermoelectric properties of RDE+MBE, SPE, and SPE+MBE thin films were investigated.

  15. Pixel structures to compensate nonuniform threshold voltage and mobility of polycrystalline silicon thin-film transistors using subthreshold current for large-size active matrix organic light-emitting diode displays

    NASA Astrophysics Data System (ADS)

    Na, Jun-Seok; Kwon, Oh-Kyong

    2014-01-01

    We propose pixel structures for large-size and high-resolution active matrix organic light-emitting diode (AMOLED) displays using a polycrystalline silicon (poly-Si) thin-film transistor (TFT) backplane. The proposed pixel structures compensate the variations of the threshold voltage and mobility of the driving TFT using the subthreshold current. The simulated results show that the emission current error of the proposed pixel structure B ranges from -2.25 to 2.02 least significant bit (LSB) when the variations of the threshold voltage and mobility of the driving TFT are ±0.5 V and ±10%, respectively.

  16. Bottom-gate poly-Si thin-film transistors by nickel silicide seed-induced lateral crystallization with self-aligned lightly doped layer

    NASA Astrophysics Data System (ADS)

    Lee, Sol Kyu; Seok, Ki Hwan; Chae, Hee Jae; Lee, Yong Hee; Han, Ji Su; Jo, Hyeon Ah; Joo, Seung Ki

    2017-03-01

    We report a novel method to reduce source and drain (S/D) resistances, and to form a lightly doped layer (LDL) of bottom-gate polycrystalline silicon (poly-Si) thin-film transistors (TFTs). For application in driving TFTs, which operate under high drain voltage condition, poly-Si TFTs are needed in order to attain reliability against hot-carriers as well as high field-effect mobility (μFE). With an additional doping on the p+ Si layer, sheet resistance on S/D was reduced by 37.5% and an LDL was introduced between the channel and drain. These results contributed to not only a lower leakage current and gate-induced drain leakage, but also high immunity of kink-effect and hot-carrier stress. Furthermore, the measured electrical characteristics exhibited a steep subthreshold slope of 190 mV/dec and high μFE of 263 cm2/Vs.

  17. Enhancement of electrical properties in polycrystalline BiFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Yun, Kwi Young; Ricinschi, Dan; Kanashima, Takeshi; Okuyama, Masanori

    2006-11-01

    Ferroelectric BiFeO3 thin films were grown on Pt /TiO2/SiO2/Si substrates by pulsed-laser deposition. From the x-ray diffraction analysis, the BiFeO3 thin films consist of perovskite single phase, and the crystal structure shows the tetragonal structure with a space group P4mm. The BiFeO3 thin films show enhanced electrical properties with low leakage current density value of ˜10-4A /cm2 at a maximum applied voltage of 31V. This enhanced electrical resistivity allowed the authors to obtain giant ferroelectric polarization values such as saturation polarizations of 110 and 166μC/cm2 at room temperature and 80K, respectively.

  18. High-Efficiency Polycrystalline Thin Film Tandem Solar Cells.

    PubMed

    Kranz, Lukas; Abate, Antonio; Feurer, Thomas; Fu, Fan; Avancini, Enrico; Löckinger, Johannes; Reinhard, Patrick; Zakeeruddin, Shaik M; Grätzel, Michael; Buecheler, Stephan; Tiwari, Ayodhya N

    2015-07-16

    A promising way to enhance the efficiency of CIGS solar cells is by combining them with perovskite solar cells in tandem devices. However, so far, such tandem devices had limited efficiency due to challenges in developing NIR-transparent perovskite top cells, which allow photons with energy below the perovskite band gap to be transmitted to the bottom cell. Here, a process for the fabrication of NIR-transparent perovskite solar cells is presented, which enables power conversion efficiencies up to 12.1% combined with an average sub-band gap transmission of 71% for photons with wavelength between 800 and 1000 nm. The combination of a NIR-transparent perovskite top cell with a CIGS bottom cell enabled a tandem device with 19.5% efficiency, which is the highest reported efficiency for a polycrystalline thin film tandem solar cell. Future developments of perovskite/CIGS tandem devices are discussed and prospects for devices with efficiency toward and above 27% are given.

  19. Mechanistic analysis of temperature-dependent current conduction through thin tunnel oxide in n+-polySi/SiO2/n+-Si structures

    NASA Astrophysics Data System (ADS)

    Samanta, Piyas

    2017-09-01

    We present a detailed investigation on temperature-dependent current conduction through thin tunnel oxides grown on degenerately doped n-type silicon (n+-Si) under positive bias ( VG ) on heavily doped n-type polycrystalline silicon (n+-polySi) gate in metal-oxide-semiconductor devices. The leakage current measured between 298 and 573 K and at oxide fields ranging from 6 to 10 MV/cm is primarily attributed to Poole-Frenkel (PF) emission of trapped electrons from the neutral electron traps located in the silicon dioxide (SiO2) band gap in addition to Fowler-Nordheim (FN) tunneling of electrons from n+-Si acting as the drain node in FLOating gate Tunnel OXide Electrically Erasable Programmable Read-Only Memory devices. Process-induced neutral electron traps are located at 0.18 eV and 0.9 eV below the SiO2 conduction band. Throughout the temperature range studied here, PF emission current IPF dominates FN electron tunneling current IFN at oxide electric fields Eox between 6 and 10 MV/cm. A physics based new analytical formula has been developed for FN tunneling of electrons from the accumulation layer of degenerate semiconductors at a wide range of temperatures incorporating the image force barrier rounding effect. FN tunneling has been formulated in the framework of Wentzel-Kramers-Brilloiun taking into account the correction factor due to abrupt variation of the energy barrier at the cathode/oxide interface. The effect of interfacial and near-interfacial trapped-oxide charges on FN tunneling has also been investigated in detail at positive VG . The mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown of the memory devices and to precisely predict the normal operating field or applied floating gate (FG) voltage for lifetime projection of the devices. In addition, we present theoretical results showing the effect of drain doping concentration on the FG leakage current.

  20. Formation of nanocrystalline SiGe in Polycrystalline-Ge/Si thin film without any metal induced crystallization

    NASA Astrophysics Data System (ADS)

    Tah, Twisha; Singh, Ch. Kishan; Madapu, K. K.; Polaki, S. R.; Ilango, S.; David, C.; Dash, S.; Panigrahi, B. K.

    2017-05-01

    The formation of nanocrystalline SiGe without the aid of metal induced crystallization is reported. Re-crystallization of the as-deposited poly-Ge film (deposited at 450 °C) leads to development of regions with depleted Ge concentration upon annealing at 500 °C. Clusters with crystalline facet containing both nanocrystalline SiGe and crystalline Ge phase starts appearing at 600 °C. The structural phase characteristics were investigated by X-ray diffraction (XRD) and Raman spectroscopy. The stoichiometry of the SiGe phase was estimated from the positions of the Raman spectral peaks.

  1. Dimension dependent immunity of X-ray irradiation on low-temperature polycrystalline-silicon TFTs

    NASA Astrophysics Data System (ADS)

    Wei, Yin-Chang; Li, Yi-Chieh; Lee, I.-Che; Cheng, Huang-Chung

    2017-06-01

    Typically, each element in a large-area flat-panel X-ray image sensor consists of a photodetector and amorphous silicon (a-Si) thin-film transistor (TFT) switches. In order to reduce noise, increase sensor dynamic range, and increase carrying capacity, the low-temperature polycrystalline-silicon (LTPS) TFTs have been proposed as a candidate to replace the a-Si TFTs. However, there are concerns regarding the impact of X-ray radiation in LTPS-TFTs, and several studies have been conducted to inquire into the same. In this paper, we show that LTPS TFTs with small channel length (<2 µm) are almost immune to X-ray radiation.

  2. Superconductor—Insulator Transitions in Pure Polycrystalline Nb Thin Films

    NASA Astrophysics Data System (ADS)

    Couedo, F.; Crauste, O.; Bergé, L.; Dolgorouky, Y.; Marrache-Kikuchi, C.; Dumoulin, L.

    2012-12-01

    We report on a study of the transport properties of Nb thin films. By varying the thickness of the films from 263 Å to 25 Å, we observed a depression of the superconductivity. Magnetic field was also applied up to 6 T, inducing the disappearance of the superconductivity and the onset of an insulating behavior. The results were compared to those we have already obtained on a highly disordered system, a-NbxSi1-x, to understand whether the same mechanisms for the disappearance of the superconductivity could be at play in pure metallic thin films and in highly disordered systems.

  3. Fabrication of high performance thin-film transistors via pressure-induced nucleation.

    PubMed

    Kang, Myung-Koo; Kim, Si Joon; Kim, Hyun Jae

    2014-10-31

    We report a method to improve the performance of polycrystalline Si (poly-Si) thin-film transistors (TFTs) via pressure-induced nucleation (PIN). During the PIN process, spatial variation in the local solidification temperature occurs because of a non-uniform pressure distribution during laser irradiation of the amorphous Si layer, which is capped with an SiO2 layer. This leads to a four-fold increase in the grain size of the poly-Si thin-films formed using the PIN process, compared with those formed using conventional excimer laser annealing. We find that thin films with optimal electrical properties can be achieved with a reduction in the number of laser irradiations from 20 to 6, as well as the preservation of the interface between the poly-Si and the SiO2 gate insulator. This interface preservation becomes possible to remove the cleaning process prior to gate insulator deposition, and we report devices with a field-effect mobility greater than 160 cm(2)/Vs.

  4. The Impact of Standard Semiconductor Fabrication Processes on Polycrystalline Nb Thin Film Surfaces

    NASA Technical Reports Server (NTRS)

    Brown, Ari David; Barrentine, Emily M.; Moseley, Samuel H.; Noroozian, Omid; Stevenson, Thomas

    2011-01-01

    Polycrystalline superconducting Nb thin films are extensively used for submillimeter and millimeter transmission line applications and, less commonly, used in microwave kinetic inductance detector (MKID) applications. The microwave and mm-wave loss in these films is impacted, in part, by the presence of surface nitrides and oxides. In this study, glancing incidence x-ray diffraction was used to identify the presence of niobium nitride and niobium monoxide surface layers on Nb thin films which had been exposed to chemicals used in standard photolithographic processing. A method of mitigating the presence of ordered niobium monoxide surface layers is presented. Furthermore, we discuss the possibility of using glancing incidence x-ray diffraction as a non-destructive diagnostic tool for evaluating the quality of Nb thin films used in MKIDs and transmission lines. For a given fabrication process, we have both the x-ray diffraction data of the surface chemistry and a measure of the mm-wave and microwave loss, the latter being made in superconducting resonators.

  5. The Impact of Standard Semiconductor Fabrication Processes on Polycrystalline Nb Thin Film Surfaces

    NASA Technical Reports Server (NTRS)

    Brown, Ari David; Barrentine, Emily M.; Moseley, Samuel H.; Noroozian, Omid; Stevenson, Thomas

    2016-01-01

    Polycrystalline Nb thin films are extensively used for microwave kinetic inductance detectors (MKIDs) and superconducting transmission line applications. The microwave and mm-wave loss in these films is impacted, in part, by the presence of surface nitrides and oxides. In this study, glancing incidence x-ray diffraction was used to identify the presence of niobium nitride and niobium monoxide surface layers on Nb thin films which had been exposed to chemicals used in standard photolithographic processing. A method of mitigating the presence of ordered niobium monoxide surface layers is presented. Furthermore, we discuss the possibility of using glancing incidence x-ray diffraction as a non-destructive diagnostic tool for evaluating the quality of Nb thin films used in MKIDs and transmission lines. For a given fabrication process, we have both the X-ray diffraction data of the surface chemistry and a measure of the mm-wave and microwave loss, the latter being made in superconducting resonators.

  6. Aggregate linear properties of ferroelectric ceramics and polycrystalline thin films: Calculation by the method of effective piezoelectric medium

    NASA Astrophysics Data System (ADS)

    Pertsev, N. A.; Zembilgotov, A. G.; Waser, R.

    1998-08-01

    The effective dielectric, piezoelectric, and elastic constants of polycrystalline ferroelectric materials are calculated from single-crystal data by an advanced method of effective medium, which takes into account the piezoelectric interactions between grains in full measure. For bulk BaTiO3 and PbTiO3 polarized ceramics, the dependences of material constants on the remanent polarization are reported. Dielectric and elastic constants are computed also for unpolarized c- and a-textured ferroelectric thin films deposited on cubic or amorphous substrates. It is found that the dielectric properties of BaTiO3 and PbTiO3 polycrystalline thin films strongly depend on the type of crystal texture. The influence of two-dimensional clamping by the substrate on the dielectric and piezoelectric responses of polarized films is described quantitatively and shown to be especially important for the piezoelectric charge coefficient of BaTiO3 films.

  7. Surface and magnetic characteristics of Ni-Mn-Ga/Si (100) thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, S. Vinodh; Pandyan, R. Kodi; Mahendran, M., E-mail: manickam-mahendran@tce.edu, E-mail: perialangulam@gmail.com

    2016-05-23

    Polycrystalline Ni-Mn-Ga thin films have been deposited on Si (100) substrate with different film thickness. The influence of film thickness on the phase structure and magnetic domain of the films has been examined by scanning electron microscope, atomic force microscopy and magnetic force microscopy. Analysis of structural parameters indicates that the film at lower thickness exhibits the coexistence of both austenite and martensite phase, whereas at higher thickness L1{sub 2} cubic non magnetic phase is noticed. The grains size and the surface roughness increase along with the film thickness and attain the maximum of 45 nm and 34.96 nm, respectively.more » At lower film thickness, the magnetic stripe domain is found like maze pattern with dark and bright images, while at higher thickness the absence of stripe domains is observed. The magnetic results reveal that the films strongly depend on their phase structure and microstructure which influence by the film thickness.« less

  8. Surface and magnetic characteristics of Ni-Mn-Ga/Si (100) thin film

    NASA Astrophysics Data System (ADS)

    Kumar, S. Vinodh; Raja, M. Manivel; Pandi, R. Senthur; Pandyan, R. Kodi; Mahendran, M.

    2016-05-01

    Polycrystalline Ni-Mn-Ga thin films have been deposited on Si (100) substrate with different film thickness. The influence of film thickness on the phase structure and magnetic domain of the films has been examined by scanning electron microscope, atomic force microscopy and magnetic force microscopy. Analysis of structural parameters indicates that the film at lower thickness exhibits the coexistence of both austenite and martensite phase, whereas at higher thickness L12 cubic non magnetic phase is noticed. The grains size and the surface roughness increase along with the film thickness and attain the maximum of 45 nm and 34.96 nm, respectively. At lower film thickness, the magnetic stripe domain is found like maze pattern with dark and bright images, while at higher thickness the absence of stripe domains is observed. The magnetic results reveal that the films strongly depend on their phase structure and microstructure which influence by the film thickness.

  9. Influence of Si wafer thinning processes on (sub)surface defects

    NASA Astrophysics Data System (ADS)

    Inoue, Fumihiro; Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric; Uedono, Akira

    2017-05-01

    Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5-2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in between grinding and dry etch it is possible to significantly reduce not only the roughness, but also the remaining vacancies at the subsurface. The surface of grinding + CMP + dry etching gives an equivalent mono vacancy result as to that of grinding + CMP. This combination of thinning processes allows development of extremely thin 3D integration devices with minimal roughness and vacancy surface.

  10. Mechanisms of aluminium-induced crystallization and layer exchange upon low-temperature annealing of amorphous Si/polycrystalline Al bilayers.

    PubMed

    Wang, J Y; Wang, Z M; Jeurgens, L P H; Mittemeijer, E J

    2009-06-01

    Aluminium-induced crystallization (ALIC) of amorphous Si and subsequent layer exchange (ALILE) occur in amorphous-Si/polycrystalline-Al bilayers (a-Si/c-Al) upon annealing at temperatures as low as 165 degrees C and were studied by X-ray diffraction and Auger electron spectroscopic depth profiling. It follows that: (i) nucleation of Si crystallization is initiated at Al grain boundaries and not at the a-Si/c-Al interface; (ii) low-temperature annealing results in a large Si grain size in the continuous c-Si layer produced by ALILE. Thermodynamic model calculations show that: (i) Si can "wet" the Al grain boundaries due to the favourable a-Si/c-Al interface energy (as compared to the Al grain-boundary energy); (ii) the wetting-induced a-Si layer at the Al grain boundary can maintain its amorphous state only up to a critical thickness, beyond which nucleation of Si crystallization takes place; and (iii) a tiny driving force controls the kinetics of the layer exchange.

  11. Engineering helimagnetism in MnSi thin films

    NASA Astrophysics Data System (ADS)

    Zhang, S. L.; Chalasani, R.; Baker, A. A.; Steinke, N.-J.; Figueroa, A. I.; Kohn, A.; van der Laan, G.; Hesjedal, T.

    2016-01-01

    Magnetic skyrmion materials have the great advantage of a robust topological magnetic structure, which makes them stable against the superparamagnetic effect and therefore a candidate for the next-generation of spintronic memory devices. Bulk MnSi, with an ordering temperature of 29.5 K, is a typical skyrmion system with a propagation vector periodicity of ˜18 nm. One crucial prerequisite for any kind of application, however, is the observation and precise control of skyrmions in thin films at room-temperature. Strain in epitaxial MnSi thin films is known to raise the transition temperature to 43 K. Here we show, using magnetometry and x-ray spectroscopy, that the transition temperature can be raised further through proximity coupling to a ferromagnetic layer. Similarly, the external field required to stabilize the helimagnetic phase is lowered. Transmission electron microscopy with element-sensitive detection is used to explore the structural origin of ferromagnetism in these Mn-doped substrates. Our work suggests that an artificial pinning layer, not limited to the MnSi/Si system, may enable room temperature, zero-field skyrmion thin-film systems, thereby opening the door to device applications.

  12. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties

    NASA Astrophysics Data System (ADS)

    Trinh, Cham Thi; Nakagawa, Yoshihiko; Hara, Kosuke O.; Kurokawa, Yasuyoshi; Takabe, Ryota; Suemasu, Takashi; Usami, Noritaka

    2017-05-01

    We have successfully grown a polycrystalline orthorhombic BaSi2 film on a Ge(100) substrate by an evaporation method. Deposition of an amorphous Si (a-Si) film on the Ge substrate prior to BaSi2 evaporation plays a critical role in obtaining a high-quality BaSi2 film. By controlling substrate temperature and the thickness of the a-Si film, a crack-free and single-phase polycrystalline orthorhombic BaSi2 film with a long carrier lifetime of 1.5 µs was obtained on Ge substrates. The photoresponse property of the ITO/BaSi2/Ge/Al structure was clearly observed, and photoresponsivity was found to increase with increasing substrate temperature during deposition of a-Si. Furthermore, the BaSi2 film grown on Ge showed a higher photoresponsivity than that grown on Si, indicating the potential application of evaporated BaSi2 on Ge to thin-film solar cells.

  13. Quantitative evaluation of sputtering induced surface roughness and its influence on AES depth profiles of polycrystalline Ni/Cu multilayer thin films

    NASA Astrophysics Data System (ADS)

    Yan, X. L.; Coetsee, E.; Wang, J. Y.; Swart, H. C.; Terblans, J. J.

    2017-07-01

    The polycrystalline Ni/Cu multilayer thin films consisting of 8 alternating layers of Ni and Cu were deposited on a SiO2 substrate by means of electron beam evaporation in a high vacuum. Concentration-depth profiles of the as-deposited multilayered Ni/Cu thin films were determined with Auger electron spectroscopy (AES) in combination with Ar+ ion sputtering, under various bombardment conditions with the samples been stationary as well as rotating in some cases. The Mixing-Roughness-Information depth (MRI) model used for the fittings of the concentration-depth profiles accounts for the interface broadening of the experimental depth profiling. The interface broadening incorporates the effects of atomic mixing, surface roughness and information depth of the Auger electrons. The roughness values extracted from the MRI model fitting of the depth profiling data agrees well with those measured by atomic force microscopy (AFM). The ion sputtering induced surface roughness during the depth profiling was accordingly quantitatively evaluated from the fitted MRI parameters with sample rotation and stationary conditions. The depth resolutions of the AES depth profiles were derived directly from the values determined by the fitting parameters in the MRI model.

  14. Low-temperature electron cyclotron resonance plasma-enhanced chemical-vapor deposition silicon dioxide as gate insulator for polycrystalline silicon thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maiolo, L.; Pecora, A.; Fortunato, G.

    2006-03-15

    Silicon dioxide films have been deposited at temperatures below 270 deg. C in an electron cyclotron resonance (ECR) plasma reactor from O{sub 2}, SiH{sub 4}, and He gas mixture. Pinhole density analysis as a function of substrate temperature for different microwave powers was carried out. Films deposited at higher microwave power and at room temperature show defect densities (<7 pinhole/mm{sup 2}), ensuring low-temperature process integration on large area. From Fourier transform infrared analysis and thermal desorption spectrometry we also evaluated very low hydrogen content if compared to conventional rf-plasma-enhanced chemical-vapor-deposited (PECVD) SiO{sub 2} deposited at 350 deg. C. Electrical propertiesmore » have been measured in metal-oxide-semiconductor (MOS) capacitors, depositing SiO{sub 2} at RT as gate dielectric; breakdown electric fields >10 MV/cm and charge trapping at fields >6 MV/cm have been evaluated. From the study of interface quality in MOS capacitors, we found that even for low annealing temperature (200 deg. C), it is possible to considerably reduce the interface state density down to 5x10{sup 11} cm{sup -2} eV{sup -1}. To fully validate the ECR-PECVD silicon dioxide we fabricated polycrystalline silicon thin-film transistors using RT-deposited SiO{sub 2} as gate insulator. Different postdeposition thermal treatments have been studied and good device characteristics were obtained even for annealing temperature as low as 200 deg. C.« less

  15. Microstructural defects in He-irradiated polycrystalline α-SiC at 1000 °C

    NASA Astrophysics Data System (ADS)

    Han, Wentuo; Li, Bingsheng

    2018-06-01

    In order to investigate the effect of the high-temperature irradiation on microstructural evolutions of the polycrystalline SiC, an ion irradiation at 1000 °C with the 500 keV He2+ was imposed to the α-SiC. The platelets, He bubbles, dislocation loops, and particularly, their interaction with the stacking fault and grain boundaries were focused on and characterized by the cross-sectional transmission electron microscopy (XTEM). The platelets expectably exhibit a dominant plane of (0001), while planes of (01-10) and (10-16) are also found. Inside the platelet, the over-pressurized bubbles exist and remarkably cause a strong-strain zone surrounding the platelet. The disparate roles between the grain boundaries and stacking faults in interacting with the bubbles and loops are found. The results are compared with the previous weighty findings and discussed.

  16. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  17. In situ arsenic-doped polycrystalline silicon as a low thermal budget emitter contact for Si/Si1 - xGex heterojunction bipolar transistors

    NASA Astrophysics Data System (ADS)

    King, C. A.; Johnson, R. W.; Pinto, M. R.; Luftman, H. S.; Munanka, J.

    1996-01-01

    A low thermal budget emitter contact with low specific contact resistivity (ρc) with the absence of transient enhanced diffusion (TED) effects is essential to fabricate integratable high performance Si/SiGe heterojunction bipolar transistors (HBTs). We report the use of in situ As-doped polycrystalline silicon (polysilicon) from a low base pressure rapid thermal episystem for this purpose and find that it meets all the requirements. We used secondary ion mass spectrometry to find that 18 nm, heavily B-doped layers remain intact after implantation into the surface polysilicon and annealing at 800 °C for 40 s. Similar samples without the surface polylayer displayed extreme broadening of B profile. Kelvin crossbridge resistors together with 2D device simulations revealed that ρc is an extremely low value of 1.2×10-8 Ω cm2 in as-deposited material. Fabrication of simple 30×30 μm2 mesa isolated HBT devices showed IC to be more than two decades higher in devices with only an in situ As-doped polyemitter compared with devices that incorporated a surface implant into the single crystal portion of the emitter before polysilicon deposition. These results demonstrate that this doped polycrystalline silicon material is an excellent choice for emitter contacts to HBT devices.

  18. Progress of p-channel bottom-gate poly-Si thin-film transistor by nickel silicide seed-induced lateral crystallization

    NASA Astrophysics Data System (ADS)

    Lee, Sol Kyu; Seok, Ki Hwan; Park, Jae Hyo; Kim, Hyung Yoon; Chae, Hee Jae; Jang, Gil Su; Lee, Yong Hee; Han, Ji Su; Joo, Seung Ki

    2016-06-01

    Excimer laser annealing (ELA) is known to be the most common crystallization technology for the fabrication of low-temperature polycrystalline-silicon (poly-Si) thin-film transistors (TFTs) in the mass production industry. This technology, however, cannot be applied to bottom-gate (BG) TFTs, which are well developed for the liquid-crystal display (LCD) back-planes, because strong laser energy of ELA can seriously damage the other layers. Here, we propose a novel high-performance BG poly-Si TFT using Ni silicide seed-induced lateral crystallization (SILC). The SILC technology renders it possible to ensure low damage in the layers, smooth surface, and longitudinal large grains in the channel. It was observed that the electrical properties exhibited a steep subthreshold slope of 110 mV/dec, high field-effect mobility of 304 cm2/Vsec, high I on/ I off ratio of 5.9 × 107, and a low threshold voltage of -3.9 V.

  19. Investigations of Si Thin Films as Anode of Lithium-Ion Batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Qingliu; Shi, Bing; Bareño, Javier

    Amorphous silicon thin films having various thicknesses were investigated as a negative electrode material for lithium-ion batteries. Electrochemical characterization of the 20 nm thick thin silicon film revealed a very low first cycle Coulombic efficiency, which can be attributed to the silicon oxide layer formed on both the surface of the as-deposited Si thin film and the interface between the Si and the substrate. Among the investigated films, the 100 nm Si thin film demonstrated the best performance in terms of first cycle efficiency and cycle life. Observations from scanning electron microscopy demonstrated that the generation of cracks was inevitablemore » in the cycled Si thin films, even as the thickness of the film was as little as 20 nm, which was not predicted by previous modeling work. However, the cycling performance of the 20 and 100 nm silicon thin films was not detrimentally affected by these cracks. The poor capacity retention of the 1 mu m silicon thin film was attributed to the delamination.« less

  20. FAST TRACK COMMUNICATION: Magnetic exchange hardening in polycrystalline GdN thin films

    NASA Astrophysics Data System (ADS)

    Senapati, K.; Fix, T.; Vickers, M. E.; Blamire, M. G.; Barber, Z. H.

    2010-08-01

    We report the observation of intrinsic exchange hardening in polycrystalline GdN thin films grown at room temperature by magnetron sputtering. We find, in addition to the ferromagnetic phase, that a fraction of GdN crystallizes in a structural polymorphic form which orders antiferromagnetically. The relative fraction of these two phases was controlled by varying the relative abundance of reactive species in the sputtering plasma by means of the sputtering power and N2 partial pressure. An exchange bias of ~ 30 Oe was observed at 10 K. The exchange coupling between the ferromagnetic and the antiferromagnetic phases resulted in an order of magnitude enhancement in the coercive field in these films.

  1. Deposition of thin Si and Ge films by ballistic hot electron reduction in a solution-dripping mode and its application to the growth of thin SiGe films

    NASA Astrophysics Data System (ADS)

    Suda, Ryutaro; Yagi, Mamiko; Kojima, Akira; Mentek, Romain; Mori, Nobuya; Shirakashi, Jun-ichi; Koshida, Nobuyoshi

    2015-04-01

    To enhance the usefulness of ballistic hot electron injection into solutions for depositing thin group-IV films, a dripping scheme is proposed. A very small amount of SiCl4 or GeCl4 solution was dripped onto the surface of a nanocrystalline Si (nc-Si) electron emitter, and then the emitter is driven without using any counter electrodes. It is shown that thin Si and Ge films are deposited onto the emitting surface. Spectroscopic surface and compositional analyses showed no extrinsic carbon contaminations in deposited thin films, in contrast to the results of a previous study using the dipping scheme. The availability of this technique for depositing thin SiGe films is also demonstrated using a mixture SiCl4+GeCl4 solution. Ballistic hot electrons injected into solutions with appropriate kinetic energies promote preferential reduction of target ions with no by-products leading to nuclei formation for the thin film growth. Specific advantageous features of this clean, room-temperature, and power-effective process is discussed in comparison with the conventional dry and wet processes.

  2. Synergistic effects of water addition and step heating on the formation of solution-processed zinc tin oxide thin films: towards high-mobility polycrystalline transistors

    NASA Astrophysics Data System (ADS)

    Huang, Genmao; Duan, Lian; Zhao, Yunlong; Zhang, Yunge; Dong, Guifang; Zhang, Deqiang; Qiu, Yong

    2016-11-01

    Thin-film transistors (TFTs) with high mobility and good uniformity are attractive for next-generation flat panel displays. In this work, solution-processed polycrystalline zinc tin oxide (ZTO) thin film with well-ordered microstructure is prepared, thanks to the synergistic effect of water addition and step heating. The step heating treatment other than direct annealing induces crystallization, while adequate water added to precursor solution further facilitates alloying and densification process. The optimal polycrystalline ZTO film is free of hierarchical sublayers, and featured with an increased amount of ternary phases, as well as a decreased fraction of oxygen vacancies and hydroxides. TFT devices based on such an active layer exhibit a remarkable field-effect mobility of 52.5 cm2 V-1 s-1, a current on/off ratio of 2 × 105, a threshold voltage of 2.32 V, and a subthreshold swing of 0.36 V dec-1. Our work offers a facile method towards high-performance solution-processed polycrystalline metal oxide TFTs.

  3. Thin films of a ferroelectric phenazine/chloranilic acid organic cocrystal

    NASA Astrophysics Data System (ADS)

    Thompson, Nicholas J.; Jandl, Adam C.; Spalenka, Josef W.; Evans, Paul G.

    2011-07-01

    Phenazine-chloranilic acid cocrystal thin films can be formed by vacuum evaporation of the component molecules onto cooled substrates. Fluxes of phenazine and chloranilic acid were provided from separate sublimation sources, from which the cocrystalline phase can be formed under a wide range of impingement rates of the component molecules. Substrates consisted of Au or Ni thin films on Si wafers, cooled to 100-140 K during deposition. X-ray diffraction and scanning electron microscopy show that this process yields polycrystalline thin films of the cocrystal with voids between crystalline grains. The relative intensities of X-ray reflections differ from reported intensities of polycrystalline powders, suggesting that the films have an anisotropic distribution of crystallographic orientations. The cocrystalline thin films have an effective dielectric constant of 13 at room temperature, increasing at lower temperatures and exhibiting a broad maximum near 200 K. The means to grow thin films of organic ferroelectric materials will allow the integration of new functionalities into organic electronic device structures, including capacitors and field-effect transistors.

  4. Magnetostriction Increase of Polycrystalline Fe-Al-B Thin Sheets after Thermomechanical Process

    NASA Astrophysics Data System (ADS)

    Dias, M. B. S.; Fulop, G. O.; Baldan, C. A.; Bormio-Nunes, C.

    2017-12-01

    Magnetostrictive materials are applied in several types of sensors, actuators, and energy harvesting. In particular, for AC devices, thin materials are desired to reduce eddy current losses. It is well known that the magnetostriction of single crystals and textured materials is higher than in polycrystalline ones, however, the cost and manufacture speed are crucial to be used as parts of commercial devices. Therefore, polycrystalline samples are strong candidates for common applications. In this work, (Fe x Al100- x )98.4B1.6 ( x = 86.6, 82 and 79.4) alloys were rolled down to 0.7 mm of thickness and annealed at 1473 K (1200 °C) for 2 hours aiming to reduce the thickness of the samples without deteriorating the magnetic properties. The alloys, even with higher contents of Al, were easily deformed to the thickness of 0.7 mm and this ability is attributed to the presence of the Fe2B phase. After the thermomechanical process, new isotropic recrystallized grains emerged and the longitudinal magnetostriction increased to 75.8, 16.9, and 3.2 pct, achieving 28.3, 28.4, and 28.8 ppm, respectively, for x = 86.6, 82, and 79.4. The piezomagnetic coefficient obtained of 4 nm/A is a suitable actuating sensitivity.

  5. Reduction in the formation temperature of Poly-SiGe alloy thin film in Si/Ge system

    NASA Astrophysics Data System (ADS)

    Tah, Twisha; Singh, Ch. Kishan; Madapu, K. K.; Sarguna, R. M.; Magudapathy, P.; Ilango, S.

    2018-04-01

    The role of deposition temperature in the formation of poly-SiGe alloy thin film in Si/Ge system is reported. For the set ofsamples deposited without any intentional heating, initiation of alloying starts upon post annealingat ˜ 500 °C leading to the formation of a-SiGe. Subsequently, poly-SiGe alloy phase could formonly at temperature ≥ 800 °C. Whereas, for the set of samples deposited at 500 °C, in-situ formation of poly-SiGe alloy thin film could be observed. The energetics of the incoming evaporated atoms and theirsubsequent diffusionsin the presence of the supplied thermal energy is discussed to understand possible reasons for lowering of formation temperature/energyof the poly-SiGe phase.

  6. Ultra-Low-Cost Room Temperature SiC Thin Films

    NASA Technical Reports Server (NTRS)

    Faur, Maria

    1997-01-01

    The research group at CSU has conducted theoretical and experimental research on 'Ultra-Low-Cost Room Temperature SiC Thin Films. The effectiveness of a ultra-low-cost room temperature thin film SiC growth technique on Silicon and Germanium substrates and structures with applications to space solar sells, ThermoPhotoVoltaic (TPV) cells and microelectronic and optoelectronic devices was investigated and the main result of this effort are summarized.

  7. Optical, mechanical and structural properties of PMMA/SiO2 nanocomposite thin films

    NASA Astrophysics Data System (ADS)

    Soni, Gyanesh; Srivastava, Subodh; Soni, Purushottam; Kalotra, Pankaj; Vijay, Y. K.

    2018-01-01

    We have fabricated PMMA/SiO2 nanocomposite flexible thin films of 60 μm thicknesses by using solution casting method in the presence of transverse electric field. In this paper, we have investigated the effect of SiO2 nanoparticle (NP) loading on optical and mechanical properties of the composite thin film. The SEM images show that nanocomposite thin films have a smoother and uniform morphology. The transmittance peak near 1103 cm-1 in FT-IR spectrum confirms the presence of SiO2 NPs in the composite thin film. It is observed that optical bandgap decreases with an increase in the SiO2 NP concentration. Dynamic mechanical analysis shows that presence of SiO2 NP enhances the mechanical strength of the composite thin film.

  8. Comparison of effective transverse piezoelectric coefficients e31,f of Pb(Zr,Ti)O3 thin films between direct and converse piezoelectric effects

    NASA Astrophysics Data System (ADS)

    Tsujiura, Yuichi; Kawabe, Saneyuki; Kurokawa, Fumiya; Hida, Hirotaka; Kanno, Isaku

    2015-10-01

    We evaluated the effective transverse piezoelectric coefficients (e31,f) of Pb(Zr,Ti)O3 (PZT) thin films from both the direct and converse piezoelectric effects of unimorph cantilevers. (001) preferentially oriented polycrystalline PZT thin films and (001)/(100) epitaxial PZT thin films were deposited on (111)Pt/Ti/Si and (001)Pt/MgO substrates, respectively, by rf-magnetron sputtering, and their piezoelectric responses owing to intrinsic and extrinsic effects were examined. The direct and converse |e31,f| values of the polycrystalline PZT thin films were calculated as 6.4 and 11.5-15.0 C/m2, respectively, whereas those of the epitaxial PZT thin films were calculated as 3.4 and 4.6-4.8 C/m2, respectively. The large |e31,f| of the converse piezoelectric property of the polycrystalline PZT thin films is attributed to extrinsic piezoelectric effects. Furthermore, the polycrystalline PZT thin films show a clear nonlinear piezoelectric contribution, which is the same as the Rayleigh-like behavior reported in bulk PZT. In contrast, the epitaxial PZT thin films on the MgO substrate show a piezoelectric response owing to the intrinsic and linear extrinsic effects, and no nonlinear contribution was observed.

  9. Computational Study of In-Plane Phonon Transport in Si Thin Films

    PubMed Central

    Wang, Xinjiang; Huang, Baoling

    2014-01-01

    We have systematically investigated the in-plane thermal transport in Si thin films using an approach based on the first-principles calculations and lattice dynamics. The effects of phonon mode depletion induced by the phonon confinement and the corresponding variation in interphonon scattering, which may be important for the thermal conductivities of ultra-thin films but are often neglected in precedent studies, are considered in this study. The in-plane thermal conductivities of Si thin films with different thicknesses have been predicted over a temperature range from 80 K to 800 K and excellent agreements with experimental results are found. The validities of adopting the bulk phonon properties and gray approximation of surface specularity in thin film studies have been clarified. It is found that in ultra-thin films, while the phonon depletion will reduce the thermal conductivity of Si thin films, its effect is largely offset by the reduction in the interphonon scattering rate. The contributions of different phonon modes to the thermal transport and isotope effects in Si films with different thicknesses under various temperatures are also analyzed. PMID:25228061

  10. Impact of annealing on physical properties of e-beam evaporated polycrystalline CdO thin films for optoelectronic applications

    NASA Astrophysics Data System (ADS)

    Purohit, Anuradha; Chander, S.; Dhaka, M. S.

    2017-04-01

    An impact of annealing on the physical properties of polycrystalline CdO thin films is carried out in this study. CdO thin films of thickness 650 nm were fabricated on glass and indium tin oxide (ITO) substrates employing e-beam evaporation technique. The pristine thin films were annealed in air atmosphere at 250 °C, 400 °C and 550 °C for one hour followed by investigation of structural, optical, electrical and morphological properties along with elemental composition using X-ray diffraction (XRD), UV-Vis spectrophotometer, Fourier transform infrared (FTIR) spectrometer, source meter, scanning electron microscopy (SEM) and energy-dispersive spectroscopy (EDS), respectively. XRD patterns confirmed the polycrystalline nature and cubic structure (with space group Fm 3 bar m) of the films. The crystallographic parameters are calculated and found to be influenced by the post-air annealing treatment. The optical study shows that direct band gap is ranging from 1.98 eV to 2.18 eV and found to be decreased with post-annealing. The refractive index and optical conductivity are also increased with annealing temperature. The current-voltage characteristics show ohmic behaviour of the annealed films. The surface morphology is observed to be improved with annealing and grain-size is increased as well as EDS spectrum confirmed the presence of cadmium (Cd) and oxygen (O) in the deposited films.

  11. Liquid-phase deposition of thin Si films by ballistic electro-reduction

    NASA Astrophysics Data System (ADS)

    Ohta, T.; Gelloz, B.; Kojima, A.; Koshida, N.

    2013-01-01

    It is shown that the nanocryatalline silicon ballistic electron emitter operates in a SiCl4 solution without using any counter electrodes and that thin amorphous Si films are efficiently deposited on the emitting surface with no contaminations and by-products. Despite the large electrochemical window of the SiCl4 solution, electrons injected with sufficiently high energies preferentially reduce Si4+ ions at the interface. Using an emitter with patterned line emission windows, a Si-wires array can be formed in parallel. This low-temperature liquid-phase deposition technique provides an alternative clean process for power-effective fabrication of advanced thin Si film structures and devices.

  12. Ultra-high current density thin-film Si diode

    DOEpatents

    Wang; Qi

    2008-04-22

    A combination of a thin-film .mu.c-Si and a-Si:H containing diode structure characterized by an ultra-high current density that exceeds 1000 A/cm.sup.2, comprising: a substrate; a bottom metal layer disposed on the substrate; an n-layer of .mu.c-Si deposited the bottom metal layer; an i-layer of .mu.c-Si deposited on the n-layer; a buffer layer of a-Si:H deposited on the i-layer, a p-layer of .mu.c-Si deposited on the buffer layer; and a top metal layer deposited on the p-layer.

  13. Properties of thin SiC membrane for x-ray mask

    NASA Astrophysics Data System (ADS)

    Shoki, Tsutomu; Nagasawa, Hiroyuki; Kosuga, Hiroyuki; Yamaguchi, Yoichi; Annaka, Noromichi; Amemiya, Isao; Nagarekawa, Osamu

    1993-06-01

    We have investigated the effects of film thickness, anti-reflective (AR) coating and surface roughness on the optical transparency of silicon carbide (SiC) membrane. Peak transmittances monotonously increased as the thickness decreased. The transmittance at 633 nm for 1.05 micrometers thick SiC membrane adjusted by reactive ion etching was 70%, and increased up to 80% by an AR coating. SiC membrane with extremely smooth surface of 0.12 nm (Ra) has been obtained by polishing, and had peak transmittances of 69% and 80% at 633 nm for 2.0 micrometers and 1.0 micrometers in thickness, respectively. Poly-crystalline (beta) -SiC membrane in the suitable tensile stress range of 0.3 to 2.0 X 108 Pa and with high Young's modulus of 4.5 X 1011 Pa has been prepared by a hot wall type low pressure chemical vapor deposition, and been found to need to have thickness over 0.7 micrometers to maintain sufficient mechanical strength in processing.

  14. The effects of layering in ferroelectric Si-doped HfO{sub 2} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomenzo, Patrick D.; Nishida, Toshikazu, E-mail: nishida@ufl.edu; Takmeel, Qanit

    2014-08-18

    Atomic layer deposited Si-doped HfO{sub 2} thin films approximately 10 nm thick are deposited with various Si-dopant concentrations and distributions. The ferroelectric behavior of the HfO{sub 2} thin films are shown to be dependent on both the Si mol. % and the distribution of Si-dopants. Metal-ferroelectric-insulator-semiconductor capacitors are shown to exhibit a tunable remanent polarization through the adjustment of the Si-dopant distribution at a constant Si concentration. Inhomogeneous layering of Si-dopants within the thin films effectively lowers the remanent polarization. A pinched hysteresis loop is observed for higher Si-dopant concentrations and found to be dependent on the Si layering distribution.

  15. Effect of temperature on optical properties of PMMA/SiO2 composite thin film

    NASA Astrophysics Data System (ADS)

    Soni, Gyanesh; Srivastava, Subodh; Soni, Purushottam; Kalotra, Pankaj; Vijay, Y. K.

    2018-05-01

    Effect of temperature on PMMA/SiO2 composites thin films were investigated. Nanocomposite flexible thin films of 60 µm thicknesses with different loading of SiO2 nanoparticles were prepared using solution casting method. SEM images show that SiO2 nanoparticles are distributed uniformly in PMMA matrix without any lumps on the surface, and PMMA/SiO2 nano composite thin films had a smoother and regular morphology. UV-Vis and optical band gap measurements revealed that both the concentration of SiO2 nanoparticles and temperature affect the optical properties of the composite thin film in comparison to the pure PMMA film.

  16. Residual Stresses in Ta, Mo, Al and Pd Thin Films Deposited by E-Beam Evaporation Process on Si and Si/SiO2 Substrates

    NASA Astrophysics Data System (ADS)

    Guisbiers, G.; Strehle, S.; Van Overschelde, O.; Wautelet, M.

    2006-02-01

    Residual stresses are commonly generated during the deposition process of thin films and can influence the reliability of the deposited systems e.g. due to fatigue, aging effects or debonding. Therefore, an evaluation of such stresses in thin films is of crucial importance for metallization of microelectronic devices and MEMS. Residual stresses can be determined experimentally by substrate curvature or X-ray diffraction measurements. The modeling of residual stresses generally deals with the calculation of the thermal ones alone. In the present work, a model is proposed, where intrinsic stresses are calculated explicitly based on the Tsui-Clyne model. The aim of this model, called self-consistent model, is to predict residual stresses in thin films independent on measurements. The simulated values are compared with experimental results for the following systems: Ta/Si, Mo/Si, Al/SiO2/Si and Pd/SiO2/Si.

  17. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  18. Phase separation within NiSiN coatings during reactive HiPIMS discharges: A new pathway to grow NixSi nanocrystals composites at low temperature

    NASA Astrophysics Data System (ADS)

    Keraudy, J.; Boyd, R. D.; Shimizu, T.; Helmersson, U.; Jouan, P.-Y.

    2018-10-01

    The precise control of the growth nanostructured thin films at low temperature is critical for the continued development of microelectronic enabled devices. In this study, nanocomposite Ni-Si-N thin films were deposited at low temperature by reactive high-power impulse magnetron sputtering. A composite Ni-Si target (15 at.% Si) in combination with a Ar/N2 plasma were used to deposit films onto Si(0 0 1) substrates, without any additional substrate heating or any post-annealing. The films microstructure changes from a polycrystalline to nanocomposite structure when the nitrogen content exceeds 16 at.%. X-ray diffraction and (scanning) transmission electron microscopy analyses reveal that the microstructure consists of nanocrystals, NixSi (x > 1) 7-8 nm in size, embedded in an amorphous SiNx matrix. It is proposed that this nanostructure is formed at low temperatures due to the repeated-nucleation of NixSi nanocrystals, the growth of which is restricted by the formation of the SiNx phase. X-ray photoelectron spectroscopy revealed the trace presence of a ternary solid solution mainly induced by the diffusion of Ni into the SiNx matrix. Four-probe electrical measurements reveal all the deposited films are electrically conducting.

  19. Formation of Fe2SiO4 thin films on Si substrates and influence of substrate to its thermoelectric transport properties

    NASA Astrophysics Data System (ADS)

    Choi, Jeongyong; Nguyen, Van Quang; Duong, Van Thiet; Shin, Yooleemi; Duong, Anh Tuan; Cho, Sunglae

    2018-03-01

    Fe2SiO4 thin films have been grown on n-type, p-type and semi-insulating Si(100) substrates by molecular beam epitaxy. When Fe-O thin films were deposited on Si(100) substrate at 300 °C, the film reacted with Si, resulting in a Fe2SiO4 film because of the high reactivity between Fe and Si. The electrical resistance and Seebeck coefficient of Fe2SiO4 thin films grown were different in different doping states. On n-type and p-type Si(100), the electrical resistance decreased suddenly and increased again at 350 and 250 K, respectively, while on semi-insulating Si(100), it exhibited typical semiconducting resistance behavior. We observed similar crossovers at 350 and 250 K in temperature dependent Seebeck coefficients on n-type and p-type Si(100), respectively. These results suggest that the measured electrical and thermoelectric properties originate from Si substrate.

  20. Deformation and fracture of single-crystal and sintered polycrystalline silicon carbide produced by cavitation

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Hattori, Shuji; Okada, Tsunenori; Buckley, Donald H.

    1987-01-01

    An investigation was conducted to examine the deformation and fracture behavior of single-crystal and sintered polycrystalline SiC surfaces exposed to cavitation. Cavitation erosion experiments were conducted in distilled water at 25 C by using a magnetostrictive oscillator in close proximity (1 mm) to the surface of SiC. The horn frequency was 20 kHz, and the double amplitude of the vibrating disk was 50 microns. The results of the investigation indicate that the SiC (0001) surface could be deformed in a plastic manner during cavitation. Dislocation etch pits were formed when the surface was chemically etched. The number of defects, including dislocations in the SiC (0001) surface, increased with increasing exposure time to cavitation. The presence of intrinsic defects such as voids in the surficial layers of the sintered polycrystalline SiC determined the zones at which fractured grains and fracture pits (pores) were generated. Single-crystal SiC had superior erosion resistance to that of sintered polycrystalline SiC.

  1. Deformation and fracture of single-crystal and sintered polycrystalline silicon carbide produced by cavitation

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Hattori, Shuji; Okada, Tsunenori; Buckley, Donald H.

    1989-01-01

    An investigation was conducted to examine the deformation and fracture behavior of single-crystal and sintered polycrystalline SiC surfaces exposed to cavitation. Cavitation erosion experiments were conducted in distilled water at 25 C by using a magnetostrictive oscillator in close proximity (1 mm) to the surface of SiC. The horn frequency was 20 kHz, and the double amplitude of the vibrating disk was 50 microns. The results of the investigation indicate that the SiC (0001) surface could be deformed in a plastic manner during cavitation. Dislocation etch pits were formed when the surface was chemically etched. The number of defects, including dislocations in SiC (0001) surface, increased with increasing exposure time to cavitation. The presence of intrinsic defects such as voids in the surficial layers of the sintered polycrystalline SiC determined the zones at which fractured grains and fracture pits (pores) were generated. Single-crystal SiC had superior erosion resistance to that of sintered polycrystalline SiC.

  2. Cu2SixSn1-xS3 Thin Films Prepared by Reactive Magnetron Sputtering For Low-Cost Thin Film Solar Cells

    NASA Astrophysics Data System (ADS)

    Yan, Chang; Liu, Fang-Yang; Lai, Yan-Qing; Li, Jie; Liu, Ye-Xiang

    2011-10-01

    We report the preparation of Cu2SixSn1-xS3 thin films for thin film solar cell absorbers using the reactive magnetron co-sputtering technique. Energy dispersive spectrometer and x-ray diffraction analyses indicate that Cu2Si1-xSnxS3 thin films can be synthesized successfully by partly substituting Si atoms for Sn atoms in the Cu2SnS3 lattice, leading to a shrinkage of the lattice, and, accordingly, by 2θ shifting to larger values. The blue shift of the Raman peak further confirms the formation of Cu2SixSn1-xS3. Environmental scanning electron microscope analyses reveal a polycrystalline and homogeneous morphology with a grain size of about 200-300 nm. Optical measurements indicate an optical absorption coefficient of higher than 104 cm-1 and an optical bandgap of 1.17±0.01 eV.

  3. Ultrahigh broadband photoresponse of SnO2 nanoparticle thin film/SiO2/p-Si heterojunction.

    PubMed

    Ling, Cuicui; Guo, Tianchao; Lu, Wenbo; Xiong, Ya; Zhu, Lei; Xue, Qingzhong

    2017-06-29

    The SnO 2 /Si heterojunction possesses a large band offset and it is easy to control the transportation of carriers in the SnO 2 /Si heterojunction to realize high-response broadband detection. Therefore, we investigated the potential of the SnO 2 nanoparticle thin film/SiO 2 /p-Si heterojunction for photodetectors. It is demonstrated that this heterojunction shows a stable, repeatable and broadband photoresponse from 365 nm to 980 nm. Meanwhile, the responsivity of the device approaches a high value in the range of 0.285-0.355 A W -1 with the outstanding detectivity of ∼2.66 × 10 12 cm H 1/2 W -1 and excellent sensitivity of ∼1.8 × 10 6 cm 2 W -1 , and its response and recovery times are extremely short (<0.1 s). This performance makes the device stand out among previously reported oxide or oxide/Si based photodetectors. In fact, the photosensitivity and detectivity of this heterojunction are an order of magnitude higher than that of 2D material based heterojunctions such as (Bi 2 Te 3 )/Si and MoS 2 /graphene (photosensitivity of 7.5 × 10 5 cm 2 W -1 and detectivity of ∼2.5 × 10 11 cm H 1/2 W -1 ). The excellent device performance is attributed to the large Fermi energy difference between the SnO 2 nanoparticle thin film and Si, SnO 2 nanostructure, oxygen vacancy defects and thin SiO 2 layer. Consequently, practical highly-responsive broadband PDs may be actualized in the future.

  4. Oxygen-aided synthesis of polycrystalline graphene on silicon dioxide substrates.

    PubMed

    Chen, Jianyi; Wen, Yugeng; Guo, Yunlong; Wu, Bin; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wang, Dong; Yu, Gui; Liu, Yunqi

    2011-11-09

    We report the metal-catalyst-free synthesis of high-quality polycrystalline graphene on dielectric substrates [silicon dioxide (SiO(2)) or quartz] using an oxygen-aided chemical vapor deposition (CVD) process. The growth was carried out using a CVD system at atmospheric pressure. After high-temperature activation of the growth substrates in air, high-quality polycrystalline graphene is subsequently grown on SiO(2) by utilizing the oxygen-based nucleation sites. The growth mechanism is analogous to that of growth for single-walled carbon nanotubes. Graphene-modified SiO(2) substrates can be directly used in transparent conducting films and field-effect devices. The carrier mobilities are about 531 cm(2) V(-1) s(-1) in air and 472 cm(2) V(-1) s(-1) in N(2), which are close to that of metal-catalyzed polycrystalline graphene. The method avoids the need for either a metal catalyst or a complicated and skilled postgrowth transfer process and is compatible with current silicon processing techniques.

  5. Effect of mechanical strain on mobility of polycrystalline silicon thin-film transistors fabricated on stainless steel foil

    NASA Astrophysics Data System (ADS)

    Kuo, Po-Chin; Jamshidi-Roudbari, Abbas; Hatalis, Miltiadis

    2007-12-01

    The effect of uniaxial tensile strain parallel to the channel on mobility of polycrystalline silicon thin-film transistors (TFTs) on stainless steel foil has been investigated. The electron mobility increases by 20% while the hole mobility decreases by 6% as the strain increases to 0.5%, and both followed by saturation as the strain increases further. The off current decreases for both types of TFTs under strain. All TFTs remained functional at the applied strain of 1.13%.

  6. Durability Evaluation of a Thin Film Sensor System With Enhanced Lead Wire Attachments on SiC/SiC Ceramic Matrix Composites

    NASA Technical Reports Server (NTRS)

    Lei, Jih-Fen; Kiser, J. Douglas; Singh, Mrityunjay; Cuy, Mike; Blaha, Charles A.; Androjna, Drago

    2000-01-01

    An advanced thin film sensor system instrumented on silicon carbide (SiC) fiber reinforced SiC matrix ceramic matrix composites (SiC/SiC CMCs), was evaluated in a Mach 0.3 burner rig in order to determine its durability to monitor material/component surface temperature in harsh environments. The sensor system included thermocouples in a thin film form (5 microns thick), fine lead wires (75 microns diameter), and the bonds between these wires and the thin films. Other critical components of the overall system were the heavy, swaged lead wire cable (500 microns diameter) that contained the fine lead wires and was connected to the temperature readout, and ceramic attachments which were bonded onto the CMCs for the purpose of securing the lead wire cables, The newly developed ceramic attachment features a combination of hoops made of monolithic SiC or SiC/SiC CMC (which are joined to the test article) and high temperature ceramic cement. Two instrumented CMC panels were tested in a burner rig for a total of 40 cycles to 1150 C (2100 F). A cycle consisted of rapid heating to 1150 C (2100 F), a 5 minute hold at 1150 C (2100 F), and then cooling down to room temperature in 2 minutes. The thin film sensor systems provided repeatable temperature measurements for a maximum of 25 thermal cycles. Two of the monolithic SiC hoops debonded during the sensor fabrication process and two of the SiC/SiC CMC hoops failed during testing. The hoops filled with ceramic cement, however, showed no sign of detachment after 40 thermal cycle test. The primary failure mechanism of this sensor system was the loss of the fine lead wire-to-thin film connection, which either due to detachment of the fine lead wires from the thin film thermocouples or breakage of the fine wire.

  7. Measurement of Young's modulus and residual stress of thin SiC layers for MEMS high temperature applications

    NASA Astrophysics Data System (ADS)

    Pabst, Oliver; Schiffer, Michael; Obermeier, Ernst; Tekin, Tolga; Lang, Klaus Dieter; Ngo, Ha-Duong

    2011-06-01

    Silicon carbide (SiC) is a promising material for applications in harsh environments. Standard silicon (Si) microelectromechanical systems (MEMS) are limited in operating temperature to temperatures below 130 °C for electronic devices and below 600 °C for mechanical devices. Due to its large bandgap SiC enables MEMS with significantly higher operating temperatures. Furthermore, SiC exhibits high chemical stability and thermal conductivity. Young's modulus and residual stress are important mechanical properties for the design of sophisticated SiC-based MEMS devices. In particular, residual stresses are strongly dependent on the deposition conditions. Literature values for Young's modulus range from 100 to 400 GPa, and residual stresses range from 98 to 486 MPa. In this paper we present our work on investigating Young's modulus and residual stress of SiC films deposited on single crystal bulk silicon using bulge testing. This method is based on measurement of pressure-dependent membrane deflection. Polycrystalline as well as single crystal cubic silicon carbide samples are studied. For the samples tested, average Young's modulus and residual stress measured are 417 GPa and 89 MPa for polycrystalline samples. For single crystal samples, the according values are 388 GPa and 217 MPa. These results compare well with literature values.

  8. Polycrystalline semiconductor processing

    DOEpatents

    Glaeser, Andreas M.; Haggerty, John S.; Danforth, Stephen C.

    1983-01-01

    A process for forming large-grain polycrystalline films from amorphous films for use as photovoltaic devices. The process operates on the amorphous film and uses the driving force inherent to the transition from the amorphous state to the crystalline state as the force which drives the grain growth process. The resultant polycrystalline film is characterized by a grain size that is greater than the thickness of the film. A thin amorphous film is deposited on a substrate. The formation of a plurality of crystalline embryos is induced in the amorphous film at predetermined spaced apart locations and nucleation is inhibited elsewhere in the film. The crystalline embryos are caused to grow in the amorphous film, without further nucleation occurring in the film, until the growth of the embryos is halted by imgingement on adjacently growing embryos. The process is applicable to both batch and continuous processing techniques. In either type of process, the thin amorphous film is sequentially doped with p and n type dopants. Doping is effected either before or after the formation and growth of the crystalline embryos in the amorphous film, or during a continuously proceeding crystallization step.

  9. Polycrystalline semiconductor processing

    DOEpatents

    Glaeser, A.M.; Haggerty, J.S.; Danforth, S.C.

    1983-04-05

    A process is described for forming large-grain polycrystalline films from amorphous films for use as photovoltaic devices. The process operates on the amorphous film and uses the driving force inherent to the transition from the amorphous state to the crystalline state as the force which drives the grain growth process. The resultant polycrystalline film is characterized by a grain size that is greater than the thickness of the film. A thin amorphous film is deposited on a substrate. The formation of a plurality of crystalline embryos is induced in the amorphous film at predetermined spaced apart locations and nucleation is inhibited elsewhere in the film. The crystalline embryos are caused to grow in the amorphous film, without further nucleation occurring in the film, until the growth of the embryos is halted by impingement on adjacently growing embryos. The process is applicable to both batch and continuous processing techniques. In either type of process, the thin amorphous film is sequentially doped with p and n type dopants. Doping is effected either before or after the formation and growth of the crystalline embryos in the amorphous film, or during a continuously proceeding crystallization step. 10 figs.

  10. Carrier Transport, Recombination, and the Effects of Grain Boundaries in Polycrystalline Cadmium Telluride Thin Films for Photovoltaics

    NASA Astrophysics Data System (ADS)

    Tuteja, Mohit

    Cadmium Telluride (CdTe), a chalcogenide semiconductor, is currently used as the absorber layer in one of the highest efficiency thin film solar cell technologies. Current efficiency records are over 22%. In 2011, CdTe solar cells accounted for 8% of all solar cells installed. This is because, in part, CdTe has a low degradation rate, high optical absorption coefficient, and high tolerance to intrinsic defects. Solar cells based on polycrystalline CdTe exhibit a higher short-circuit current, fill factor, and power conversion efficiency than their single crystal counterparts. This is despite the fact that polycrystalline CdTe devices exhibit lower open-circuit voltages. This is contrary to the observation for silicon and III-V semiconductors, where material defects cause a dramatic drop in device performance. For example, grain boundaries in covalently-bonded semiconductors (a) act as carrier recombination centers, and (b) lead to localized energy states, causing carrier trapping. Despite significant research to date, the mechanism responsible for the superior current collection properties of polycrystalline CdTe solar cells has not been conclusively answered. This dissertation focuses on the macro-scale electronic band structure, and micro scale electronic properties of grains and grain boundaries in device-grade CdTe thin films to answer this open question. My research utilized a variety of experimental techniques. Samples were obtained from leading groups fabricating the material and devices. A CdCl 2 anneal is commonly performed as part of this fabrication and its effects were also investigated. Photoluminescence (PL) spectroscopy was employed to study the band structure and defect states in CdTe polycrystals. Cadmium vacancy- and chlorine-related states lead to carrier recombination, as in CdTe films grown by other methods. Comparing polycrystalline and single crystal CdTe, showed that the key to explaining the improved performance of polycrystalline CdTe does

  11. Laser processing of thin-film multilayer structures: comparison between a 3D thermal model and experimental results.

    PubMed

    Naghshine, Babak B; Kiani, Amirkianoosh

    2017-01-01

    In this research, a numerical model is introduced for simulation of laser processing of thin film multilayer structures, to predict the temperature and ablated area for a set of laser parameters including average power and repetition rate. Different thin-films on Si substrate were processed by nanosecond Nd:YAG laser pulses and the experimental and numerical results were compared to each other. The results show that applying a thin film on the surface can completely change the temperature field and vary the shape of the heat affected zone. The findings of this paper can have many potential applications including patterning the cell growth for biomedical applications and controlling the grain size in fabrication of polycrystalline silicon (poly-Si) thin-film transistors (TFTs).

  12. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    NASA Astrophysics Data System (ADS)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  13. Process for fabricating polycrystalline semiconductor thin-film solar cells, and cells produced thereby

    DOEpatents

    Wu, Xuanzhi; Sheldon, Peter

    2000-01-01

    A novel, simplified method for fabricating a thin-film semiconductor heterojunction photovoltaic device includes initial steps of depositing a layer of cadmium stannate and a layer of zinc stannate on a transparent substrate, both by radio frequency sputtering at ambient temperature, followed by the depositing of dissimilar layers of semiconductors such as cadmium sulfide and cadmium telluride, and heat treatment to convert the cadmium stannate to a substantially single-phase material of a spinel crystal structure. Preferably, the cadmium sulfide layer is also deposited by radio frequency sputtering at ambient temperature, and the cadmium telluride layer is deposited by close space sublimation at an elevated temperature effective to convert the amorphous cadmium stannate to the polycrystalline cadmium stannate with single-phase spinel structure.

  14. Polycrystalline Terfenol-D thin films grown at CMOS compatible temperature

    NASA Astrophysics Data System (ADS)

    Panduranga, Mohanchandra K.; Lee, Taehwan; Chavez, Andres; Prikhodko, Sergey V.; Carman, Gregory P.

    2018-05-01

    Terfenol-D thin films have the largest magnetoelastic coefficient at room temperature of any material system and thus are ideal for voltage induced strain multiferroics. However, Terfenol-D requires 500 0C processing temperature which prohibits its use in CMOS devices where processing temperatures must be below 450 0C. In this paper, we describe a deposition process that produces quality Terfenol-D film with processing temperature below 450 0C. These films have extremely smooth surfaces (Ra˜1nm) with excellent magnetoelastic properties (λs=880 microstrain) similar to its bulk polycrystalline counterpart. The films are produced by DC magnetron sputtering and deposited on heated substrates at 250 0C and post annealed at either 250 0C, 400 0C or 450 0C. Among these films only the film annealed at 450 0C produces crystalline Terfenol-D with a face centered cubic crystal structure and saturation magnetization of ˜700 emu/cc. MOKE Magnetic hysteresis loops measured with four point bending fixture show compressive strain dramatically alter the coercive field from 2300 Oe to 1600 Oe.

  15. Evaluation of double-layer density modulated Si thin films as Li-ion battery anodes

    NASA Astrophysics Data System (ADS)

    Taha Demirkan, Muhammed; Yurukcu, Mesut; Dursun, Burcu; Demir-Cakan, Rezan; Karabacak, Tansel

    2017-10-01

    Double-layer density modulated silicon thin films which contain alternating low and high density Si film layers were fabricated by magnetron sputtering. Two different samples consisting of alternating layers of high-density/low-density and low-density/high-density Si thin film layers were investigated as anode electrodes in Li-ion batteries. Si thin film in which the terminating layer at the top is low density Si layer-quoted as low-density/high-density film (LD/HD)- exhibits better performance than Si thin film that has high density layer at the top, -quoted as high-density/low-density (HD/LD). A highly stabilized cycling performance with the specific charge capacities of 2000 mAh g-1 at the 150th cycle at C/2 current density, and 1200 mAh g-1 at the 240th cycle at 10 C current density were observed for the LD/HD Si anode in the presence of fluoroethylene carbonate (FEC) electrolyte additive.

  16. High efficiency thin-film crystalline Si/Ge tandem solar cell.

    PubMed

    Sun, G; Chang, F; Soref, R A

    2010-02-15

    We propose and simulate a photovoltaic solar cell comprised of Si and Ge pn junctions in tandem. With an anti-reflection film at the front surface, we have shown that optimal solar cells favor a thin Si layer and a thick Ge layer with a thin tunnel hetero-diode placed in between. We predict efficiency ranging from 19% to 28% for AM1.5G solar irradiance concentrated from 1 approximately 1000 Suns for a cell with a total thickness approximately 100 microm.

  17. Sub-kT/q Subthreshold-Slope Using Negative Capacitance in Low-Temperature Polycrystalline-Silicon Thin-Film Transistor

    PubMed Central

    Park, Jae Hyo; Jang, Gil Su; Kim, Hyung Yoon; Seok, Ki Hwan; Chae, Hee Jae; Lee, Sol Kyu; Joo, Seung Ki

    2016-01-01

    Realizing a low-temperature polycrystalline-silicon (LTPS) thin-film transistor (TFT) with sub-kT/q subthreshold slope (SS) is significantly important to the development of next generation active-matrix organic-light emitting diode displays. This is the first time a sub-kT/q SS (31.44 mV/dec) incorporated with a LTPS-TFT with polycrystalline-Pb(Zr,Ti)O3 (PZT)/ZrTiO4 (ZTO) gate dielectrics has been demonstrated. The sub-kT/q SS was observed in the weak inversion region at −0.5 V showing ultra-low operating voltage with the highest mobility (250.5 cm2/Vsec) reported so far. In addition, the reliability of DC negative bias stress, hot carrier stress and self-heating stress in LTPS-TFT with negative capacitance was investigated for the first time. It was found that the self-heating stress showed accelerated SS degradation due to the PZT Curie temperature. PMID:27098115

  18. White light emission and optical gains from a Si nanocrystal thin film

    NASA Astrophysics Data System (ADS)

    Wang, Dong-Chen; Hao, Hong-Chen; Chen, Jia-Rong; Zhang, Chi; Zhou, Jing; Sun, Jian; Lu, Ming

    2015-11-01

    We report a Si nanocrystal thin film consisting of free-standing Si nanocrystals, which can emit white light and show positive optical gains for its red, green and blue (RGB) components under ultraviolet excitation. Si nanocrystals with ϕ = 2.31 ± 0.35 nm were prepared by chemical etching of Si powder, followed by filtering. After being mixed with SiO2 sol-gel and thermally annealed, a broadband photoluminescence (PL) from the thin film was observed. The RGB ratio of the PL can be tuned by changing the annealing temperature or atmosphere, which is 1.00/3.26/4.59 for the pure white light emission. The origins of the PL components could be due to differences in oxygen-passivation degree for Si nanocrystals. The results may find applications in white-light Si lasing and Si lighting.

  19. Influence of deep defects on device performance of thin-film polycrystalline silicon solar cells

    NASA Astrophysics Data System (ADS)

    Fehr, M.; Simon, P.; Sontheimer, T.; Leendertz, C.; Gorka, B.; Schnegg, A.; Rech, B.; Lips, K.

    2012-09-01

    Employing quantitative electron-paramagnetic resonance analysis and numerical simulations, we investigate the performance of thin-film polycrystalline silicon solar cells as a function of defect density. We find that the open-circuit voltage is correlated to the density of defects, which we assign to coordination defects at grain boundaries and in dislocation cores. Numerical device simulations confirm the observed correlation and indicate that the device performance is limited by deep defects in the absorber bulk. Analyzing the defect density as a function of grain size indicates a high concentration of intra-grain defects. For large grains (>2 μm), we find that intra-grain defects dominate over grain boundary defects and limit the solar cell performance.

  20. Polycrystalline Silicon: a Biocompatibility Assay

    NASA Astrophysics Data System (ADS)

    Pecheva, E.; Laquerriere, P.; Bouthors, Sylvie; Fingarova, D.; Pramatarova, L.; Hikov, T.; Dimova-Malinovska, D.; Montgomery, P.

    2010-01-01

    Polycrystalline silicon (poly-Si) layers were functionalized through the growth of biomimetic hydroxyapatite (HA) on their surface. HA is the mineral component of bones and teeth and thus possesses excellent bioactivity and biocompatibility. MG-63 osteoblast-like cells were cultured on both HA-coated and un-coated poly-Si surfaces for 1, 3, 5 and 7 days and toxicity, proliferation and cell morphology were investigated. The results revealed that the poly-Si layers were bioactive and compatible with the osteoblast-like cells. Nevertheless, the HA coating improved the cell interactions with the poly-Si surfaces based on the cell affinity to the specific chemical composition of the bone-like HA and/or to the higher HA roughness.

  1. Characterization and optimization of polycrystalline Si70%Ge30% for surface micromachined thermopiles in human body applications

    NASA Astrophysics Data System (ADS)

    Wang, Ziyang; Fiorini, Paolo; Leonov, Vladimir; Van Hoof, Chris

    2009-09-01

    This paper presents the material characterization methods, characterization results and the optimization scheme for polycrystalline Si70%Ge30% (poly-SiGe) from the perspective of its application in a surface micromachined thermopile. Due to its comparative advantages, such as lower thermal conductivity and ease of processing, over other materials, poly-SiGe is chosen to fabricate a surface micromachined thermopile and eventually a wearable thermoelectric generator (TEG) to be used on a human body. To enable optimal design of advanced thermocouple microstructures, poly-SiGe sample materials prepared by two different techniques, namely low-pressure chemical vapor deposition (LPCVD) with in situ doping and rapid thermal chemical vapor deposition (RTCVD) with ion implantation, have been characterized. Relevant material properties, including electrical resistivity, Seebeck coefficient, thermal conductivity and specific contact resistance, have been reported. For the determination of thermal conductivity, a novel surface-micromachined test structure based on the Seebeck effect is designed, fabricated and measured. Compared to the traditional test structures, it is more advantageous for sample materials with a relatively large Seebeck coefficient, such as poly-SiGe. Based on the characterization results, a further optimization scheme is suggested to allow independent respective optimization of the figure of merit and the specific contact resistance.

  2. Metastability of a-SiOx:H thin films for c-Si surface passivation

    NASA Astrophysics Data System (ADS)

    Serenelli, L.; Martini, L.; Imbimbo, L.; Asquini, R.; Menchini, F.; Izzi, M.; Tucci, M.

    2017-01-01

    The adoption of a-SiOx:H films obtained by PECVD in heterojunction solar cells is a key to further increase their efficiency, because of its transparency in the UV with respect to the commonly used a-Si:H. At the same time this layer must guarantee high surface passivation of the c-Si to be suitable in high efficiency solar cell manufacturing. On the other hand the application of amorphous materials like a-Si:H and SiNx on the cell frontside expose them to the mostly energetic part of the sun spectrum, leading to a metastability of their passivation properties. Moreover as for amorphous silicon, thermal annealing procedures are considered as valuable steps to enhance and stabilize thin film properties, when performed at opportune temperature. In this work we explored the reliability of a-SiOx:H thin film layers surface passivation on c-Si substrates under UV exposition, in combination with thermal annealing steps. Both p- and n-type doped c-Si substrates were considered. To understand the effect of UV light soaking we monitored the minority carriers lifetime and Sisbnd H and Sisbnd O bonding, by FTIR spectra, after different exposure times to light coming from a deuterium lamp, filtered to UV-A region, and focused on the sample to obtain a power density of 50 μW/cm2. We found a certain lifetime decrease after UV light soaking in both p- and n-type c-Si passivated wafers according to a a-SiOx:H/c-Si/a-SiOx:H structure. The role of a thermal annealing, which usually enhances the as-deposited SiOx passivation properties, was furthermore considered. In particular we monitored the UV light soaking effect on c-Si wafers after a-SiOx:H coating by PECVD and after a thermal annealing treatment at 300 °C for 30 min, having selected these conditions on the basis of the study of the effect due to different temperatures and durations. We correlated the lifetime evolution and the metastability effect of thermal annealing to the a-SiOx:H/c-Si interface considering the evolution

  3. Geometric structure of thin SiO xN y films on Si(100)

    NASA Astrophysics Data System (ADS)

    Behrens, K.-M.; Klinkenberg, E.-D.; Finster, J.; Meiwes-Broer, K.-H.

    1998-05-01

    Thin films of amorphous stoichometric SiO xN y are deposited on radiation-heated Si(100) by rapid thermal low-pressure chemical vapour deposition. We studied the whole range of possible compositions. In order to determine the geometric structure, we used EXAFS and photoelectron spectroscopy. Tetrahedrons constitute the short-range units with a central Si atom connected to N and O. The distribution of the possible tetrahedrons can be described by a mixture of the Random Bonding Model and the Random Mixture Model. For low oxygen contents x/( x+ y)≤0.3, the geometric structure of the film is almost the structure of a-Si 3N 4, with the oxygen preferably on top of Si-N 3 triangles. Higher oxygen contents induce changes in the bond lengths, bond angles and coordination numbers.

  4. The effect of heat treatment on superhydrophilicity of TiO2 nano thin films

    NASA Astrophysics Data System (ADS)

    Ashkarran, A. A.; Mohammadizadeh, M. R.

    2007-11-01

    TiO2 thin films were synthesized by the sol-gel method and spin coating process. The calcination temperature was changed from 100 to 550°C. XRD patterns show increasing the content of polycrystalline anatase phase with increasing the calcination temperature. The AFM results indicate granular morphology of the films, which particle size changes from 22 to 166nm by increasing the calcination temperature. The RBS, EDX and Raman spectroscopy of the films show the ratio of Ti:O ~0.5, and diffusion of sodium ions from substrate into the layer, by increasing the calcination temperature. The UV-vis spectroscopy of the films indicates a red shift by increasing the calcination temperature. The contact angle meter experiment shows that superhydrophilicity of the films depends on the formation of anatase crystal structure and diffused sodium content from substrate to the layer. The best hydrophilicity property was observed at 450°C calcination temperature, where the film is converted to a superhydrophilic surface after 10min under 2mW/cm2 UV irradiation. Water droplet on TiO2 thin film on Si(111), Si(100), and quartz substrates is spread to smaller angles rather than glass and polycrystalline Si substrates under UV irradiation.

  5. Micromachined single-level nonplanar polycrystalline SiGe thermal microemitters for infrared dynamic scene projection

    NASA Astrophysics Data System (ADS)

    Malyutenko, V. K.; Malyutenko, O. Yu.; Leonov, V.; Van Hoof, C.

    2009-05-01

    The technology for self-supported membraneless polycrystalline SiGe thermal microemitters, their design, and performance are presented. The 128-element arrays with a fill factor of 88% and a 2.5-μm-thick resonant cavity have been grown by low-pressure chemical vapor deposition and fabricated using surface micromachining technology. The 200-nm-thick 60×60 μm2 emitting pixels enforced with a U-shape profile pattern demonstrate a thermal time constant of 2-7 ms and an apparent temperature of 700 K in the 3-5 and 8-12 μm atmospheric transparency windows. The application of the devices to the infrared dynamic scene simulation and their benefit over conventional planar membrane-supported emitters are discussed.

  6. Surface damage on polycrystalline β-SiC by xenon ion irradiation at high fluence

    NASA Astrophysics Data System (ADS)

    Baillet, J.; Gavarini, S.; Millard-Pinard, N.; Garnier, V.; Peaucelle, C.; Jaurand, X.; Duranti, A.; Bernard, C.; Rapegno, R.; Cardinal, S.; Escobar Sawa, L.; De Echave, T.; Lanfant, B.; Leconte, Y.

    2018-05-01

    Polycrystalline β-silicon carbide (β-SiC) pellets were prepared by Spark Plasma Sintering (SPS). These were implanted at room temperature with 800 keV xenon at ion fluences of 5.1015 and 1.1017 cm-2. Microstructural modifications were studied by electronic microscopy (TEM and SEM) and xenon profiles were determined by Rutherford Backscattering Spectroscopy (RBS). A complete amorphization of the implanted area associated with a significant oxidation is observed for the highest fluence. Large xenon bubbles formed in the oxide phase are responsible of surface swelling. No significant gas release has been measured up to 1017 at.cm-2. A model is proposed to explain the different steps of the oxidation process and xenon bubbles formation as a function of ion fluence.

  7. Effect of oxygen partial pressure on the density of antiphase boundaries in Fe3O4 thin films on Si(100)

    NASA Astrophysics Data System (ADS)

    Singh, Suraj Kumar; Husain, Sajid; Kumar, Ankit; Chaudhary, Sujeet

    2018-02-01

    Polycrystalline Fe3O4 thin films were grown on Si(100) substrate by reactive DC sputtering at different oxygen partial pressures PO2 for controlling the growth associated density of antiphase boundaries (APBs). The micro-Raman analyses were performed to study the structural and electronic properties in these films. The growth linked changes in the APBs density are probed by electron-phonon coupling strength (λ) and isothermal magnetization measurements. The estimated values of λ are found to vary from 0.39 to 0.56 with the increase in PO2 from 2.2 × 10-5 to 3.0 × 10-5 Torr, respectively. The saturation magnetization (saturation field) values are found to increase (decrease) from 394 (5.9) to 439 (3.0) emu/cm3 (kOe) with the increase in PO2 . The sharp Verwey transition (∼120 K), low saturation field, high saturation magnetization and low value of λ (comparable to the bulk value ∼0.51) clearly affirm the negligible amount of APBs in the high oxygen partial pressure deposited thin films.

  8. Growth, stability and decomposition of Mg2Si ultra-thin films on Si (100)

    NASA Astrophysics Data System (ADS)

    Sarpi, B.; Zirmi, R.; Putero, M.; Bouslama, M.; Hemeryck, A.; Vizzini, S.

    2018-01-01

    Using Auger Electron Spectroscopy (AES), Scanning Tunneling Microscopy/Spectroscopy (STM/STS) and Low Energy Electron Diffraction (LEED), we report an in-situ study of amorphous magnesium silicide (Mg2Si) ultra-thin films grown by thermally enhanced solid-phase reaction of few Mg monolayers deposited at room temperature (RT) on a Si(100) surface. Silicidation of magnesium films can be achieved in the nanometric thickness range with high chemical purity and a high thermal stability after annealing at 150 °C, before reaching a regime of magnesium desorption for temperatures higher than 350 °C. The thermally enhanced reaction of one Mg monolayer (ML) results in the appearance of Mg2Si nanometric crystallites leaving the silicon surface partially uncovered. For thicker Mg deposition nevertheless, continuous 2D silicide films are formed with a volcano shape surface topography characteristic up to 4 Mg MLs. Due to high reactivity between magnesium and oxygen species, the thermal oxidation process in which a thin Mg2Si film is fully decomposed (0.75 eV band gap) into a magnesium oxide layer (6-8 eV band gap) is also reported.

  9. The role of defects in the electrical properties of NbO2thin film vertical devices

    NASA Astrophysics Data System (ADS)

    Joshi, Toyanath; Borisov, Pavel; Lederman, David

    Epitaxial NbO2 thin films were grown on Si:GaN layers deposited on Al2O3 substrates using pulsed laser deposition. Pulsed current-voltage (IV) curves and self-sustained current oscillations were measured across a 31 nm NbO2 film and compared with a similar device made from polycrystalline NbO2 film grown on TiN-coated SiO2/Si substrate. Crystal quality of the as grown films was determined from x-ray diffractometric, x-ray photoelectron spectroscopy and atomic force microscopy data. The epitaxial film device was found to be more stable than the defect-rich polycrystalline sample in terms of current switching and oscillation behaviors. This work was supported in part by FAME, one of six centers of STARnet, a Semiconductor Research Corporation program sponsored by MARCO and DARPA (Contract 2013-MA-2382), and the WVU Shared Research Facilities.

  10. Spalling of a Thin Si Layer by Electrodeposit-Assisted Stripping

    NASA Astrophysics Data System (ADS)

    Kwon, Youngim; Yang, Changyol; Yoon, Sang-Hwa; Um, Han-Don; Lee, Jung-Ho; Yoo, Bongyoung

    2013-11-01

    A major goal in solar cell research is to reduce the cost of the final module. Reducing the thickness of the crystalline silicon substrate to several tens of micrometers can reduce material costs. In this work, we describe the electrodeposition of a Ni-P alloy, which induces high stress in the silicon substrate at room temperature. The induced stress enables lift-off of the thin-film silicon substrate. After lift-off of the thin Si film, the mother substrate can be reused, reducing material costs. Moreover, the low-temperature process expected to be improved Si substrate quality.

  11. In situ TEM study of electron-beam radiation induced boron diffusion and effects on phase and microstructure evolution in nanostructured CoFeB/SiO2 thin film

    NASA Astrophysics Data System (ADS)

    Liu, B. H.; Teo, H. W.; Mo, Z. H.; Mai, Z. H.; Lam, J.; Xue, J. M.; Zhao, Y. Z.; Tan, P. K.

    2017-01-01

    Using in situ transmission electron microscopy (TEM), we studied boron diffusion and segregation in CoFeB/SiO2 nanostructured thin film stacks. We also investigated how these phenomena affected the phase and microstructure of CoFeB thin films under electron beam irradiation at 300 kV. A unique phase transformation was observed in CoFeB thin films under high-dose electron irradiation, from a polycrystalline Co3Fe to a unilateral amorphous phase of Co3Fe and nanocrystalline FexCo23-xB6. The unilateral amorphization of the Co3Fe film showed an electron-dose-rate sensitivity with a threshold dose rate. Detailed in situ TEM studies revealed that the unilateral amorphization of the Co3Fe film arose from boron segregation at the bottom of the Co3Fe thin film induced by radiation-enhanced diffusion of boron atoms that were displaced by electron knock-on effects. The radiation-induced nanocrystallization of FexCo23-xB6 was also found to be dose-rate sensitive with a higher electron beam current leading to earlier nucleation and more rapid grain growth. The nanocrystallization of FexCo23-xB6 occurred preferentially at the CoFeB/SiO2 interface. Kinetic studies by in situ TEM revealed the surface crystallization and diffusion-controlled nucleation and grain growth mechanisms. The radiation-enhanced atomic diffusivity and high-concentration of radiation-induced point defects at the Co3Fe/SiO2 interface enhanced the local short-range ordering of Fe, Co, and B atoms, favoring nucleation and grain growth of FexCo23-xB6 at the interface.

  12. Self-assembly of dodecaphenyl POSS thin films

    NASA Astrophysics Data System (ADS)

    Handke, Bartosz; Klita, Łukasz; Niemiec, Wiktor

    2017-12-01

    The self-assembly abilities of Dodecaphenyl Polyhedral Oligomeric Silsesquioxane thin films on Si(1 0 0) surfaces were studied. Due to their thermal properties - relatively low sublimation temperature and preservation of molecular structure - cage type silsesquioxanes are ideal material for the preparation of a thin films by Physical Vapor Deposition. The Ultra-High Vacuum environment and the deposition precision of the PVD method enable the study of early stages of thin film growth and its molecular organization. X-ray Reflectivity and Atomic Force Microscopy measurements allow to pursuit size-effects in the structure of thin films with thickness ranges from less than a single molecular layer up to several tens of layers. Thermal treatment of the thin films triggered phase change: from a poorly ordered polycrystalline film into a well-ordered multilayer structure. Self-assembly of the layers is the effect of the π-stacking of phenyl rings, which force molecules to arrange in a superlattice, forming stacks of alternating organic-inorganic layers.

  13. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. Itmore » is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.« less

  14. Physics of grain boundaries in polycrystalline photovoltaic semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Yanfa, E-mail: yanfa.yan@utoledo.edu; Yin, Wan-Jian; Wu, Yelong

    2015-03-21

    Thin-film solar cells based on polycrystalline Cu(In,Ga)Se{sub 2} (CIGS) and CdTe photovoltaic semiconductors have reached remarkable laboratory efficiencies. It is surprising that these thin-film polycrystalline solar cells can reach such high efficiencies despite containing a high density of grain boundaries (GBs), which would seem likely to be nonradiative recombination centers for photo-generated carriers. In this paper, we review our atomistic theoretical understanding of the physics of grain boundaries in CIGS and CdTe absorbers. We show that intrinsic GBs with dislocation cores exhibit deep gap states in both CIGS and CdTe. However, in each solar cell device, the GBs can bemore » chemically modified to improve their photovoltaic properties. In CIGS cells, GBs are found to be Cu-rich and contain O impurities. Density-functional theory calculations reveal that such chemical changes within GBs can remove most of the unwanted gap states. In CdTe cells, GBs are found to contain a high concentration of Cl atoms. Cl atoms donate electrons, creating n-type GBs between p-type CdTe grains, forming local p-n-p junctions along GBs. This leads to enhanced current collections. Therefore, chemical modification of GBs allows for high efficiency polycrystalline CIGS and CdTe thin-film solar cells.« less

  15. Physics of grain boundaries in polycrystalline photovoltaic semiconductors

    DOE PAGES

    Yan, Yanfa; Yin, Wan-Jian; Wu, Yelong; ...

    2015-03-16

    Thin-film solar cells based on polycrystalline Cu(In,Ga)Se 2 (CIGS) and CdTe photovoltaic semiconductors have reached remarkable laboratory efficiencies. It is surprising that these thin-film polycrystalline solar cells can reach such high efficiencies despite containing a high density of grain boundaries (GBs), which would seem likely to be nonradiative recombination centers for photo-generated carriers. In this study, we review our atomistic theoretical understanding of the physics of grain boundaries in CIGS and CdTe absorbers. We show that intrinsic GBs with dislocation cores exhibit deep gap states in both CIGS and CdTe. Although, in each solar cell device, the GBs can bemore » chemically modified to improve their photovoltaic properties. In CIGS cells, GBs are found to be Cu-rich and contain O impurities. Density-functional theory calculations reveal that such chemical changes within GBs can remove most of the unwanted gap states. In CdTe cells, GBs are found to contain a high concentration of Cl atoms. Cl atoms donate electrons, creating n-type GBs between p-type CdTe grains, forming local p-n-p junctions along GBs. This leads to enhanced current collections. In conclusion, chemical modification of GBs allows for high efficiency polycrystalline CIGS and CdTe thin-film solar cells.« less

  16. Technological Innovation of Thin-Film Transistors: Technology Development, History, and Future

    NASA Astrophysics Data System (ADS)

    Yamamoto, Yoshitaka

    2012-06-01

    The scale of the liquid crystal display industry has expanded rapidly, driven by technological innovations for thin-film transistors (TFTs). The TFT technology, which started from amorphous silicon (a-Si), has produced large TVs, and low-temperature polycrystalline silicon (poly-Si) has become a core technology for small displays, such as mobile phones. Recently, various TFT technological seeds have been realized, indicating that new information appliances that match new lifestyles and information infrastructures will be available in the near future. In this article, I review the history of TFT technology and discuss the future of TFT technological development from the technological innovation viewpoint.

  17. Atomic-resolution characterization of the effects of CdCl2 treatment on poly-crystalline CdTe thin films

    NASA Astrophysics Data System (ADS)

    Paulauskas, T.; Buurma, C.; Colegrove, E.; Guo, Z.; Sivananthan, S.; Chan, M. K. Y.; Klie, R. F.

    2014-08-01

    Poly-crystalline CdTe thin films on glass are used in commercial solar-cell superstrate devices. It is well known that post-deposition annealing of the CdTe thin films in a CdCl2 environment significantly increases the device performance, but a fundamental understanding of the effects of such annealing has not been achieved. In this Letter, we report a change in the stoichiometry across twin boundaries in CdTe and propose that native point defects alone cannot account for this variation. Upon annealing in CdCl2, we find that the stoichiometry is restored. Our experimental measurements using atomic-resolution high-angle annular dark field imaging, electron energy-loss spectroscopy, and energy dispersive X-ray spectroscopy in a scanning transmission electron microscope are supported by first-principles density functional theory calculations.

  18. Measured temperature and pressure dependence of Vp and Vs in compacted, polycrystalline sI methane and sII methane-ethane hydrate

    USGS Publications Warehouse

    Helgerud, M.B.; Waite, W.F.; Kirby, S.H.; Nur, A.

    2003-01-01

    We report on compressional- and shear-wave-speed measurements made on compacted polycrystalline sI methane and sII methane-ethane hydrate. The gas hydrate samples are synthesized directly in the measurement apparatus by warming granulated ice to 17??C in the presence of a clathrate-forming gas at high pressure (methane for sI, 90.2% methane, 9.8% ethane for sII). Porosity is eliminated after hydrate synthesis by compacting the sample in the synthesis pressure vessel between a hydraulic ram and a fixed end-plug, both containing shear-wave transducers. Wave-speed measurements are made between -20 and 15??C and 0 to 105 MPa applied piston pressure.

  19. Conformal Thin Film Packaging for SiC Sensor Circuits in Harsh Environments

    NASA Technical Reports Server (NTRS)

    Scardelletti, Maximilian C.; Karnick, David A.; Ponchak, George E.; Zorman, Christian A.

    2011-01-01

    In this investigation sputtered silicon carbide annealed at 300 C for one hour is used as a conformal thin film package. A RF magnetron sputterer was used to deposit 500 nm silicon carbide films on gold metal structures on alumina wafers. To determine the reliability and resistance to immersion in harsh environments, samples were submerged in gold etchant for 24 hours, in BOE for 24 hours, and in an O2 plasma etch for one hour. The adhesion strength of the thin film was measured by a pull test before and after the chemical immersion, which indicated that the film has an adhesion strength better than 10(exp 8) N/m2; this is similar to the adhesion of the gold layer to the alumina wafer. MIM capacitors are used to determine the dielectric constant, which is dependent on the SiC anneal temperature. Finally, to demonstrate that the SiC, conformal, thin film may be used to package RF circuits and sensors, an LC resonator circuit was fabricated and tested with and without the conformal SiC thin film packaging. The results indicate that the SiC coating adds no appreciable degradation to the circuits RF performance. Index Terms Sputter, silicon carbide, MIM capacitors, LC resonators, gold etchants, BOE, O2 plasma

  20. Structural variations and dielectric properties of (Bi1-xL ax ) 2Si O5 (0 ≤x ≤0.1 ): Polycrystallines synthesized by crystallization of Bi-Si-O and Bi-La-Si-O glasses

    NASA Astrophysics Data System (ADS)

    Taniguchi, Hiroki; Tatewaki, Shingo; Yasui, Shintaro; Fujii, Yasuhiro; Yamaura, Jun-ichi; Terasaki, Ichiro

    2018-04-01

    This paper focuses on effects of isovalent La substitution on the crystal structure and dielectric properties of ferroelectric B i2Si O5 . Polycrystalline samples of (Bi1-xL ax ) 2Si O5 are synthesized by crystallization of Bi-Si-O and Bi-La-Si-O glasses with a composition range of 0 ≤x ≤0.1 . The crystal structure changes from monoclinic to tetragonal with increasing La-substitution rate x at room temperature. This structural variation stems from the change in orientation of Si O4 tetrahedra that form one-dimensional chains when they are in the ordered configuration, thus suggesting that lone-pair electrons play an important role in sustaining one-dimensional chains of Si O4 tetrahedra. Synchronizing with the disordering of Si O4 chains, ferroelectric phase transition temperature of (Bi1-xL ax ) 2Si O5 sharply decreases as x increases, and ferroelectricity finally vanishes at around x =0.03 . The present results demonstrate that lone-pair electrons of Bi play an important role in the ferroelectricity of B i2Si O5 through propping the ordered structure of one-dimensional Si O4 chains with stereochemical activity. Furthermore, an additional phase transition has been first discovered in the low-temperature region of (Bi1-xL ax ) 2Si O5 with x ≤0.01 , where the ordered one-dimensional Si O4 chains remain.

  1. Thin film solar cells with Si nanocrystallites embedded in amorphous intrinsic layers by hot-wire chemical vapor deposition.

    PubMed

    Park, Seungil; Parida, Bhaskar; Kim, Keunjoo

    2013-05-01

    We investigated the thin film growths of hydrogenated silicon by hot-wire chemical vapor deposition with different flow rates of SiH4 and H2 mixture ambient and fabricated thin film solar cells by implementing the intrinsic layers to SiC/Si heterojunction p-i-n structures. The film samples showed the different infrared absorption spectra of 2,000 and 2,100 cm(-1), which are corresponding to the chemical bonds of SiH and SiH2, respectively. The a-Si:H sample with the relatively high silane concentration provides the absorption peak of SiH bond, but the microc-Si:H sample with the relatively low silane concentration provides the absorption peak of SiH2 bond as well as SiH bond. Furthermore, the microc-Si:H sample showed the Raman spectral shift of 520 cm(-1) for crystalline phase Si bonds as well as the 480 cm(-1) for the amorphous phase Si bonds. These bonding structures are very consistent with the further analysis of the long-wavelength photoconduction tail and the formation of nanocrystalline Si structures. The microc-Si:H thin film solar cell has the photovoltaic behavior of open circuit voltage similar to crystalline silicon thin film solar cell, indicating that microc-Si:H thin film with the mixed phase of amorphous and nanocrystalline structures show the carrier transportation through the channel of nanocrystallites.

  2. Goos-Hänchen effect on Si thin films with spherical and cylindrical pores

    NASA Astrophysics Data System (ADS)

    Olaya, Cherrie May; Garcia, Wilson O.; Hermosa, Nathaniel

    2018-02-01

    We examine the effects on the spatial and angular Goos-Hanchen (GH) beam shifts of spherical and cylindrical pores in a thin film. In our calculations, a p-polarized light is incident on a 1-μm thick porous silicon (Si) thin film on a Si substrate. The beam shifts are within the measurement range of usual optical detectors. Our results show that a technique based on GH shift can be used to determine the porosity and pore structure of thin films at a given thickness.

  3. Deposition of tetracene thin films on SiO2/Si substrates by rapid expansion of supercritical solutions using carbon dioxide

    NASA Astrophysics Data System (ADS)

    Fujii, Tatsuya; Takahashi, Yuta; Uchida, Hirohisa

    2015-03-01

    We report on a novel deposition technique of tetracene (naphthacene) thin films on SiO2/Si substrates by rapid expansion of supercritical solutions (RESS) using CO2. Optical microscopy and scanning electron microscopy show that the thin films consist of a high density of submicron-sized grains. The growth mode of the grains followed the Volmer-Weber mode. X-ray diffraction shows that the thin films have regularly arranged structures in both the horizontal and vertical directions of the substrate. A fabricated top-contacted organic thin-film transistor with the tetracene active layer showed p-type transistor characteristics with a field-effect mobility of 5.1 × 10-4 cm2 V-1 s-1.

  4. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate

    PubMed Central

    2013-01-01

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques. PMID:23448090

  5. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate.

    PubMed

    Wei, Xianqi; Zhao, Ranran; Shao, Minghui; Xu, Xijin; Huang, Jinzhao

    2013-02-28

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques.

  6. Spectroscopic ellipsometry of columnar porous Si thin films and Si nanowires

    NASA Astrophysics Data System (ADS)

    Fodor, Bálint; Defforge, Thomas; Agócs, Emil; Fried, Miklós; Gautier, Gaël; Petrik, Péter

    2017-11-01

    Columnar mesoporous Si thin films and dense nanowire (SiNW) carpets were investigated by spectroscopic ellipsometry in the visible-near-infrared wavelength range. Porous Si layers were formed by electrochemical etching while structural anisotropy was controlled by the applied current. Layers of highly oriented SiNWs, with length up to 4.1 μm were synthesized by metal-assisted chemical etching. Ellipsometric spectra were fitted with different multi-layered, effective medium approximation-based (EMA) models. Isotropic, in-depth graded, anisotropic and hybrid EMA models were investigated with the help of the root mean square errors obtained from the fits. Ellipsometric-fitted layer thicknesses were also cross-checked by scanning electron microscopy showing an excellent agreement. Furthermore, in the case of mesoporous silicon, characterization also revealed that, at low current densities (<100 mA/cm2), in-depth inhomogeneity shows a more important feature in the ellipsometric spectra than anisotropy. On the other hand, at high current densities (>100 mA/cm2) this behavior turns around, and anisotropy becomes the dominant feature describing the spectra. Characterization of SiNW layers showed a very high geometrical anisotropy. However, the highest fitted geometrical anisotropy was obtained for the layer composed of ∼1 μm long SiNWs indicating that for thicker layers, collapse of the nanowires occurs.

  7. Thin-Film Photovoltaics: Status and Applications to Space Power

    NASA Technical Reports Server (NTRS)

    Landis, Geoffrey A.; Hepp, Aloysius F.

    1991-01-01

    The potential applications of thin film polycrystalline and amorphous cells for space are discussed. There have been great advances in thin film solar cells for terrestrial applications; transfer of this technology to space applications could result in ultra low weight solar arrays with potentially large gains in specific power. Recent advances in thin film solar cells are reviewed, including polycrystalline copper iridium selenide and related I-III-VI2 compounds, polycrystalline cadmium telluride and related II-VI compounds, and amorphous silicon alloys. The possibility of thin film multi bandgap cascade solar cells is discussed.

  8. Microstructure study of ZnO thin films on Si substrate grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Huang, Jingyun; Ye, Zhizhen; Lu, Huanming; Wang, Lei; Zhao, Binghui; Li, Xianhang

    2007-08-01

    The microstructure of zinc oxide thin films on silicon substrates grown by metalorganic chemical vapour deposition (MOCVD) was characterized. The cross-sectional bright-field transmission electron microscopy (TEM) image showed that small ZnO columnar grains were embedded into large columnar grains, and the selected-area electron diffraction pattern showed that the ZnO/Si thin films were nearly c-axis oriented. The deviation angle along the ZnO (0 0 0 1) direction with respect to the growth direction of Si (1 0 0) was no more than 5°. The [0 0 0 1]-tilt grain boundaries in ZnO/Si thin films were investigated symmetrically by plan-view high resolution TEM. The boundaries can be classified into three types: low-angle boundaries described as an irregular array of edge dislocations, boundaries of near 30° angle with (1\\,0\\,\\bar{1}\\,0) facet structures and large-angle boundaries with symmetric structure which could be explained by a low Σ coincident site lattice structure mode. The research was useful to us for finding optimized growth conditions to improve ZnO/Si thin film quality.

  9. Strain Relaxation in Si{sub 1-x}Ge{sub x} Thin Films on Si(100) Substrates: Modeling and Comparisons with Experiments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolluri, K; Zepeda-Ruiz, L A; Murthy, C S

    2005-03-22

    Strained semiconductor thin films grown epitaxially on semiconductor substrates of different composition, such as Si{sub 1-x}Ge{sub x}/Si, are becoming increasingly important in modern microelectronic technologies. In this paper, we report a hierarchical computational approach for analysis of dislocation formation, glide motion, multiplication, and annihilation in Si{sub 1-x}Ge{sub x} epitaxial thin films on Si substrates. Specifically, a condition is developed for determining the critical film thickness with respect to misfit dislocation generation as a function of overall film composition, film compositional grading, and (compliant) substrate thickness. In addition, the kinetics of strain relaxation in the epitaxial film during growth or thermalmore » annealing (including post-implantation annealing) is analyzed using a properly parameterized dislocation mean-field theoretical model, which describes plastic deformation dynamics due to threading dislocation propagation. The theoretical results for Si{sub 1-x}Ge{sub x} epitaxial thin films grown on Si (100) substrates are compared with experimental measurements and are used to discuss film growth and thermal processing protocols toward optimizing the mechanical response of the epitaxial film.« less

  10. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    NASA Astrophysics Data System (ADS)

    Cecchi, S.; Gatti, E.; Chrastina, D.; Frigerio, J.; Müller Gubler, E.; Paul, D. J.; Guzzi, M.; Isella, G.

    2014-03-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si1-xGex buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si1-xGex layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach.

  11. Effect of substrates on the molecular orientation of silicon phthalocyanine dichloride thin films

    NASA Astrophysics Data System (ADS)

    Deng, Juzhi; Baba, Yuji; Sekiguchi, Tetsuhiro; Hirao, Norie; Honda, Mitsunori

    2007-05-01

    Molecular orientations of silicon phthalocyanine dichloride (SiPcCl2) thin films deposited on three different substrates have been measured by near-edge x-ray absorption fine structure (NEXAFS) spectroscopy using linearly polarized synchrotron radiation. The substrates investigated were highly oriented pyrolitic graphite (HOPG), polycrystalline gold and indium tin oxide (ITO). For thin films of about five monolayers, the polarization dependences of the Si K-edge NEXAFS spectra showed that the molecular planes of SiPcCl2 on three substrates were nearly parallel to the surface. Quantitative analyses of the polarization dependences revealed that the tilted angle on HOPG was only 2°, which is interpreted by the perfect flatness of the HOPG surface. On the other hand, the tilted angle on ITO was 26°. Atomic force microscopy (AFM) observation of the ITO surface showed that the periodicity of the horizontal roughness is of the order of a few nanometres, which is larger than the molecular size of SiPcCl2. It is concluded that the morphology of the top surface layer of the substrate affects the molecular orientation of SiPcCl2 molecules not only for mono-layered adsorbates but also for multi-layered thin films.

  12. Pt silicide/poly-Si Schottky diodes as temperature sensors for bolometers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yuryev, V. A., E-mail: vyuryev@kapella.gpi.ru; Chizh, K. V.; Chapnin, V. A.

    Platinum silicide Schottky diodes formed on films of polycrystalline Si doped by phosphorus are demonstrated to be efficient and manufacturable CMOS-compatible temperature sensors for microbolometer detectors of radiation. Thin-film platinum silicide/poly-Si diodes have been produced by a CMOS-compatible process on artificial Si{sub 3}N{sub 4}/SiO{sub 2}/Si(001) substrates simulating the bolometer cells. Layer structure and phase composition of the original Pt/poly-Si films and the Pt silicide/poly-Si films synthesized by a low-temperature process have been studied by means of the scanning transmission electron microscopy; they have also been explored by means of the two-wavelength X-ray structural phase analysis and the X-ray photoelectron spectroscopy.more » Temperature coefficient of voltage for the forward current of a single diode is shown to reach the value of about −2%/ °C in the temperature interval from 25 to 50 °C.« less

  13. Fabrication of Si(111) crystalline thin film on graphene by aluminum-induced crystallization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Høiaas, I. M.; Kim, D. C., E-mail: dc.kim@crayonano.com, E-mail: helge.weman@ntnu.no; Weman, H., E-mail: dc.kim@crayonano.com, E-mail: helge.weman@ntnu.no

    2016-04-18

    We report the fabrication of a Si(111) crystalline thin film on graphene by the aluminum-induced crystallization (AIC) process. The AIC process of Si(111) on graphene is shown to be enhanced compared to that on an amorphous SiO{sub 2} substrate, resulting in a more homogeneous Si(111) thin film structure as revealed by X-ray diffraction and atomic force microscopy measurements. Raman measurements confirm that the graphene is intact throughout the process, retaining its characteristic phonon spectrum without any appearance of the D peak. A red-shift of Raman peaks, which is more pronounced for the 2D peak, is observed in graphene after themore » crystallization process. It is found to correlate with the red-shift of the Si Raman peak, suggesting an epitaxial relationship between graphene and the adsorbed AIC Si(111) film with both the graphene and Si under tensile strain.« less

  14. Effect of Annealing Process on the Properties of Ni(55%)Cr(40%)Si(5%) Thin-Film Resistors

    PubMed Central

    Cheng, Huan-Yi; Chen, Ying-Chung; Li, Pei-Jou; Yang, Cheng-Fu; Huang, Hong-Hsin

    2015-01-01

    Resistors in integrated circuits (ICs) are implemented using diffused methods fabricated in the base and emitter regions of bipolar transistor or in source/drain regions of CMOS. Deposition of thin films on the wafer surface is another choice to fabricate the thin-film resistors in ICs’ applications. In this study, Ni(55%)Cr(40%)Si(5%) (abbreviated as NiCrSi) in wt % was used as the target and the sputtering method was used to deposit the thin-film resistors on Al2O3 substrates. NiCrSi thin-film resistors with different thicknesses of 30.8 nm~334.7 nm were obtained by controlling deposition time. After deposition, the thin-film resistors were annealed at 400 °C under different durations in N2 atmosphere using the rapid thermal annealing (RTA) process. The sheet resistance of NiCrSi thin-film resistors was measured using the four-point-probe method from 25 °C to 125 °C, then the temperature coefficient of resistance could be obtained. We aim to show that resistivity of NiCrSi thin-film resistors decreased with increasing deposition time (thickness) and the annealing process had apparent effect on the sheet resistance and temperature coefficient of resistance. We also aim to show that the annealed NiCrSi thin-film resistors had a low temperature coefficient of resistance (TCR) between 0 ppm/°C and +50 ppm/°C. PMID:28793598

  15. Effect of Annealing Process on the Properties of Ni(55%)Cr(40%)Si(5%) Thin-Film Resistors.

    PubMed

    Cheng, Huan-Yi; Chen, Ying-Chung; Li, Pei-Jou; Yang, Cheng-Fu; Huang, Hong-Hsin

    2015-10-02

    Resistors in integrated circuits (ICs) are implemented using diffused methods fabricated in the base and emitter regions of bipolar transistor or in source/drain regions of CMOS. Deposition of thin films on the wafer surface is another choice to fabricate the thin-film resistors in ICs' applications. In this study, Ni(55%)Cr(40%)Si(5%) (abbreviated as NiCrSi) in wt % was used as the target and the sputtering method was used to deposit the thin-film resistors on Al2O3 substrates. NiCrSi thin-film resistors with different thicknesses of 30.8 nm~334.7 nm were obtained by controlling deposition time. After deposition, the thin-film resistors were annealed at 400 °C under different durations in N₂ atmosphere using the rapid thermal annealing (RTA) process. The sheet resistance of NiCrSi thin-film resistors was measured using the four-point-probe method from 25 °C to 125 °C, then the temperature coefficient of resistance could be obtained. We aim to show that resistivity of NiCrSi thin-film resistors decreased with increasing deposition time (thickness) and the annealing process had apparent effect on the sheet resistance and temperature coefficient of resistance. We also aim to show that the annealed NiCrSi thin-film resistors had a low temperature coefficient of resistance (TCR) between 0 ppm/°C and +50 ppm/°C.

  16. Recent advances in characterization of CaCu3Ti4O12 thin films by spectroscopic ellipsometric metrology.

    PubMed

    Lo Nigro, Raffaella; Malandrino, Graziella; Toro, Roberta G; Losurdo, Maria; Bruno, Giovanni; Fragalà, Ignazio L

    2005-10-12

    CaCu3Ti4O12 (CCTO) thin films were successfully grown on LaAlO3(100) and Pt/TiO2/SiO2/Si(100) substrates by a novel MOCVD approach. Epitaxial CCTO(001) thin films have been obtained on LaAlO3(100) substrates, while polycrystalline CCTO films have been grown on Pt/TiO2/SiO2/Si(100) substrates. Surface morphology and grain size of the different nanostructured deposited films were examined by AFM, and spectroscopic ellipsometry has been used to investigate the electronic part of the dielectric constant (epsilon2). Looking at the epsilon2 curves, it can be seen that by increasing the film structural order, a greater dielectric response has been obtained. The measured dielectric properties accounted for the ratio between grain volumes and grain boundary areas, which is very different in the different structured films.

  17. Fabrication of Large Lateral Polycrystalline Silicon Film by Laser Dehydrogenation and Lateral Crystallization of Hydrogenated Nanocrystalline Silicon Films

    NASA Astrophysics Data System (ADS)

    Sato, Tadashi; Yamamoto, Kenichi; Kambara, Junji; Kitahara, Kuninori; Hara, Akito

    2009-12-01

    Hydrogenated nanocrystalline silicon (nc-Si:H) thin-film transistors (TFTs) have attracted attention for application to the operation of organic light-emitting diodes (OLEDs). The monolithic integration of nc-Si:H TFTs and polycrystalline silicon (poly-Si) TFTs and the use of nc-Si:H TFTs for operating an OLED are candidate technologies to achieve OLED system-on-glass. To develop such a system, it is necessary to fabricate poly-Si films without employing thermal dehydrogenation because hydrogen needs to be maintained in the channel region of nc-Si:H TFTs. In this study, we optimized the laser dehydrogenation process as a substitute for thermal dehydrogenation by using a diode-pumped solid-state continuous-wave green laser (Nd:YVO4, 2ω=532 nm) to fabricate large lateral poly-Si films with grain sizes of 3×20 µm2. The performance of poly-Si TFTs is well known to be sensitive to the quality of poly-Si films. In order to evaluate the electrical properties of poly-Si films, TFTs were fabricated by conventional processes. The field-effect mobility, threshold voltage, and S-value of the poly-Si TFTs were 220 cm2 V-1 s-1, -1.0 V, and 0.45 V/dec, respectively. The quality of the poly-Si film fabricated in this experiment was sufficiently high for the integration of peripheral circuits.

  18. Recrystallization in Si upon ion irradiation at room temperature in Co/Si(111) thin film systems

    NASA Astrophysics Data System (ADS)

    Banu, Nasrin; Satpati, B.; Dev, B. N.

    2018-04-01

    After several decades of research it was concluded that for a constant flux recrystallization in Si upon ion irradiation is possible only at high temperature. At low temperature or at room temperature only amorphization can take place. However we have observed recrystallization in Si upon ion irradiation at room temperature in a Co/Si thin film system. The Co/Si sample was prepared by deposition of 25 nm Co on clean Si(111) substrate. An oxide layer (˜ 2nm) of cobalt at the top of the film due to air exposure. The ion irradiation was done at room temperature under high vacuum with 1MeV Si+ ion with low beam current < 400 nA. Earlier we have shown similar ion induced recrystallization in Si(100) substrate which had a sandwich Si/Ni/Si structure. This system had an epitaxial buffer Si layer on Si substrate. This study also shows that the phenomenon is independent of substrate orientation and buffer layer. We have used transmission electron microscopy (TEM) to study the recrystallization behavior.

  19. Composite Yb:YAG/SiC-prism thin disk laser.

    PubMed

    Newburgh, G A; Michael, A; Dubinskii, M

    2010-08-02

    We report the first demonstration of a Yb:YAG thin disk laser wherein the gain medium is intracavity face-cooled through bonding to an optical quality SiC prism. Due to the particular design of the composite bonded Yb:YAG/SiC-prism gain element, the laser beam impinges on all refractive index interfaces inside the laser cavity at Brewster's angles. The laser beam undergoes total internal reflection (TIR) at the bottom of the Yb(10%):YAG thin disk layer in a V-bounce cavity configuration. Through the use of TIR and Brewster's angles, no optical coatings, either anti-reflective (AR) or highly reflective (HR), are required inside the laser cavity. In this first demonstration, the 936.5-nm diode pumped laser performed with approximately 38% slope efficiency at 12 W of quasi-CW (Q-CW) output power at 1030 nm with a beam quality measured at M(2) = 1.5. This demonstration opens up a viable path toward novel thin disk laser designs with efficient double-sided room-temperature heatsinking via materials with the thermal conductivity of copper on both sides of the disk.

  20. Synthesis and characterization of Ti-Si-C-N films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shtansky, D.V.; Levashov, E.A.; Sheveiko, A.N.

    1999-09-01

    This study represents one of the first attempts to deposit multicomponent (more than three components) thin films by magnetron sputtering of multiphase composite targets (three phases or even more). Films of Ti-Si-C-N were synthesized through dc magnetron sputtering of xTiC + yTi{sub 3}SiC{sub 2} + zA composite targets (A was TiSi{sub 2}, SiC, or a mixture of these phases) in an argon atmosphere or in a gaseous mixture of argon and nitrogen. The as-deposited films were characterized using Auger electron spectroscopy, X-ray diffraction, transmission electron microscopy using selected area electron diffraction and high-resolution techniques, and microhardness. It was shown thatmore » the substrate temperature and the nitrogen concentration in the reactive gas had a strong influence on the structure and the composition of the as-deposited films. Polycrystalline grains contained a high density of dislocations and exhibited a curved appearance of the lattice fringes that is probably due to the presence of the long-range stress fields. The measurements of the lattice parameters using the selected area electron diffraction pattern (SA EDP) method indicated, with a high probability, that the polycrystalline grains consist of clusters of atoms with varying compositions. The grain boundaries in the nanocrystalline Ti-Si-C-N films had both ordered and disordered regions, although some regions close to the interface exhibited neither a fully crystalline nor a homogeneously amorphous structure. The contribution of compressive stress as determined by an increase in the fcc lattice parameter is also discussed.« less

  1. Electrical properties and surface morphology of electron beam evaporated p-type silicon thin films on polyethylene terephthalate for solar cells applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ang, P. C.; Ibrahim, K.; Pakhuruddin, M. Z.

    2015-04-24

    One way to realize low-cost thin film silicon (Si) solar cells fabrication is by depositing the films with high-deposition rate and manufacturing-compatible electron beam (e-beam) evaporation onto inexpensive foreign substrates such as glass or plastic. Most of the ongoing research is reported on e-beam evaporation of Si films on glass substrates to make polycrystalline solar cells but works combining both e-beam evaporation and plastic substrates are still scarce in the literature. This paper studies electrical properties and surface morphology of 1 µm electron beam evaporated Al-doped p-type silicon thin films on textured polyethylene terephthalate (PET) substrate for application as anmore » absorber layer in solar cells. In this work, Si thin films with different doping concentrations (including an undoped reference) are prepared by e-beam evaporation. Energy dispersion X-ray (EDX) showed that the Si films are uniformly doped by Al dopant atoms. With increased Al/Si ratio, doping concentration increased while both resistivity and carrier mobility of the films showed opposite relationships. Root mean square (RMS) surface roughness increased. Overall, the Al-doped Si film with Al/Si ratio of 2% (doping concentration = 1.57×10{sup 16} atoms/cm{sup 3}) has been found to provide the optimum properties of a p-type absorber layer for fabrication of thin film Si solar cells on PET substrate.« less

  2. Effect of rapid thermal annealing on the structural and electrical properties of RF sputtered CCTO thin film

    NASA Astrophysics Data System (ADS)

    Tripathy, N.; Das, K. C.; Ghosh, S. P.; Bose, G.; Kar, J. P.

    2017-02-01

    CaCu3Ti4O12 (CCTO) thin films have been deposited by RF magnetron sputtering on silicon substrates at room temperature. As-deposited thin films were subjected to rapid thermal annealing (RTA) at different temperatures ranging from 850°C to 1000°C. XRD and capacitance - voltage studies indicate that the structural and electrical properties of CCTO thin film strongly depend upon the annealing temperature. XRD pattern of CCTO thin film annealed at 950°C revealed the polycrystalline nature with evolutions of microstructures. Electrical properties of the dielectric films were investigated by fabricating Al/CCTO/Si metal oxide semiconductor structure. Electrical properties were found to be deteriorated with increasing in annealing temperature.

  3. Preparation of SiO2 Passivation Thin Film for Improved the Organic Light-Emitting Device Life Time

    NASA Astrophysics Data System (ADS)

    Hong, Jeong Soo; Kim, Sang Mo; Kim, Kyung-Hwan

    2011-08-01

    To improve the organic light-emitting diode (OLED) lifetime, we prepared a SiO2 thin film for OLED passivation using a facing target sputtering (FTS) system as a function of oxygen gas flow rate and working pressure. The properties of the SiO2 thin film were examined by Fourier transform infrared (FT-IR), photoluminescence (PL) intensity measurement, field emission scanning electron microscopy (FE-SEM), and ultraviolet-visible (UV-vis) spectrometry that As a result, we found that a SiO2 thin film is formed at a 2 sccm oxygen gas flow rate and results the minimum damage to the organic layer is observed at a 1 mTorr working pressure. Also, from the water vapor transmission rate (WVTR), we observed that all of the as-deposited SiO2 thin films showed the ability of blocking moisture. After the properties were evaluated, an optimized SiO2 thin film was applied to OLED passivation. As a result, the property of the OLED fabricated by SiO2 passivation is similar to the OLED fabricated by glass passivation. However, the performance of OLED was degraded by enhancing of SiO2 passivation. This is the organic layer of the device is exposed to plasma for a prolonged period. Therefore, a method of minimizing damage to the organic layer and optimum conditions for what are important.

  4. Calculation of optical band gaps of a-Si:H thin films by ellipsometry and UV-Vis spectrophotometry

    NASA Astrophysics Data System (ADS)

    Qiu, Yijiao; Li, Wei; Wu, Maoyang; Fu, Junwei; Jiang, Yadong

    2010-10-01

    Hydrogenated amorphous silicon (a-Si:H) thin films doped with Phosphorus (P) and Nitrogen (N) were deposited by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD). The optical band gaps of the thin films obtained through either changing the gas pressure (P-doped only) or adulterating nitrogen concentration (with fixed P content) were investigated by means of Ellipsometric and Ultraviolet-Visible (UV-Vis) spectroscopy, respectively. Tauc formula was used in calculating the optical band gaps of the thin films in both methods. The results show that Ellipsometry and UV-Vis spectrophotometry can be applied in the research of the optical properties of a-Si:H thin films experimentally. Both methods reflect the variation law of the optical band gaps caused by CVD process parameters, i.e., the optical band gap of the a-Si:H thin films is increased with the rise of the gas pressure or the nitrogen concentration respectively. The difference in optical band gaps of the doped a-Si:H thin films calculated by Ellipsometry or UV-Vis spectrophotometry are not so great that they both can be used to measure the optical band gaps of the thin films in practical applications.

  5. The effect of nitrogen on the cycling performance in thin-film Si{sub 1-x}N{sub x} anode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahn, Donggi; Kim, Chunjoong; Lee, Joon-Gon

    2008-09-15

    The effects of nitrogen on the electrochemical properties of silicon-nitrogen (Si{sub 1-x}N{sub x}) thin films were examined in terms of their initial capacities and cycling properties. In particular, Si{sub 0.76}N{sub 0.24} thin films showed negligible initial capacity but an abrupt capacity increase to {approx}2300 mA h/g after {approx}650 cycles. The capacity of pure Si thin films was deteriorated to {approx}20% of the initial level after 200 cycles between 0.02 and 1.2 V at 0.5 C (1 C=4200 mA/g), whereas the Si{sub 0.76}N{sub 0.24} thin films exhibited excellent cycle-life performance after {approx}650 cycles. In addition, the Si{sub 0.76}N{sub 0.24} thin filmsmore » at 50 deg. C showed an abrupt capacity increase at an earlier stage of only {approx}30 cycles. The abnormal electrochemical behaviors in the Si{sub 0.76}N{sub 0.24} thin films were demonstrated to be correlated with the formation of Li{sub 3}N and Si{sub 3}N{sub 4}. - Graphical abstract: The Si{sub 0.76}N{sub 0.24} thin films showed negligible initial capacity, but an abrupt capacity increase to {approx}2300 mA h/g after {approx}650 cycles, followed by excellent cycle-life performance. This abnormal electrochemical behavior was demonstrated to be correlated with the formation of Li{sub 3}N and Si{sub 3}N{sub 4}.« less

  6. Superconducting FeSe0.1Te0.9 thin films integrated on Si-based substrates

    NASA Astrophysics Data System (ADS)

    Huang, Jijie; Chen, Li; Li, Leigang; Qi, Zhimin; Sun, Xing; Zhang, Xinghang; Wang, Haiyan

    2018-05-01

    With the goal of integrating superconducting iron chalcogenides with Si-based electronics, superconducting FeSe0.1Te0.9 thin films were directly deposited on Si and SiOx/Si substrates without any buffer layer by a pulsed laser deposition (PLD) method. Microstructural characterization showed excellent film quality with mostly c-axis growth on both types of substrates. Superconducting properties (such as superconducting transition temperature T c and upper critical field H c2) were measured to be comparable to that of the films on single crystal oxide substrates. The work demonstrates the feasibility of integrating superconducting iron chalcogenide (FeSe0.1Te0.9) thin films with Si-based microelectronics.

  7. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    NASA Astrophysics Data System (ADS)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  8. Nanosecond Time-Resolved Microscopic Gate-Modulation Imaging of Polycrystalline Organic Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Matsuoka, Satoshi; Tsutsumi, Jun'ya; Matsui, Hiroyuki; Kamata, Toshihide; Hasegawa, Tatsuo

    2018-02-01

    We develop a time-resolved microscopic gate-modulation (μ GM ) imaging technique to investigate the temporal evolution of the channel current and accumulated charges in polycrystalline pentacene thin-film transistors (TFTs). A time resolution of as high as 50 ns is achieved by using a fast image-intensifier system that could amplify a series of instantaneous optical microscopic images acquired at various time intervals after the stepped gate bias is switched on. The differential images obtained by subtracting the gate-off image allows us to acquire a series of temporal μ GM images that clearly show the gradual propagation of both channel charges and leaked gate fields within the polycrystalline channel layers. The frontal positions for the propagations of both channel charges and leaked gate fields coincide at all the time intervals, demonstrating that the layered gate dielectric capacitors are successively transversely charged up along the direction of current propagation. The initial μ GM images also indicate that the electric field effect is originally concentrated around a limited area with a width of a few micrometers bordering the channel-electrode interface, and that the field intensity reaches a maximum after 200 ns and then decays. The time required for charge propagation over the whole channel region with a length of 100 μ m is estimated at about 900 ns, which is consistent with the measured field-effect mobility and the temporal-response model for organic TFTs. The effect of grain boundaries can be also visualized by comparison of the μ GM images for the transient and the steady states, which confirms that the potential barriers at the grain boundaries cause the transient shift in the accumulated charges or the transient accumulation of additional charges around the grain boundaries.

  9. Preparation of CuIn{sub x}Ga{sub 1{minus}x}Se{sub 2} thin films on Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamamoto, Yukio; Yamaguchi, Toshiyuki; Suzuki, Masayoshi

    For fabricating efficient tandem solar cells, CuIn{sub x}Ga{sub 1{minus}x}Se{sub 2} thin films have been prepared on Si(100), Si(110) and Si(111) substrates in the temperature range (R.T.{approximately}400 C) by rf sputtering. From EPMA analysis, these sputtered thin films are found to be nearly stoichiometric over the whole substrate temperature range, irrespective of the azimuth plane of the Si substrate. XPS studies showed that the compositional depth profile in these thin films is uniform. X-ray diffraction analysis indicated that all the thin films had a chalcopyrite structure. CuIn{sub x}Ga{sub 1{minus}x}Se{sub 2} thin films were strongly oriented along the (112) plane with increasingmore » the substrate temperature, independent of the azimuth plane of the Si substrate, suggesting the larger grain growth.« less

  10. Chemical surface modification of polycrystalline platinum thin-films to promote preferential chemisorption of n-hexane, piperidine, and cyclohexane

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thomas, V.; Schwank, J.; Gland, J.

    In this study, hard/soft Lewis acid-base (HSAB) principles are used to modify a thin-polycrystalline platinum film to promote preferential chemisorption of molecules such as piperidine, n-hexane, and cyclohexane. Specifically, the particle size and electron density distribution of the platinum surface is modified using thermal treatment and co-adsorption of electro-positive and negative species. These studies are conducted in an ultra-high vacuum chamber. The platinum surface is characterized, before and after modification protocols, using a variety of in-situ and ex-situ techniques. These include temperature programmed desorption (TPD), both resistance change and work function measurements, and both X-ray photoelectron spectroscopy and diffraction.

  11. Ferroelectric photovoltaic properties in doubly substituted (Bi0.9La0.1)(Fe0.97Ta0.03)O3 thin films

    NASA Astrophysics Data System (ADS)

    Katiyar, R. K.; Sharma, Y.; Barrionuevo, D.; Kooriyattil, S.; Pavunny, S. P.; Young, J. S.; Morell, G.; Weiner, B. R.; Katiyar, R. S.; Scott, J. F.

    2015-02-01

    Doubly substituted [Bi0.9La0.1][Fe0.97Ta0.03]O3 (BLFTO) films were fabricated on Pt/TiO2/SiO2/Si substrates by pulsed laser deposition. The ferroelectric photovoltaic properties of ZnO:Al/BLFTO/Pt thin film capacitor structures were evaluated under white light illumination. The open circuit voltage and short circuit current density were observed to be ˜0.20 V and ˜1.35 mA/cm2, respectively. The band gap of the films was determined to be ˜2.66 eV, slightly less than that of pure BiFeO3 (2.67 eV). The PV properties of BLFTO thin films were also studied for various pairs of planar electrodes in different directions in polycrystalline thin films.

  12. Charge trapping phenomena of tetraethylorthosilicate thin film containing Si nanocrystals synthesized by solid-state reaction.

    PubMed

    Lau, H W; Tan, O K; Liu, Y; Trigg, D A; Chen, T P

    2006-08-28

    In this work, we report on the fabrication of tetraethylorthosilicate (TEOS) thin dielectric film containing silicon nanocrystals (Si nc), synthesized by solid-state reaction, in a capacitor structure. A metal-insulator-semi-conductor (MIS) capacitor, with 28 nm thick Si nc in a TEOS thin film, has been fabricated. For this MIS, both electron and hole trapping in the Si nc are possible, depending on the polarity of the bias voltage. A V(FB) shift greater than 1 V can be experienced by a bias voltage of 16 V applied to the metal electrode for 1 s. Though there is no top control oxide, the discharge time for 10% of charges can be up to 4480 s when it is biased at 16 V for 1 s. It is further demonstrated that charging and discharging mechanisms are due to the Si nc rather than the TEOS oxide defects. This form of Si nc in a TEOS thin film capacitor provides the possibility of memory applications at low cost.

  13. Formation of a Polycrystalline Silicon Thin Film by Using Blue Laser Diode Annealing

    NASA Astrophysics Data System (ADS)

    Choi, Young-Hwan; Ryu, Han-Youl

    2018-04-01

    We report the crystallization of an amorphous silicon thin film deposited on a SiO2/Si wafer using an annealing process with a high-power blue laser diode (LD). The laser annealing process was performed using a continuous-wave blue LD of 450 nm in wavelength with varying laser output power in a nitrogen atmosphere. The crystallinity of the annealed poly-silicon films was investigated using ellipsometry, electron microscope observation, X-ray diffraction, and Raman spectroscopy. Polysilicon grains with > 100-nm diameter were observed to be formed after the blue LD annealing. The crystal quality was found to be improved as the laser power was increased up to 4 W. The demonstrated blue LD annealing is expected to provide a low-cost and versatile solution for lowtemperature poly-silicon processes.

  14. Moessbauer study in thin films of FeSi2 and FeSe systems

    NASA Technical Reports Server (NTRS)

    Escue, W. J.; Aggarwal, K.; Mendiratta, R. G.

    1978-01-01

    Thin films of FeSi2 and FeSe were studied using Moessbauer spectroscopy information regarding dangling bond configuration and nature of crystal structure in thin films was derived. A significant influence of crystalline aluminum substrate on film structure was observed.

  15. Passivation of Si(111) surfaces with electrochemically grafted thin organic films

    NASA Astrophysics Data System (ADS)

    Roodenko, K.; Yang, F.; Hunger, R.; Esser, N.; Hinrichs, K.; Rappich, J.

    2010-09-01

    Ultra thin organic films (about 5 nm thick) of nitrobenzene and 4-methoxydiphenylamine were deposited electrochemically on p-Si(111) surfaces from benzene diazonium compounds. Studies based on atomic force microscopy, infrared spectroscopic ellipsometry and x-ray photoelectron spectroscopy showed that upon exposure to atmospheric conditions the oxidation of the silicon interface proceed slower on organically modified surfaces than on unmodified hydrogen passivated p-Si(111) surfaces. Effects of HF treatment on the oxidized organic/Si interface and on the organic layer itself are discussed.

  16. Capacitorless 1T-DRAM on crystallized poly-Si TFT.

    PubMed

    Kim, Min Soo; Cho, Won Ju

    2011-07-01

    The single-transistor dynamic random-access memory (1T-DRAM) using a polycrystalline-silicon thin-film transistor (poly-Si TFT) was investigated. A 100-nm amorphous silicon thin film was deposited onto a 200-nm oxidized silicon wafer via low-pressure chemical vapor deposition (LPCVD), and the amorphous silicon layer was crystallized via eximer laser annealing (ELA) with a KrF source of 248 nm wavelength and 400 mJ/cm2 power. The fabricated capacitor less 1T-DRAM on the poly-Si TFT was evaluated via impact ionization and gate-induced drain leakage (GIDL) current programming. The device showed a clear memory margin between the "1" and "0" states, and as the channel length decreased, a floating body effect which induces a kink effect increases with high mobility. Furthermore, the GIDL current programming showed improved memory properties compared to the impact ionization method. Although the sensing margins and retention times in both program methods are commercially insufficient, it was confirmed the feasibility of the application of 1T-DRAM operation to TFTs.

  17. Material electronic quality specifications for polycrystalline silicon wafers

    NASA Astrophysics Data System (ADS)

    Kalejs, J. P.

    1994-06-01

    As the use of polycrystalline silicon wafers has expanded in the photovoltaic industry, the need grows for monitoring and qualification techniques for as-grown material that can be used to optimize crystal growth and help predict solar cell performance. Particular needs are for obtaining quantitative measures over full wafer areas of the effects of lifetime limiting defects and of the lifetime upgrading taking place during solar cell processing. We review here the approaches being pursued in programs under way to develop material quality specifications for thin Edge-defined Film-fed Growth (EFG) polycrystalline silicon as-grown wafers. These studies involve collaborations between Mobil Solar, and NREL and university-based laboratories.

  18. Direct measurement of density of states in pentacene thin film transistors

    NASA Astrophysics Data System (ADS)

    Yogev, S.; Halpern, E.; Matsubara, R.; Nakamura, M.; Rosenwaks, Y.

    2011-10-01

    We report on direct high lateral resolution measurements of density of states in pentacene thin film transistors using Kelvin probe force microscopy. The measurements were conducted on passivated (hexamethyldisilazane) and unpassivated field effect transistors with 10- and 30-nm-thick pentacene polycrystalline layers. The analysis takes into account both the band bending in the organic film and the trapped charge at the SiO2-pentacene interface. We found that the density of states for the highest occupied molecular orbital band of pentacene film on the treated substrate is Gaussian with a width (variance) of σ=0.07±0.01eV and an exponential tail. The concentration of the density of states in the gap for pentacene on bare SiO2 substrate was larger by one order of magnitude, had a different energy distribution, and induced Fermi level pinning. The results are discussed in view of their effect on pentacene thin film transistors’ performance.

  19. Fabrication of lightweight Si/SiC LIDAR mirrors

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S.; Taylor, Raymond L.

    1991-01-01

    A new, chemical vapor deposition (CVD) process was developed for fabricating lightweight, polycrystalline silicon/silicon-carbide (Si/SiC) mirrors. The process involves three CVD steps: (1) to produce the mirror faceplate; (2) to form the lightweight backstructure, which is deposited integral to the faceplate; and (3) to deposit a layer of optical-grade material, e.g., Si, onto the front surface of the faceplate. The mirror figure and finish are fabricated into the faceplate.

  20. Structural enhancement of ZnO on SiO2 for photonic applications

    NASA Astrophysics Data System (ADS)

    Ruth, Marcel; Meier, Cedrik

    2013-07-01

    Multi-layer thin films are often the basis of photonic devices. Zinc oxide (ZnO) with its excellent optoelectronic properties can serve as a high quality emitter in structures like microdisks or photonic crystals. Here, we present a detailed study on the enhancement of the structural properties of low-temperature MBE grown ZnO on silica (SiO2). By thermal annealing a grain coalescence of the initially polycrystalline layer leads to an enhancement of the electronic structure, indicated by a blue shift of the photoluminescence (PL) signal maximum. Oxygen atmosphere during the annealing process prevents the creation of intrinsic defects by out-diffusion. Pre-annealing deposited SiO2 capping layers instead obstruct the recrystallization and lead to less intense emission. While thin capping layers partially detach from the ZnO film at high temperatures and cause higher surface roughness and the weakest emission, thicker layers remain smoother and exhibit a significantly stronger photoluminescence.

  1. Surface properties of atomically flat poly-crystalline SrTiO3

    PubMed Central

    Woo, Sungmin; Jeong, Hoidong; Lee, Sang A.; Seo, Hosung; Lacotte, Morgane; David, Adrian; Kim, Hyun You; Prellier, Wilfrid; Kim, Yunseok; Choi, Woo Seok

    2015-01-01

    Comparison between single- and the poly-crystalline structures provides essential information on the role of long-range translational symmetry and grain boundaries. In particular, by comparing single- and poly-crystalline transition metal oxides (TMOs), one can study intriguing physical phenomena such as electronic and ionic conduction at the grain boundaries, phonon propagation, and various domain properties. In order to make an accurate comparison, however, both single- and poly-crystalline samples should have the same quality, e.g., stoichiometry, crystallinity, thickness, etc. Here, by studying the surface properties of atomically flat poly-crystalline SrTiO3 (STO), we propose an approach to simultaneously fabricate both single- and poly-crystalline epitaxial TMO thin films on STO substrates. In order to grow TMOs epitaxially with atomic precision, an atomically flat, single-terminated surface of the substrate is a prerequisite. We first examined (100), (110), and (111) oriented single-crystalline STO surfaces, which required different annealing conditions to achieve atomically flat surfaces, depending on the surface energy. A poly-crystalline STO surface was then prepared at the optimum condition for which all the domains with different crystallographic orientations could be successfully flattened. Based on our atomically flat poly-crystalline STO substrates, we envision expansion of the studies regarding the TMO domains and grain boundaries. PMID:25744275

  2. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    NASA Astrophysics Data System (ADS)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  3. Structural studies of n-type nc-Si-QD thin films for nc-Si solar cells

    NASA Astrophysics Data System (ADS)

    Das, Debajyoti; Kar, Debjit

    2017-12-01

    A wide optical gap nanocrystalline silicon (nc-Si) dielectric material is a basic requirement at the n-type window layer of nc-Si solar cells in thin film n-i-p structure on glass substrates. Taking advantage of the high atomic-H density inherent to the planar inductively coupled low-pressure (SiH4 + CH4)-plasma, development of an analogous material in P-doped nc-Si-QD/a-SiC:H network has been tried. Incorporation of C in the Si-network extracted from the CH4 widens the optical band gap; however, at enhanced PH3-dilution of the plasma spontaneous miniaturization of the nc-Si-QDs below the dimension of Bohr radius (∼4.5 nm) further enhances the band gap by virtue of the quantum size effect. At increased flow rate of PH3, dopant induced continuous amorphization of the intrinsic crystalline network is counterbalanced by the further crystallization promoted by the supplementary atomic-H extracted from PH3 (1% in H2) in the plasma, eventually holding a moderately high degree of crystallinity. The n-type wide band gap (∼1.93 eV) window layer with nc-Si-QDs in adequate volume fraction (∼52%) could furthermore be instrumental as an effective seed layer for advancing sequential crystallization in the i-layer of nc-Si solar cells with n-i-p structure in superstrate configuration.

  4. Deposition and characterization of silicon thin-films by aluminum-induced crystallization

    NASA Astrophysics Data System (ADS)

    Ebil, Ozgenc

    Polycrystalline silicon (poly-Si) as a thin-film solar cell material could have major advantages compared to non-silicon thin-film technologies. In theory, thin-film poly-Si may retain the performance and stability of c-Si while taking advantage of established manufacturing techniques. However, poly-Si films deposited onto foreign substrates at low temperatures typically have an average grain size of 10--50 nm. Such a grain structure presents a potential problem for device performance since it introduces an excessive number of grain boundaries which, if left unpassivated, lead to poor solar cell properties. Therefore, for optimum device performance, the grain size of the poly-Si film should be at least comparable to the thickness of the films. For this project, the objectives were the deposition of poly-Si thin-films with 2--5 mum grain size on glass substrates using in-situ and conventional aluminum-induced crystallization (AIC) and the development of a model for AIC process. In-situ AIC experiments were performed using Hot-Wire Chemical Vapor Deposition (HWCVD) both above and below the eutectic temperature (577°C) of Si-Al binary system. Conventional AIC experiments were performed using a-Si layers deposited on aluminum coated glass substrates by Electron-beam deposition, Plasma Enhanced Chemical Vapor Deposition (PECVD) and HWCVD. Continuous poly-Si films with an average grain size of 10 mum on glass substrates were achieved by both in-situ and conventional aluminum-induced crystallization of Si below eutectic temperature. The grain size was determined by three factors; the grain structure of Al layer, the nature of the interfacial oxide, and crystallization temperature. The interface oxide was found to be crucial for AIC process but not necessary for crystallization itself. The characterization of interfacial oxide layer formed on Al films revealed a bilayer structure containing Al2O3 and Al(OH)3 . The effective activation energy for AIC process was determined

  5. Alkali-templated surface nanopatterning of chalcogenide thin films: a novel approach toward solar cells with enhanced efficiency.

    PubMed

    Reinhard, Patrick; Bissig, Benjamin; Pianezzi, Fabian; Hagendorfer, Harald; Sozzi, Giovanna; Menozzi, Roberto; Gretener, Christina; Nishiwaki, Shiro; Buecheler, Stephan; Tiwari, Ayodhya N

    2015-05-13

    Concepts of localized contacts and junctions through surface passivation layers are already advantageously applied in Si wafer-based photovoltaic technologies. For Cu(In,Ga)Se2 thin film solar cells, such concepts are generally not applied, especially at the heterojunction, because of the lack of a simple method yielding features with the required size and distribution. Here, we show a novel, innovative surface nanopatterning approach to form homogeneously distributed nanostructures (<30 nm) on the faceted, rough surface of polycrystalline chalcogenide thin films. The method, based on selective dissolution of self-assembled and well-defined alkali condensates in water, opens up new research opportunities toward development of thin film solar cells with enhanced efficiency.

  6. Observations of Dynamic Strain Aging in Polycrystalline NiAl

    NASA Technical Reports Server (NTRS)

    Weaver, M. L.; Noebe, R. D.; Kaufman, M. J.

    1996-01-01

    Dynamic strain aging has been investigated at temperatures between 77 and 1100 K in eight polycrystalline NiAl alloys. The 0.2% offset yield stress and work hardening rates for these alloys generally decreased with increasing temperature. However, local plateaus or maxima were observed in conventional purity and carbon doped alloys at intermediate temperatures (600-900 K). This anomalous behavior was not observed in low interstitial high-purity, nitrogen doped, or in titanium doped materials. Low or negative strain rate sensitivities (SRS) were also observed in all eight alloys in this intermediate temperature range. Coincident with the occurrence of negative SRS was the occurrence of serrated flow in conventional purity alloys containing high concentrations of Si in addition to C. These phenomena have been attributed to dynamic strain aging (DSA). Chemical analysis of the alloys used in this study suggests that the main species causing strain aging in polycrystalline NiAl is C but indicate that residual Si impurities can enhance the strain aging effect.

  7. Elastic wave speeds and moduli in polycrystalline ice Ih, si methane hydrate, and sll methane-ethane hydrate

    USGS Publications Warehouse

    Helgerud, M.B.; Waite, W.F.; Kirby, S.H.; Nur, A.

    2009-01-01

    We used ultrasonic pulse transmission to measure compressional, P, and shear, S, wave speeds in laboratory-formed polycrystalline ice Ih, si methane hydrate, and sll methane-ethane hydrate. From the wave speed's linear dependence on temperature and pressure and from the sample's calculated density, we derived expressions for bulk, shear, and compressional wave moduli and Poisson's ratio from -20 to 15??C and 22.4 to 32.8 MPa for ice Ih, -20 to 15??C and 30.5 to 97.7 MPa for si methane hydrate, and -20 to 10??C and 30.5 to 91.6 MPa for sll methane-ethane hydrate. All three materials had comparable P and S wave speeds and decreasing shear wave speeds with increasing applied pressure. Each material also showed evidence of rapid intergranular bonding, with a corresponding increase in wave speed, in response to pauses in sample deformation. There were also key differences. Resistance to uniaxial compaction, indicated by the pressure required to compact initially porous samples, was significantly lower for ice Ih than for either hydrate. The ice Ih shear modulus decreased with increasing pressure, in contrast to the increase measured in both hydrates ?? 2009.

  8. Active pixel imagers incorporating pixel-level amplifiers based on polycrystalline-silicon thin-film transistors

    PubMed Central

    El-Mohri, Youcef; Antonuk, Larry E.; Koniczek, Martin; Zhao, Qihua; Li, Yixin; Street, Robert A.; Lu, Jeng-Ping

    2009-01-01

    Active matrix, flat-panel imagers (AMFPIs) employing a 2D matrix of a-Si addressing TFTs have become ubiquitous in many x-ray imaging applications due to their numerous advantages. However, under conditions of low exposures and∕or high spatial resolution, their signal-to-noise performance is constrained by the modest system gain relative to the electronic additive noise. In this article, a strategy for overcoming this limitation through the incorporation of in-pixel amplification circuits, referred to as active pixel (AP) architectures, using polycrystalline-silicon (poly-Si) TFTs is reported. Compared to a-Si, poly-Si offers substantially higher mobilities, enabling higher TFT currents and the possibility of sophisticated AP designs based on both n- and p-channel TFTs. Three prototype indirect detection arrays employing poly-Si TFTs and a continuous a-Si photodiode structure were characterized. The prototypes consist of an array (PSI-1) that employs a pixel architecture with a single TFT, as well as two arrays (PSI-2 and PSI-3) that employ AP architectures based on three and five TFTs, respectively. While PSI-1 serves as a reference with a design similar to that of conventional AMFPI arrays, PSI-2 and PSI-3 incorporate additional in-pixel amplification circuitry. Compared to PSI-1, results of x-ray sensitivity demonstrate signal gains of ∼10.7 and 20.9 for PSI-2 and PSI-3, respectively. These values are in reasonable agreement with design expectations, demonstrating that poly-Si AP circuits can be tailored to provide a desired level of signal gain. PSI-2 exhibits the same high levels of charge trapping as those observed for PSI-1 and other conventional arrays employing a continuous photodiode structure. For PSI-3, charge trapping was found to be significantly lower and largely independent of the bias voltage applied across the photodiode. MTF results indicate that the use of a continuous photodiode structure in PSI-1, PSI-2, and PSI-3 results in optical fill

  9. Active pixel imagers incorporating pixel-level amplifiers based on polycrystalline-silicon thin-film transistors.

    PubMed

    El-Mohri, Youcef; Antonuk, Larry E; Koniczek, Martin; Zhao, Qihua; Li, Yixin; Street, Robert A; Lu, Jeng-Ping

    2009-07-01

    Active matrix, flat-panel imagers (AMFPIs) employing a 2D matrix of a-Si addressing TFTs have become ubiquitous in many x-ray imaging applications due to their numerous advantages. However, under conditions of low exposures and/or high spatial resolution, their signal-to-noise performance is constrained by the modest system gain relative to the electronic additive noise. In this article, a strategy for overcoming this limitation through the incorporation of in-pixel amplification circuits, referred to as active pixel (AP) architectures, using polycrystalline-silicon (poly-Si) TFTs is reported. Compared to a-Si, poly-Si offers substantially higher mobilities, enabling higher TFT currents and the possibility of sophisticated AP designs based on both n- and p-channel TFTs. Three prototype indirect detection arrays employing poly-Si TFTs and a continuous a-Si photodiode structure were characterized. The prototypes consist of an array (PSI-1) that employs a pixel architecture with a single TFT, as well as two arrays (PSI-2 and PSI-3) that employ AP architectures based on three and five TFTs, respectively. While PSI-1 serves as a reference with a design similar to that of conventional AMFPI arrays, PSI-2 and PSI-3 incorporate additional in-pixel amplification circuitry. Compared to PSI-1, results of x-ray sensitivity demonstrate signal gains of approximately 10.7 and 20.9 for PSI-2 and PSI-3, respectively. These values are in reasonable agreement with design expectations, demonstrating that poly-Si AP circuits can be tailored to provide a desired level of signal gain. PSI-2 exhibits the same high levels of charge trapping as those observed for PSI-1 and other conventional arrays employing a continuous photodiode structure. For PSI-3, charge trapping was found to be significantly lower and largely independent of the bias voltage applied across the photodiode. MTF results indicate that the use of a continuous photodiode structure in PSI-1, PSI-2, and PSI-3 results in

  10. Fabrication and characterization of {110}-oriented Pb(Zr,Ti)O3 thin films on Pt/SiO2/Si substrates using PdO//Pd buffer layer

    NASA Astrophysics Data System (ADS)

    Oshima, Naoya; Uchiyama, Kiyoshi; Ehara, Yoshitaka; Oikawa, Takahiro; Ichinose, Daichi; Tanaka, Hiroki; Sato, Tomoya; Uchida, Hiroshi; Funakubo, Hiroshi

    2017-10-01

    A strongly {110}-oriented perovskite-type thin film of tetragonal Pb(Zr0.4Ti0.6)O3 (PZT) was successfully obtained on a (100)Si substrate using a {101}PdO//{111}Pd thin film as a buffer layer. The {101}PdO//{111}Pd thin film buffer layer was obtained by oxidizing {111}Pd after depositing {111}Pd on a {111}Pt/TiO x /SiO2/{100}Si substrate. Using this buffer layer, a {110} c -oriented SrRuO3 (SRO) thin film was deposited by sputtering as a bottom electrode of PZT thin films. Subsequently, the {110}-oriented PZT thin film can be deposited on a (110) c SRO thin film by metal-organic chemical deposition (MOCVD) and its properties can be compared with those of PZT thin films with other orientations of {100} and {111}. Among the {100}, {110}, {111}-oriented PZT films, the {100}-oriented one showed the largest remnant polarization, which is in good agreement with those of the PZTs epitaxially grown in the 〈100〉, 〈110〉, and 〈111〉 directions. The other properties, i.e., piezoelectricity and dielectric constants, also showed similar anisotropic tendencies, which is in good agreement with the data reported in the epitaxially grown PZTs.

  11. Investigation of interface property in Al/SiO2/ n-SiC structure with thin gate oxide by illumination

    NASA Astrophysics Data System (ADS)

    Chang, P. K.; Hwu, J. G.

    2017-04-01

    The reverse tunneling current of Al/SiO2/ n-SiC structure employing thin gate oxide is introduced to examine the interface property by illumination. The gate current at negative bias decreases under blue LED illumination, yet increases under UV lamp illumination. Light-induced electrons captured by interface states may be emitted after the light sources are off, leading to the recovery of gate currents. Based on transient characteristics of gate current, the extracted trap level is close to the light energy for blue LED, indicating that electron capture induced by lighting may result in the reduction of gate current. Furthermore, bidirectional C- V measurements exhibit a positive voltage shift caused by electron trapping under blue LED illumination, while a negative voltage shift is observed under UV lamp illumination. Distinct trapping and detrapping behaviors can be observed from variations in I- V and C- V curves utilizing different light sources for 4H-SiC MOS capacitors with thin insulators.

  12. Structural and optical analysis of 60Co gamma-irradiated thin films of polycrystalline Ga10Se85Sn5

    NASA Astrophysics Data System (ADS)

    Ahmad, Shabir; Asokan, K.; Shahid Khan, Mohd.; Zulfequar, M.

    2015-12-01

    The present study focuses on the effects of gamma irradiation on structural and optical properties of polycrystalline Ga10Se85Sn5 thin films with a thickness of ∼300 nm deposited by the thermal evaporation technique on cleaned glass substrates. X-ray diffraction patterns of the investigated thin films show that crystallite growth occurs in the orthorhombic phase structure. The surface study carried out by using the scanning electron microscope (SEM) confirms that the grain size increases with gamma irradiation. The optical parameters were estimated from optical transmission spectra data measured from a UV-vis-spectrophotometer in the wavelength range of 200-1100 nm. The refractive index dispersion data of the investigated thin films follow the single oscillator model. The estimated values of static refractive index n0, oscillator strength Ed, zero frequency dielectric constant ε0, optical conductivity σoptical and the dissipation factor increases after irradiation, while the single oscillator energy Eo decreases after irradiation. It was found that the value of the optical band gap of the investigated thin films decreases and the corresponding absorption coefficient increases continuously with an increase in the dose of gamma irradiation. This post irradiation changes in the values of optical band gap and absorption coefficient were interpreted in terms of the bond distribution model.

  13. Nanofrictional behavior of amorphous, polycrystalline and textured Y-Cr-O films

    NASA Astrophysics Data System (ADS)

    Gervacio-Arciniega, J. J.; Flores-Ruiz, F. J.; Diliegros-Godines, C. J.; Broitman, E.; Enriquez-Flores, C. I.; Espinoza-Beltrán, F. J.; Siqueiros, J.; Cruz, M. P.

    2016-08-01

    Differences in friction coefficients (μ) of ferroelectric YCrO3, textured and polycrystalline films, and non-ferroelectric Y-Cr-O films are analyzed. The friction coefficient was evaluated by atomic force microscopy using a simple quantitative procedure where the dependence of friction force with the applied load is obtained in only one topographical image. A simple code was developed with the MATLAB® software to analyze the experimental data. The code includes a correction of the hysteresis in the forward and backward scanning directions. The quantification of load exerted on the sample surface was obtained by finite element analysis of the AFM cantilever starting from its experimental dynamic information. The results show that the ferroelectric YCrO3 film deposited on a Pt(150 nm)/TiO2(30 nm)/SiO2/Si (100) substrate is polycrystalline and has a lower friction coefficient than the deposited on SrTiO3 (110), which is highly textured. From a viewpoint of industrial application in ferroelectric memories, where the writing process is electrical or mechanically achieved by sliding AFM tips on the sample, polycrystalline YCrO3 films seem to be the best candidates due to their lower μ.

  14. Low Temperature Polycrystalline Silicon Thin Film Transistor Pixel Circuits for Active Matrix Organic Light Emitting Diodes

    NASA Astrophysics Data System (ADS)

    Fan, Ching-Lin; Lin, Yu-Sheng; Liu, Yan-Wei

    A new pixel design and driving method for active matrix organic light emitting diode (AMOLED) displays that use low-temperature polycrystalline silicon thin-film transistors (LTPS-TFTs) with a voltage programming method are proposed and verified using the SPICE simulator. We had employed an appropriate TFT model in SPICE simulation to demonstrate the performance of the pixel circuit. The OLED anode voltage variation error rates are below 0.35% under driving TFT threshold voltage deviation (Δ Vth =± 0.33V). The OLED current non-uniformity caused by the OLED threshold voltage degradation (Δ VTO =+0.33V) is significantly reduced (below 6%). The simulation results show that the pixel design can improve the display image non-uniformity by compensating for the threshold voltage deviation in the driving TFT and the OLED threshold voltage degradation at the same time.

  15. Electro-Caloric Properties of BT/PZT Multilayer Thin Films Prepared by Sol-Gel Method.

    PubMed

    Kwon, Min-Su; Lee, Sung-Gap; Kim, Kyeong-Min

    2018-09-01

    In this study, Barium Titanate (BT)/Lead Zirconate Titanate (PZT) multilayer thin films were fabricated by the spin-coating method on Pt (200 nm)/Ti (10 nm) SiO2 (100 nm)/P-Si (100) substrates using BaTiO3 and Pb(Zr0.90Ti0.10)O3 metal alkoxide solutions. The coating and heating procedure was repeated several times to form the multilayer thin films. All of BT/PZT multilayer thin films show X-ray diffraction patterns typical to a polycrystalline perovskite structure and a uniform and void free grain microstructure. The thickness of the BT and PZT film by one-cycle of drying/sintering was approximately 50 nm and all of the films consisted of fine grains with a flat surface morphology. The electrocaloric properties of BT/PZT thin films were investigated by indirect estimation. The results showed that the temperature change ΔT can be calculated as a function of temperature using Maxwell's relation; the temperature change reaches a maximum value of ~1.85 °C at 135 °C under an applied electric field of 260 kV/cm.

  16. Observations on Si-based micro-clusters embedded in TaN thin film deposited by co-sputtering with oxygen contamination

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Young Mi; Jung, Min-Sang; Choi, Duck-Kyun, E-mail: duck@hanyang.ac.kr, E-mail: mcjung@oist.jp

    2015-08-15

    Using scanning electron microscopy (SEM) and high-resolution x-ray photoelectron spectroscopy with the synchrotron radiation we investigated Si-based micro-clusters embedded in TaSiN thin films having oxygen contamination. TaSiN thin films were deposited by co-sputtering on fixed or rotated substrates and with various power conditions of TaN and Si targets. Three types of embedded micro-clusters with the chemical states of pure Si, SiO{sub x}-capped Si, and SiO{sub 2}-capped Si were observed and analyzed using SEM and Si 2p and Ta 4f core-level spectra were derived. Their different resistivities are presumably due to the different chemical states and densities of Si-based micro-clusters.

  17. Measuring long-range carrier diffusion across multiple grains in polycrystalline semiconductors by photoluminescence imaging

    PubMed Central

    Alberi, K.; Fluegel, B.; Moutinho, H.; Dhere, R. G.; Li, J. V.; Mascarenhas, A.

    2013-01-01

    Thin-film polycrystalline semiconductors are currently at the forefront of inexpensive large-area solar cell and integrated circuit technologies because of their reduced processing and substrate selection constraints. Understanding the extent to which structural and electronic defects influence carrier transport in these materials is critical to controlling the optoelectronic properties, yet many measurement techniques are only capable of indirectly probing their effects. Here we apply a novel photoluminescence imaging technique to directly observe the low temperature diffusion of photocarriers through and across defect states in polycrystalline CdTe thin films. Our measurements show that an inhomogeneous distribution of localized defect states mediates long-range hole transport across multiple grain boundaries to locations exceeding 10 μm from the point of photogeneration. These results provide new insight into the key role deep trap states have in low temperature carrier transport in polycrystalline CdTe by revealing their propensity to act as networks for hopping conduction. PMID:24158163

  18. Electrical evaluation of crack generation in SiNx and SiOxNy thin-film encapsulation layers for OLED displays

    NASA Astrophysics Data System (ADS)

    Park, Eun Kil; Kim, Sungmin; Heo, Jaeyeong; Kim, Hyeong Joon

    2016-05-01

    By measuring leakage current density, we detected crack generation in silicon nitride (SiNx) and silicon oxynitride (SiOxNy) thin-film encapsulation layers, and correlated with the films' water vapor permeability characteristics. After repeated bending cycles, both the changes in water vapor transmission rate and leakage current density were directly proportional to the crack density. Thick SiNx films had better water vapor barrier characteristics in their pristine state, but cyclic loading led to fast failure. Varying the atomic concentration of the SiOxNy films affected their bending reliability. We attribute these differences to changes in the shape of the crack tip as the oxygen content varies.

  19. Numerical Optimization of a Bifacial Bi-Glass Thin-Film a-Si:H Solar Cell for Higher Conversion Efficiency

    NASA Astrophysics Data System (ADS)

    Berrian, Djaber; Fathi, Mohamed; Kechouane, Mohamed

    2018-02-01

    Bifacial solar cells that maximize the energy output per a square meter have become a new fashion in the field of photovoltaic cells. However, the application of thin-film material on bifacial solar cells, viz., thin-film amorphous hydrogenated silicon ( a- Si:H), is extremely rare. Therefore, this paper presents the optimization and influence of the band gap, thickness and doping on the performance of a glass/glass thin-film a- Si:H ( n- i- p) bifacial solar cell, using a computer-aided simulation tool, Automat for simulation of hetero-structures (AFORS-HET). It is worth mentioning that the thickness and the band gap of the i-layer are the key parameters in achieving higher efficiency and hence it has to be handled carefully during the fabrication process. Furthermore, an efficient thin-film a- Si:H bifacial solar cell requires thinner and heavily doped n and p emitter layers. On the other hand, the band gap of the p-layer showed a dramatic reduction of the efficiency at 2.3 eV. Moreover, a high bifaciality factor of more than 92% is attained, and top efficiency of 10.9% is revealed under p side illumination. These optimizations demonstrate significant enhancements of the recent experimental work on thin-film a- Si:H bifacial solar cells and would also be useful for future experimental investigations on an efficient a- Si:H thin-film bifacial solar cell.

  20. Spectroscopic Ellipsometry Studies of Thin Film a-Si:H/nc-Si:H Micromorph Solar Cell Fabrication in the p-i-n Superstrate Configuration

    NASA Astrophysics Data System (ADS)

    Huang, Zhiquan

    Spectroscopic ellipsometry (SE) is a non-invasive optical probe that is capable of accurately and precisely measuring the structure of thin films, such as their thicknesses and void volume fractions, and in addition their optical properties, typically defined by the index of refraction and extinction coefficient spectra. Because multichannel detection systems integrated into SE instrumentation have been available for some time now, the data acquisition time possible for complete SE spectra has been reduced significantly. As a result, real time spectroscopic ellipsometry (RTSE) has become feasible for monitoring thin film nucleation and growth during the deposition of thin films as well as during their removal in processes of thin film etching. Also because of the reduced acquisition time, mapping SE is possible by mounting an SE instrument with a multichannel detector onto a mechanical translation stage. Such an SE system is capable of mapping the thin film structure and its optical properties over the substrate area, and thereby evaluating the spatial uniformity of the component layers. In thin film photovoltaics, such structural and optical property measurements mapped over the substrate area can be applied to guide device optimization by correlating small area device performance with the associated local properties. In this thesis, a detailed ex-situ SE study of hydrogenated amorphous silicon (a-Si:H) thin films and solar cells prepared by plasma enhanced chemical vapor deposition (PECVD) has been presented. An SE analysis procedure with step-by-step error minimization has been applied to obtain accurate measures of the structural and optical properties of the component layers of the solar cells. Growth evolution diagrams were developed as functions of the deposition parameters in PECVD for both p-type and n-type layers to characterize the regimes of accumulated thickness over which a-Si:H, hydrogenated nanocrystalline silicon (nc-Si:H) and mixed phase (a+nc)-Si

  1. Morphology and Surface Energy of a Si Containing Semifluorinated Di-block Copolymer Thin Films.

    NASA Astrophysics Data System (ADS)

    Shrestha, Umesh; Clarson, Stephen; Perahia, Dvora

    2013-03-01

    The structure and composition of an interface influence stability, adhesiveness and response to external stimuli of thin polymeric films. Incorporation of fluorine affects interfacial energy as well as thermal and chemical stability of the layers. The incompatibility between the fluorinated and non-fluorinated blocks induces segregation that leads to long range correlations where the tendency of the fluorine to migrate to interfaces impacts the surface tension of the films. Concurrently Si in a polymeric backbone enhances the flexibility of polymeric chains. Our previous studies of poly trifluoro propyl methyl siloxane-polystyrene thin films with SiF fraction 0.03-0.5 as a function of temperature have shown that the SiF block drives layering parallel to the surface of the diblock. Here in we report the structure and interfacial energies of SiF-PS in the plane of the films, as a function of the volume fraction of the SiF block obtained from Atomic Force microscopy and contact angle measurement studies. This work is supported by NSF DMR - 0907390

  2. Developments of the Physical and Electrical Properties of NiCr and NiCrSi Single-Layer and Bi-Layer Nano-Scale Thin-Film Resistors.

    PubMed

    Cheng, Huan-Yi; Chen, Ying-Chung; Li, Chi-Lun; Li, Pei-Jou; Houng, Mau-Phon; Yang, Cheng-Fu

    2016-02-25

    In this study, commercial-grade NiCr (80 wt % Ni, 20 wt % Cr) and NiCrSi (55 wt % Ni, 40 wt % Cr, 5 wt % Si) were used as targets and the sputtering method was used to deposit NiCr and NiCrSi thin films on Al₂O₃ and Si substrates at room temperature under different deposition time. X-ray diffraction patterns showed that the NiCr and NiCrSi thin films were amorphous phase, and the field-effect scanning electronic microscope observations showed that only nano-crystalline grains were revealed on the surfaces of the NiCr and NiCrSi thin films. The log (resistivity) values of the NiCr and NiCrSi thin-film resistors decreased approximately linearly as their thicknesses increased. We found that the value of temperature coefficient of resistance (TCR value) of the NiCr thin-film resistors was positive and that of the NiCrSi thin-film resistors was negative. To investigate these thin-film resistors with a low TCR value, we designed a novel bi-layer structure to fabricate the thin-film resistors via two different stacking methods. The bi-layer structures were created by depositing NiCr for 10 min as the upper (or lower) layer and depositing NiCrSi for 10, 30, or 60 min as the lower (or upper) layer. We aim to show that the stacking method had no apparent effect on the resistivity of the NiCr-NiCrSi bi-layer thin-film resistors but had large effect on the TCR value.

  3. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO2/Si interfaces with low defect densities

    NASA Astrophysics Data System (ADS)

    Stegemann, Bert; Gad, Karim M.; Balamou, Patrice; Sixtensson, Daniel; Vössing, Daniel; Kasemann, Martin; Angermann, Heike

    2017-02-01

    Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO2/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO2/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO2/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO2/Si interfaces have been shown to generate less interface defect states.

  4. Fabrication of bright and thin Zn₂SiO₄ luminescent film for electron beam excitation-assisted optical microscope.

    PubMed

    Furukawa, Taichi; Kanamori, Satoshi; Fukuta, Masahiro; Nawa, Yasunori; Kominami, Hiroko; Nakanishi, Yoichiro; Sugita, Atsushi; Inami, Wataru; Kawata, Yoshimasa

    2015-07-13

    We fabricated a bright and thin Zn₂SiO₄ luminescent film to serve as a nanometric light source for high-spatial-resolution optical microscopy based on electron beam excitation. The Zn₂SiO₄ luminescent thin film was fabricated by annealing a ZnO film on a Si₃N₄ substrate at 1000 °C in N₂. The annealed film emitted bright cathodoluminescence compared with the as-deposited film. The film is promising for nano-imaging with electron beam excitation-assisted optical microscopy. We evaluated the spatial resolution of a microscope developed using this Zn₂SiO₄ luminescent thin film. This is the first report of the investigation and application of ZnO/Si₃N₄ annealed at a high temperature (1000 °C). The fabricated Zn₂SiO₄ film is expected to enable high-frame-rate dynamic observation with ultra-high resolution using our electron beam excitation-assisted optical microscopy.

  5. Development of New a-Si/c-Si Heterojunction Solar Cells: ACJ-HIT (Artificially Constructed Junction-Heterojunction with Intrinsic Thin-Layer)

    NASA Astrophysics Data System (ADS)

    Tanaka, Makoto; Taguchi, Mikio; Matsuyama, Takao; Sawada, Toru; Tsuda, Shinya; Nakano, Shoichi; Hanafusa, Hiroshi; Kuwano, Yukinori

    1992-11-01

    A new type of a-Si/c-Si heterojunction solar cell, called the HIT (Heterojunction with Intrinsic Thin-layer) solar cell, has been developed based on ACJ (Artificially Constructed Junction) technology. A conversion efficiency of more than 18% has been achieved, which is the highest ever value for solar cells in which the junction was fabricated at a low temperature (<200°C).

  6. Laser-induced amorphization of silicon during pulsed-laser irradiation of TiN/Ti/polycrystalline silicon/SiO2/silicon

    NASA Astrophysics Data System (ADS)

    Chong, Y. F.; Pey, K. L.; Wee, A. T. S.; Thompson, M. O.; Tung, C. H.; See, A.

    2002-11-01

    In this letter, we report on the complex solidification structures formed during laser irradiation of a titanium nitride/titanium/polycrystalline silicon/silicon dioxide/silicon film stack. Due to enhanced optical coupling, the titanium nitride/titanium capping layer increases the melt depth of polycrystalline silicon by more than a factor of 2. It is found that the titanium atoms diffuse through the entire polycrystalline silicon layer during irradiation. Contrary to the expected polycrystalline silicon growth, distinct regions of polycrystalline and amorphous silicon are formed instead. Possible mechanisms for the formation of these microstructures are proposed.

  7. Developments of the Physical and Electrical Properties of NiCr and NiCrSi Single-Layer and Bi-Layer Nano-Scale Thin-Film Resistors

    PubMed Central

    Cheng, Huan-Yi; Chen, Ying-Chung; Li, Chi-Lun; Li, Pei-Jou; Houng, Mau-Phon; Yang, Cheng-Fu

    2016-01-01

    In this study, commercial-grade NiCr (80 wt % Ni, 20 wt % Cr) and NiCrSi (55 wt % Ni, 40 wt % Cr, 5 wt % Si) were used as targets and the sputtering method was used to deposit NiCr and NiCrSi thin films on Al2O3 and Si substrates at room temperature under different deposition time. X-ray diffraction patterns showed that the NiCr and NiCrSi thin films were amorphous phase, and the field-effect scanning electronic microscope observations showed that only nano-crystalline grains were revealed on the surfaces of the NiCr and NiCrSi thin films. The log (resistivity) values of the NiCr and NiCrSi thin-film resistors decreased approximately linearly as their thicknesses increased. We found that the value of temperature coefficient of resistance (TCR value) of the NiCr thin-film resistors was positive and that of the NiCrSi thin-film resistors was negative. To investigate these thin-film resistors with a low TCR value, we designed a novel bi-layer structure to fabricate the thin-film resistors via two different stacking methods. The bi-layer structures were created by depositing NiCr for 10 min as the upper (or lower) layer and depositing NiCrSi for 10, 30, or 60 min as the lower (or upper) layer. We aim to show that the stacking method had no apparent effect on the resistivity of the NiCr-NiCrSi bi-layer thin-film resistors but had large effect on the TCR value. PMID:28344296

  8. Crystallographic Characterization on Polycrystalline Ni-Mn-Ga Alloys with Strong Preferred Orientation

    PubMed Central

    Li, Zongbin; Yang, Bo; Zou, Naifu; Zhang, Yudong; Esling, Claude; Gan, Weimin; Zhao, Xiang; Zuo, Liang

    2017-01-01

    Heusler type Ni-Mn-Ga ferromagnetic shape memory alloys can demonstrate excellent magnetic shape memory effect in single crystals. However, such effect in polycrystalline alloys is greatly weakened due to the random distribution of crystallographic orientation. Microstructure optimization and texture control are of great significance and challenge to improve the functional behaviors of polycrystalline alloys. In this paper, we summarize our recent progress on the microstructure control in polycrystalline Ni-Mn-Ga alloys in the form of bulk alloys, melt-spun ribbons and thin films, based on the detailed crystallographic characterizations through neutron diffraction, X-ray diffraction and electron backscatter diffraction. The presented results are expected to offer some guidelines for the microstructure modification and functional performance control of ferromagnetic shape memory alloys. PMID:28772826

  9. Crystallographic Characterization on Polycrystalline Ni-Mn-Ga Alloys with Strong Preferred Orientation.

    PubMed

    Li, Zongbin; Yang, Bo; Zou, Naifu; Zhang, Yudong; Esling, Claude; Gan, Weimin; Zhao, Xiang; Zuo, Liang

    2017-04-27

    Heusler type Ni-Mn-Ga ferromagnetic shape memory alloys can demonstrate excellent magnetic shape memory effect in single crystals. However, such effect in polycrystalline alloys is greatly weakened due to the random distribution of crystallographic orientation. Microstructure optimization and texture control are of great significance and challenge to improve the functional behaviors of polycrystalline alloys. In this paper, we summarize our recent progress on the microstructure control in polycrystalline Ni-Mn-Ga alloys in the form of bulk alloys, melt-spun ribbons and thin films, based on the detailed crystallographic characterizations through neutron diffraction, X-ray diffraction and electron backscatter diffraction. The presented results are expected to offer some guidelines for the microstructure modification and functional performance control of ferromagnetic shape memory alloys.

  10. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    NASA Astrophysics Data System (ADS)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  11. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    NASA Astrophysics Data System (ADS)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  12. Very low-refractive-index optical thin films consisting of an array of SiO2 nanorods

    NASA Astrophysics Data System (ADS)

    Xi, J.-Q.; Kim, Jong Kyu; Schubert, E. F.; Ye, Dexian; Lu, T.-M.; Lin, Shawn-Yu; Juneja, Jasbir S.

    2006-03-01

    The refractive-index contrast in dielectric multilayer structures, optical resonators, and photonic crystals is an important figure of merit that creates a strong demand for high-quality thin films with a low refractive index. A SiO2 nanorod layer with low refractive index of n=1.08, to our knowledge the lowest ever reported in thin-film materials, is grown by oblique-angle electron-beam deposition of SiO2. A single-pair distributed Bragg reflector employing a SiO2 nanorod layer is demonstrated to have enhanced reflectivity, showing the great potential of low-refractive-index films for applications in photonic structures and devices.

  13. Elastic constant and Brillouin oscillations in sputtered vitreous SiO2 thin films

    NASA Astrophysics Data System (ADS)

    Ogi, H.; Shagawa, T.; Nakamura, N.; Hirao, M.; Odaka, H.; Kihara, N.

    2008-10-01

    We studied the relationship between elastic constants and microstructure in sputtered vitreous SiO2 thin films using pump-probe picosecond laser ultrasound. The delayed probe light pulse is diffracted by the acoustic wave excited by the pump light pulse, inducing Brillouin oscillations, seen as reflectivity change in the probe pulse, whose frequency can be used to extract the sound velocity and elastic moduli. Theoretical calculations were made to explain the asymmetric response of Brillouin oscillations and to predict the possible error limit of the determined elastic constants. The thin films containing defects exhibited lower elastic constant. A micromechanics modeling was developed to evaluate defect porosity and attenuation caused by scattering was able to predict the defect size. Elastic moduli of the defect-free specimens increased with increasing sputtering power, eventually exceeding the bulk value, and correlated with phonon frequencies, indicating that the decrease in the Si-O-Si bond angle of the tetrahedral structure increased the stiffness.

  14. Designing novel thin film polycrystalline solar cells for high efficiency: sandwich CIGS and heterojunction perovskite

    NASA Astrophysics Data System (ADS)

    Wang, Tianyue; Chen, Jiewei; Wu, Gaoxiang; Song, Dandan; Li, Meicheng

    2017-01-01

    Heterojunction and sandwich architectures are two new-type structures with great potential for solar cells. Specifically, the heterojunction structure possesses the advantages of efficient charge separation but suffers from band offset and large interface recombination; the sandwich configuration is favorable for transferring carriers but requires complex fabrication process. Here, we have designed two thin-film polycrystalline solar cells with novel structures: sandwich CIGS and heterojunction perovskite, referring to the advantages of the architectures of sandwich perovskite (standard) and heterojunction CIGS (standard) solar cells, respectively. A reliable simulation software wxAMPS is used to investigate their inherent characteristics with variation of the thickness and doping density of absorber layer. The results reveal that sandwich CIGS solar cell is able to exhibit an optimized efficiency of 20.7%, which is much higher than the standard heterojunction CIGS structure (18.48%). The heterojunction perovskite solar cell can be more efficient employing thick and doped perovskite films (16.9%) than these typically utilizing thin and weak-doping/intrinsic perovskite films (9.6%). This concept of structure modulation proves to be useful and can be applicable for other solar cells. Project supported by the National High-Tech R&D Program of China (No. 2015AA034601), the National Natural Science Foundation of China (Nos. 91333122, 61204064, 51202067, 51372082, 51402106, 11504107), the Ph.D. Programs Foundation of Ministry of Education of China (Nos. 20120036120006, 20130036110012), the Par-Eu Scholars Program, and the Fundamental Research Funds for the Central Universities.

  15. Tripod self-assembled monolayer on Au(111) prepared by reaction of hydroxyl-terminated alkylthiols with SiCl4.

    PubMed

    Ichimura, Andrew S; Lew, Wanda; Allara, David L

    2008-03-18

    Infrared reflection spectroscopy (IRS), single wavelength ellipsometry, and density functional theory were used to elucidate the structure of a molecular tripod self-assembled monolayer (SAM) on polycrystalline gold{111} substrates. The tripod SAM was formed by the reaction of SiCl4 with a densely packed monolayer of 2-mercaptoethanol, 6-mercaptohexanol, and 16-mercaptohexadecanol under inert atmosphere. After reaction with SiCl4, IRS spectra show an intense absorption at approximately 1112 cm(-1) that is attributed to Si-O-C asymmetric stretching vibration of a molecular tripod structure. Harmonic vibrational frequencies computed at the B3LYP/6-311+g** level of theory for the mercaptoethanol tripod SAM closely match the experimental IRS spectra, giving further support for the tripod structure. When rinsed with methanol or water, the Si-Cl-terminated SAM becomes capped with Si-OMe or Si-OH. The silanol-terminated tripod SAM is expected to find use in the preparation of thin zeolite and silica films on gold substrates.

  16. Structural and electrical characterization of annealed Si1-xCx/SiC thin film prepared by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Huang, Shi-Hua; Liu, Jian

    2014-05-01

    Si-rich Si1—xCx /SiC multilayer thin films are prepared using magnetron sputtering, subsequently followed by thermal annealing in the range of 800-1200 °C. The influences of annealing temperature (Ta) on the formation of Si and/or SiC nanocrystals (NCs) and on the electrical characteristics of the multilayer film are investigated by using a variety of analytical techniques, including X-ray diffraction (XRD), Raman spectroscopy and Fourier transform infrared spectrometry (FT-IR), current—voltage (I—V) technique, and capacitance-voltage (C—V) technique. XRD and Raman analyses indicate that Si NCs begin to form in samples for Ta >= 800 °C. At annealing temperatures of 1000 °C or higher, the formation of Si NCs is accompanied by the formation of SiC NCs. With the increase in the annealing temperature, the shift of FT-IR Si—C bond absorption spectra toward a higher wave number along with the change of band shape can be explained by a Si—C transitional phase between the loss of substitutional carbon and the formation of SiC precipitates and a precursor for the growth of SiC crystalline. The C—V and I—V results indicate that the interface quality of Si1—xCx/SiC multilayer film is improved significantly and the leakage current is reduced rapidly for Ta >= 1000 °C, which can be ascribed to the formation of Si and SiC NCs.

  17. Thermal phonon transport in Si thin film with dog-leg shaped asymmetric nanostructures

    NASA Astrophysics Data System (ADS)

    Kage, Yuta; Hagino, Harutoshi; Yanagisawa, Ryoto; Maire, Jeremie; Miyazaki, Koji; Nomura, Masahiro

    2016-08-01

    Thermal phonon transport in single-crystalline Si thin films with dog-leg shaped nanostructures was investigated. Thermal conductivities for the forward and backward directions were measured and compared at 5 and 295 K by micro thermoreflectance. The Si thin film with dog-leg shaped nanostructures showed lower thermal conductivities than those of nanowires and two-dimensional phononic crystals with circular holes at the same surface-to-volume ratio. However, asymmetric thermal conductivity was not observed at small temperature gradient condition in spite of the highly asymmetric shape though the size of the pattern is within thermal phonon mean free path range. We conclude that strong temperature dependent thermal conductivity is required to observe the asymmetric thermal phonon conduction in monolithic materials with asymmetric nanostructures.

  18. A review on solar cells from Si-single crystals to porous materials and quantum dots

    PubMed Central

    Badawy, Waheed A.

    2013-01-01

    Solar energy conversion to electricity through photovoltaics or to useful fuel through photoelectrochemical cells was still a main task for research groups and developments sectors. In this article we are reviewing the development of the different generations of solar cells. The fabrication of solar cells has passed through a large number of improvement steps considering the technological and economic aspects. The first generation solar cells were based on Si wafers, mainly single crystals. Permanent researches on cost reduction and improved solar cell efficiency have led to the marketing of solar modules having 12–16% solar conversion efficiency. Application of polycrystalline Si and other forms of Si have reduced the cost but on the expense of the solar conversion efficiency. The second generation solar cells were based on thin film technology. Thin films of amorphous Si, CIS (copper–indium–selenide) and t-Si were employed. Solar conversion efficiencies of about 12% have been achieved with a remarkable cost reduction. The third generation solar cells are based on nano-crystals and nano-porous materials. An advanced photovoltaic cell, originally developed for satellites with solar conversion efficiency of 37.3%, based on concentration of the solar spectrum up to 400 suns was developed. It is based on extremely thin concentration cells. New sensitizer or semiconductor systems are necessary to broaden the photo-response in solar spectrum. Hybrids of solar and conventional devices may provide an interim benefit in seeking economically valuable devices. New quantum dot solar cells based on CdSe–TiO2 architecture have been developed. PMID:25750746

  19. A review on solar cells from Si-single crystals to porous materials and quantum dots.

    PubMed

    Badawy, Waheed A

    2015-03-01

    Solar energy conversion to electricity through photovoltaics or to useful fuel through photoelectrochemical cells was still a main task for research groups and developments sectors. In this article we are reviewing the development of the different generations of solar cells. The fabrication of solar cells has passed through a large number of improvement steps considering the technological and economic aspects. The first generation solar cells were based on Si wafers, mainly single crystals. Permanent researches on cost reduction and improved solar cell efficiency have led to the marketing of solar modules having 12-16% solar conversion efficiency. Application of polycrystalline Si and other forms of Si have reduced the cost but on the expense of the solar conversion efficiency. The second generation solar cells were based on thin film technology. Thin films of amorphous Si, CIS (copper-indium-selenide) and t-Si were employed. Solar conversion efficiencies of about 12% have been achieved with a remarkable cost reduction. The third generation solar cells are based on nano-crystals and nano-porous materials. An advanced photovoltaic cell, originally developed for satellites with solar conversion efficiency of 37.3%, based on concentration of the solar spectrum up to 400 suns was developed. It is based on extremely thin concentration cells. New sensitizer or semiconductor systems are necessary to broaden the photo-response in solar spectrum. Hybrids of solar and conventional devices may provide an interim benefit in seeking economically valuable devices. New quantum dot solar cells based on CdSe-TiO2 architecture have been developed.

  20. Ion-beam mixed ultra-thin cobalt suicide (CoSi2) films by cobalt sputtering and rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Kal, S.; Kasko, I.; Ryssel, H.

    1995-10-01

    The influence of ion-beam mixing on ultra-thin cobalt silicide (CoSi2) formation was investigated by characterizing the ion-beam mixed and unmixed CoSi2 films. A Ge+ ion-implantation through the Co film prior to silicidation causes an interface mixing of the cobalt film with the silicon substrate and results in improved silicide-to-silicon interface roughness. Rapid thermal annealing was used to form Ge+ ion mixed and unmixed thin CoSi2 layer from 10 nm sputter deposited Co film. The silicide films were characterized by secondary neutral mass spectroscopy, x-ray diffraction, tunneling electron microscopy (TEM), Rutherford backscattering, and sheet resistance measurements. The experi-mental results indicate that the final rapid thermal annealing temperature should not exceed 800°C for thin (<50 nm) CoSi2 preparation. A comparison of the plan-view and cross-section TEM micrographs of the ion-beam mixed and unmixed CoSi2 films reveals that Ge+ ion mixing (45 keV, 1 × 1015 cm-2) produces homogeneous silicide with smooth silicide-to-silicon interface.

  1. Formation of Si grains from a NaSi melt prepared by reaction of SiO2 and Na

    NASA Astrophysics Data System (ADS)

    Yamane, Hisanori; Morito, Haruhiko; Uchikoshi, Masahito

    2013-08-01

    A mixture of Na2SiO3 and NaSi was found to be formed by reaction of SiO2 and Na at 650 °C as follows: 5Na+3SiO2→2Na2SiO3+NaSi. Single crystals of NaSi were grown by cooling the mixture of Na2SiO3 and NaSi with an excess amount of Na from 850 °C, and polycrystalline Si was obtained by vaporization of Na from the crystals. Coarse grains of Si were also crystallized by Na evaporation after the formation of Na2SiO3 and Si-dissolved liquid Na at 830 °C. The Si grains were collected by washing the product with water. The yield of the Si grains was 85% of the ideal amount expected from the reaction.

  2. Bragg coherent diffractive imaging of single-grain defect dynamics in polycrystalline films

    NASA Astrophysics Data System (ADS)

    Yau, Allison; Cha, Wonsuk; Kanan, Matthew W.; Stephenson, G. Brian; Ulvestad, Andrew

    2017-05-01

    Polycrystalline material properties depend on the distribution and interactions of their crystalline grains. In particular, grain boundaries and defects are crucial in determining their response to external stimuli. A long-standing challenge is thus to observe individual grains, defects, and strain dynamics inside functional materials. Here we report a technique capable of revealing grain heterogeneity, including strain fields and individual dislocations, that can be used under operando conditions in reactive environments: grain Bragg coherent diffractive imaging (gBCDI). Using a polycrystalline gold thin film subjected to heating, we show how gBCDI resolves grain boundary and dislocation dynamics in individual grains in three-dimensional detail with 10-nanometer spatial and subangstrom displacement field resolution. These results pave the way for understanding polycrystalline material response under external stimuli and, ideally, engineering particular functions.

  3. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mirkarimi, Paul B.; Bajt, Sasa; Wall, Mark A.

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decreasemore » more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar. (c) 2000 Optical Society of America.« less

  4. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography.

    PubMed

    Mirkarimi, P B; Bajt, S; Wall, M A

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decrease more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar.

  5. Photoluminescence of ZnS-SiO2:Ce Thin Films Deposited by Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Mizuno, Masao

    2011-12-01

    Photoluminescent emissions of zinc sulfide-silica-cerium thin films deposited by magnetron sputtering were observed. The films consisted of ZnS nanocrystals embedded in amorphous SiO2 matrices. ZnS-SiO2:Ce films exhibited photoluminescence even without postannealing. Their emission spectra showed broad patterns in the visible range; the emitted colors depended on film composition.

  6. Nd and Ru co-doped bismuth titanate polycrystalline thin films with improved ferroelectric properties

    NASA Astrophysics Data System (ADS)

    Sahoo, Kishor Kumar; Singh Rajput, Shailendra; Gupta, Rajeev; Roy, Amritendu; Garg, Ashish

    2018-02-01

    We report the ferroelectric properties of pulsed laser deposited thin films of Nd and Ru co-doped bismuth titanate (Bi4-x Nd x Ti3-y Ru y O12). Structural analysis of the as-grown films, using x-ray diffraction, showed a single-phase formation with a polycrystalline structure. In comparison to un-doped and Nd-doped films, ferroelectric measurements on co-doped films demonstrated improved properties with remnant polarization (P r) ~ 12.5 µC cm-2 and an enhanced electrical fatigue life for Bi3.25Nd0.75Ti2.8Ru0.20O12 films. The enhancement in remanent polarization is attributed to microscopic changes, such as local structural distortion and the modification of the dynamical/effective charges on constituent ions due to chemical strain upon simultaneous Bi- (A) and Ti- (B) site doping with Nd and Ru, which has a far stronger effect than only A-site doping with Nd. Piezoresponse force microscopy further confirmed the polar structure and domain switching at nanoscale. The films exhibit small yet finite magnetization at 10 K resulting from strain.

  7. Intrinsic Compressive Stress in Polycrystalline Films is Localized at Edges of the Grain Boundaries.

    PubMed

    Vasco, Enrique; Polop, Celia

    2017-12-22

    The intrinsic compression that arises in polycrystalline thin films under high atomic mobility conditions has been attributed to the insertion or trapping of adatoms inside grain boundaries. This compression is a consequence of the stress field resulting from imperfections in the solid and causes the thermomechanical fatigue that is estimated to be responsible for 90% of mechanical failures in current devices. We directly measure the local distribution of residual intrinsic stress in polycrystalline thin films on nanometer scales, using a pioneering method based on atomic force microscopy. Our results demonstrate that, at odds with expectations, compression is not generated inside grain boundaries but at the edges of gaps where the boundaries intercept the surface. We describe a model wherein this compressive stress is caused by Mullins-type surface diffusion towards the boundaries, generating a kinetic surface profile different from the mechanical equilibrium profile by the Laplace-Young equation. Where the curvatures of both profiles differ, an intrinsic stress is generated in the form of Laplace pressure. The Srolovitz-type surface diffusion that results from the stress counters the Mullins-type diffusion and stabilizes the kinetic surface profile, giving rise to a steady compression regime. The proposed mechanism of competition between surface diffusions would explain the flux and time dependency of compressive stress in polycrystalline thin films.

  8. Intrinsic Compressive Stress in Polycrystalline Films is Localized at Edges of the Grain Boundaries

    NASA Astrophysics Data System (ADS)

    Vasco, Enrique; Polop, Celia

    2017-12-01

    The intrinsic compression that arises in polycrystalline thin films under high atomic mobility conditions has been attributed to the insertion or trapping of adatoms inside grain boundaries. This compression is a consequence of the stress field resulting from imperfections in the solid and causes the thermomechanical fatigue that is estimated to be responsible for 90% of mechanical failures in current devices. We directly measure the local distribution of residual intrinsic stress in polycrystalline thin films on nanometer scales, using a pioneering method based on atomic force microscopy. Our results demonstrate that, at odds with expectations, compression is not generated inside grain boundaries but at the edges of gaps where the boundaries intercept the surface. We describe a model wherein this compressive stress is caused by Mullins-type surface diffusion towards the boundaries, generating a kinetic surface profile different from the mechanical equilibrium profile by the Laplace-Young equation. Where the curvatures of both profiles differ, an intrinsic stress is generated in the form of Laplace pressure. The Srolovitz-type surface diffusion that results from the stress counters the Mullins-type diffusion and stabilizes the kinetic surface profile, giving rise to a steady compression regime. The proposed mechanism of competition between surface diffusions would explain the flux and time dependency of compressive stress in polycrystalline thin films.

  9. Microscopic studies of polycrystalline nanoparticle growth in free space

    NASA Astrophysics Data System (ADS)

    Mohan, A.; Kaiser, M.; Verheijen, M. A.; Schropp, R. E. I.; Rath, J. K.

    2017-06-01

    We have extensively studied by multiple microscopic techniques the growth and crystallization of silicon nanoparticles in pulsed SiH4/Ar plasmas. We observe that the crystallinity of the particles can be tuned from amorphous to crystalline by altering the plasma ON time, tON. Three phases can be identified as a function of tON. Microscopic studies reveal that, in the initial gas phase (phase I) single particles of polycrystalline nature are formed which according to our hypothesis grow out of a single nucleus. The individual crystallites of the polycrystalline particles become bigger crystalline regions which marks the onset of cauliflower shaped particles (phase II). At longer tON (phase III) distinct cauliflower particles are formed by the growth of these crystalline regions by local epitaxy.

  10. Enhanced Visible Transmittance of Thermochromic VO₂ Thin Films by SiO₂ Passivation Layer and Their Optical Characterization.

    PubMed

    Yu, Jung-Hoon; Nam, Sang-Hun; Lee, Ji Won; Boo, Jin-Hyo

    2016-07-09

    This paper presents the preparation of high-quality vanadium dioxide (VO₂) thermochromic thin films with enhanced visible transmittance (T vis ) via radio frequency (RF) sputtering and plasma enhanced chemical vapor deposition (PECVD). VO₂ thin films with high T vis and excellent optical switching efficiency (E os ) were successfully prepared by employing SiO₂ as a passivation layer. After SiO₂ deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO₂ coating, the phase transition temperature (T c ) of the prepared films was not affected. Compared with pristine VO₂, the total layer thickness after SiO₂ coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO₂ thin films showed a higher T vis value (λ 650 nm, 58%) compared with the pristine samples (λ 650 nm, 43%). This enhancement of T vis while maintaining high E os is meaningful for VO₂-based smart window applications.

  11. Electrochemical Properties of Si Film Electrodes Containing TiNi Thin-Film Current Collectors

    NASA Astrophysics Data System (ADS)

    Im, Yeon-min; Noh, Jung-pil; Cho, Gyu-bong; Nam, Tea-hyun

    2018-03-01

    A 50.3Ti-49.7Ni thin film fabricated by DC sputtering was employed as a current collector of Si film electrode. The structural and electrochemical properties of Si/TiNi film electrode were compared with those of a Si/Cu film electrode. The TiNi film with cluster-like structures composed of B2 austenitic phase at room temperature displayed the high electrochemical stability for Li ions. The amorphous Si film deposited on the TiNi film also consisted of cluster-like structures on the surface. The Si film grown on the TiNi film current collector (Si/TiNi electrode) demonstrated a high columbic efficiency of 87% at the first cycle (363 μAh/cm2 of charge capacity and 314 μAh/cm2 of discharge capacity). The Si/TiNi electrode exhibited better electrochemical properties in terms of capacity, cycle performance, and structural stability compared to the Si electrode with a conventional Cu foil current collector.

  12. Li4SiO4-Based Artificial Passivation Thin Film for Improving Interfacial Stability of Li Metal Anodes.

    PubMed

    Kim, Ji Young; Kim, A-Young; Liu, Guicheng; Woo, Jae-Young; Kim, Hansung; Lee, Joong Kee

    2018-03-14

    An amorphous SiO 2 (a-SiO 2 ) thin film was developed as an artificial passivation layer to stabilize Li metal anodes during electrochemical reactions. The thin film was prepared using an electron cyclotron resonance-chemical vapor deposition apparatus. The obtained passivation layer has a hierarchical structure, which is composed of lithium silicide, lithiated silicon oxide, and a-SiO 2 . The thickness of the a-SiO 2 passivation layer could be varied by changing the processing time, whereas that of the lithium silicide and lithiated silicon oxide layers was almost constant. During cycling, the surface of the a-SiO 2 passivation layer is converted into lithium silicate (Li 4 SiO 4 ), and the portion of Li 4 SiO 4 depends on the thickness of a-SiO 2 . A minimum overpotential of 21.7 mV was observed at the Li metal electrode at a current density of 3 mA cm -2 with flat voltage profiles, when an a-SiO 2 passivation layer of 92.5 nm was used. The Li metal with this optimized thin passivation layer also showed the lowest charge-transfer resistance (3.948 Ω cm) and the highest Li ion diffusivity (7.06 × 10 -14 cm 2 s -1 ) after cycling in a Li-S battery. The existence of the Li 4 SiO 4 artificial passivation layer prevents the corrosion of Li metal by suppressing Li dendritic growth and improving the ionic conductivity, which contribute to the low charge-transfer resistance and high Li ion diffusivity of the electrode.

  13. Effect of Aluminum on Characterization of ZnTe/n-Si Heterojunction Photo detector

    NASA Astrophysics Data System (ADS)

    Maki, Samir A.; Hassun, Hanan K.

    2018-05-01

    Aluminum doped zinc telluride ZnTenSi thin films of (400nm) thickness with (005 01 015 and 02) wt % were deposited on the glass substrate and nSi wafer to fabricate ZnTenSi heterojunction Photodetector by using thermal vacuum evaporation technique Structural optical electrical and photovoltaic properties are investigated for the samples XRD analysis shows that all the deposited ZnTenSi films show polycrystalline structure with cubic phases and highest sharp peak corresponding to (111) planes and from AFM images shows the surface roughness increase with increase Al percentage ratio The optical absorption measurement of the films was find from transmittance ranges in the variety of wavelength (400 1000) nm and the optical energy band gap decrease from 224 eV to 186 eV dependent upon the Aluminum ratio in the films moreover our studies contain the calculation of the electrical properties of hetero junction were obtained via IV (dark and light condition) and C V measurement The photoelectric properties indicated rise illumination current of heterojunctions through increasing both of incident lighting intensity and Aluminum dopant The values of specific detectivity and quantum efficiency are calculated for all samples also the best spectral response occurs when Al doping ratio 02% The high photo sensitivity and comparatively fast response haste are attributable to in height crystal quality of the [ZnTe ] thin films.

  14. Structural and optical characterization of pure Si-rich nitride thin films

    PubMed Central

    2013-01-01

    The specific dependence of the Si content on the structural and optical properties of O- and H-free Si-rich nitride (SiNx>1.33) thin films deposited by magnetron sputtering is investigated. A semiempirical relation between the composition and the refractive index was found. In the absence of Si-H, N-H, and Si-O vibration modes in the FTIR spectra, the transverse and longitudinal optical (TO-LO) Si-N stretching pair modes could be unambiguously identified using the Berreman effect. With increasing Si content, the LO and the TO bands shifted to lower wavenumbers, and the LO band intensity dropped suggesting that the films became more disordered. Besides, the LO and the TO bands shifted to higher wavenumbers with increasing annealing temperature which may result from the phase separation between Si nanoparticles (Si-np) and the host medium. Indeed, XRD and Raman measurements showed that crystalline Si-np formed upon 1100°C annealing but only for SiNx<0.8. Besides, quantum confinement effects on the Raman peaks of crystalline Si-np, which were observed by HRTEM, were evidenced for Si-np average sizes between 3 and 6 nm. A contrario, visible photoluminescence (PL) was only observed for SiNx>0.9, demonstrating that this PL is not originating from confined states in crystalline Si-np. As an additional proof, the PL was quenched while crystalline Si-np could be formed by laser annealing. Besides, the PL cannot be explained neither by defect states in the bandgap nor by tail to tail recombination. The PL properties of SiNx>0.9 could be then due to a size effect of Si-np but having an amorphous phase. PMID:23324447

  15. Structural and optical characterization of pure Si-rich nitride thin films

    NASA Astrophysics Data System (ADS)

    Debieu, Olivier; Nalini, Ramesh Pratibha; Cardin, Julien; Portier, Xavier; Perrière, Jacques; Gourbilleau, Fabrice

    2013-01-01

    The specific dependence of the Si content on the structural and optical properties of O- and H-free Si-rich nitride (SiN x>1.33) thin films deposited by magnetron sputtering is investigated. A semiempirical relation between the composition and the refractive index was found. In the absence of Si-H, N-H, and Si-O vibration modes in the FTIR spectra, the transverse and longitudinal optical (TO-LO) Si-N stretching pair modes could be unambiguously identified using the Berreman effect. With increasing Si content, the LO and the TO bands shifted to lower wavenumbers, and the LO band intensity dropped suggesting that the films became more disordered. Besides, the LO and the TO bands shifted to higher wavenumbers with increasing annealing temperature which may result from the phase separation between Si nanoparticles (Si-np) and the host medium. Indeed, XRD and Raman measurements showed that crystalline Si-np formed upon 1100°C annealing but only for SiN x<0.8. Besides, quantum confinement effects on the Raman peaks of crystalline Si-np, which were observed by HRTEM, were evidenced for Si-np average sizes between 3 and 6 nm. A contrario, visible photoluminescence (PL) was only observed for SiN x>0.9, demonstrating that this PL is not originating from confined states in crystalline Si-np. As an additional proof, the PL was quenched while crystalline Si-np could be formed by laser annealing. Besides, the PL cannot be explained neither by defect states in the bandgap nor by tail to tail recombination. The PL properties of SiN x>0.9 could be then due to a size effect of Si-np but having an amorphous phase.

  16. Sintering polycrystalline olivine and polycrystalline clinopyroxene containing trace amount of graphite from natural crystals

    NASA Astrophysics Data System (ADS)

    Tsubokawa, Yumiko; Ishikawa, Masahiro

    2017-09-01

    Graphite-bearing polycrystalline olivine and polycrystalline clinopyroxene with submicron to micron grain size were successfully sintered from a single crystal of naturally occurring olivine (Fo88-92Fa12-8: Mg1.76-1.84Fe0.16-0.24SiO4) and a single crystal of naturally occurring clinopyroxene (Di99Hed1: Ca0.92Na0.07Mn0.01Mg0.93Fe0.01Al0.06Si2O6). The milled powders of both these crystals were sintered under argon gas flow at temperatures ranging from 1130 to 1350 °C for 2 h. As the sintering temperature increased, the average grain size of olivine increased from 0.2 to 1.4 µm and that of clinopyroxene increased from 0.1 to 2.4 µm. The porosity of sintered samples remained at an almost-constant volume of 2-5% for olivine and 3-4% for clinopyroxene. The samples sintered from powders milled with ethanol exhibited trace amount of graphite, identified via Raman spectroscopy analysis. As the sintering temperature increased, the intensity of the graphite Raman peak decreased, compared with both olivine and clinopyroxene peaks. The carbon content of the sintered samples was estimated to be a few hundred ppm. The in-plane size ( L a ) of graphite in the sintered olivine was estimated to be <15 nm. Our experiments demonstrate new possibilities for preparing graphite-bearing silicate-mantle mineral rocks, and this method might be useful in understanding the influence of the physical properties of graphite on grain-size-sensitive rheology or the seismic velocity of the Earth's mantle.[Figure not available: see fulltext.

  17. Impact of mechanical stress on ferroelectricity in (Hf{sub 0.5}Zr{sub 0.5})O{sub 2} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shiraishi, Takahisa; Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577; Katayama, Kiliha

    2016-06-27

    To investigate the impact of mechanical stress on their ferroelectric properties, polycrystalline (Hf{sub 0.5}Zr{sub 0.5})O{sub 2} thin films were deposited on (111)Pt-coated SiO{sub 2}, Si, and CaF{sub 2} substrates with thermal expansion coefficients of 0.47, 4.5, and 22 × 10{sup −6}/ °C, respectively. In-plane X-ray diffraction measurements revealed that the (Hf{sub 0.5}Zr{sub 0.5})O{sub 2} thin films deposited on SiO{sub 2} and Si substrates were under in-plane tensile strain and that their volume fraction of monoclinic phase decreased as this strain increased. In contrast, films deposited on CaF{sub 2} substrates were under in-plane compressive strain, and their volume fraction of monoclinic phasemore » was the largest among the three kinds of substrates. The maximum remanent polarization of 9.3 μC/cm{sup 2} was observed for Pt/(Hf{sub 0.5}Zr{sub 0.5})O{sub 2}/Pt/TiO{sub 2}/SiO{sub 2}, while ferroelectricity was barely observable for Pt/(Hf{sub 0.5}Zr{sub 0.5})O{sub 2}/Pt/TiO{sub 2}/SiO{sub 2}/CaF{sub 2}. This result suggests that the in-plane tensile strain effectively enhanced the ferroelectricity of the (Hf{sub 0.5}Zr{sub 0.5})O{sub 2} thin films.« less

  18. A New Low Temperature Polycrystalline Silicon Thin Film Transistor Pixel Circuit for Active Matrix Organic Light Emitting Diode

    NASA Astrophysics Data System (ADS)

    Ching-Lin Fan,; Yi-Yan Lin,; Jyu-Yu Chang,; Bo-Jhang Sun,; Yan-Wei Liu,

    2010-06-01

    This study presents one novel compensation pixel design and driving method for active matrix organic light-emitting diode (AMOLED) displays that use low-temperature polycrystalline silicon thin-film transistors (LTPS-TFTs) with a voltage feed-back method and the simulation results are proposed and verified by SPICE simulator. The measurement and simulation of LTPS TFT characteristics demonstrate the good fitting result. The proposed circuit consists of four TFTs and two capacitors with an additional signal line. The error rates of OLED anode voltage variation are below 0.3% under the threshold voltage deviation of driving TFT (Δ VTH = ± 0.33 V). The simulation results show that the pixel design can improve the display image non-uniformity by compensating the threshold voltage deviation of driving TFT and the degradation of OLED threshold voltage at the same time.

  19. A New Low Temperature Polycrystalline Silicon Thin Film Transistor Pixel Circuit for Active Matrix Organic Light Emitting Diode

    NASA Astrophysics Data System (ADS)

    Fan, Ching-Lin; Lin, Yi-Yan; Chang, Jyu-Yu; Sun, Bo-Jhang; Liu, Yan-Wei

    2010-06-01

    This study presents one novel compensation pixel design and driving method for active matrix organic light-emitting diode (AMOLED) displays that use low-temperature polycrystalline silicon thin-film transistors (LTPS-TFTs) with a voltage feed-back method and the simulation results are proposed and verified by SPICE simulator. The measurement and simulation of LTPS TFT characteristics demonstrate the good fitting result. The proposed circuit consists of four TFTs and two capacitors with an additional signal line. The error rates of OLED anode voltage variation are below 0.3% under the threshold voltage deviation of driving TFT (ΔVTH = ±0.33 V). The simulation results show that the pixel design can improve the display image non-uniformity by compensating the threshold voltage deviation of driving TFT and the degradation of OLED threshold voltage at the same time.

  20. Near-zero IR transmission of VO2 thin films deposited on Si substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Chunzi; Koughia, Cyril; Li, Yuanshi; Cui, Xiaoyu; Ye, Fan; Shiri, Sheida; Sanayei, Mohsen; Wen, Shi-Jie; Yang, Qiaoqin; Kasap, Safa

    2018-05-01

    Vanadium dioxide (VO2) thin films of different thickness have been deposited on Si substrates by using DC magnetron sputtering. The effects of substrate pre-treatment by means of seeding (spin coating and ultrasonic bathing) and biasing on the structure and optical properties were investigated. Seeding results in a smaller grain size in the oxide film, whereas biasing results in square-textured crystals. VO2 thin films of 150 nm thick show a near-zero IR transmission in switched state. Especially, the 150 nm thick VO2 thin film with seeding treatment shows an enhanced switching efficiency.

  1. Bragg coherent diffractive imaging of single-grain defect dynamics in polycrystalline films

    DOE PAGES

    Yau, Allison; Cha, Wonsuk; Kanan, Matthew W.; ...

    2017-05-19

    Polycrystalline material properties depend on the distribution and interactions of their crystalline grains. In particular, grain boundaries and defects are crucial in determining their response to external stimuli. A long-standing challenge is thus to observe individual grains, defects, and strain dynamics inside functional materials. Here we report a technique capable of revealing grain heterogeneity, including strain fields and individual dislocations, that can be used under operando conditions in reactive environments: grain Bragg coherent diffractive imaging (gBCDI). Using a polycrystalline gold thin film subjected to heating, we show how gBCDI resolves grain boundary and dislocation dynamics in individual grains in three-dimensionalmore » detail with 10-nanometer spatial and subangstrom displacement field resolution. Finally, these results pave the way for understanding polycrystalline material response under external stimuli and, ideally, engineering particular functions.« less

  2. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    NASA Astrophysics Data System (ADS)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  3. Thickness-dependent domain wall reorientation in 70/30 lead magnesium niobate- lead titanate thin films

    DOE PAGES

    Keech, Ryan; Morandi, Carl; Wallace, Margeaux; ...

    2017-04-11

    Continued reduction in length scales associated with many ferroelectric film-based technologies is contingent on retaining the functional properties as the film thickness is reduced. Epitaxial and polycrystalline lead magnesium niobate - lead titanate (70PMN-30PT) thin films were studied over the thickness range of 100-350 nm for the relative contributions to property thickness dependence from interfacial and grain boundary low permittivity layers. Epitaxial PMN-PT films were grown on SrRuO 3 /(001)SrTiO 3, while polycrystalline films with {001}-Lotgering factors >0.96 were grown on Pt/TiO 2/SiO 2/Si substrates via chemical solution deposition. Both film types exhibited similar relative permittivities of ~300 at highmore » fields at all measured thicknesses with highly crystalline electrode/dielectric interfaces. These results, with the DC-biased and temperature dependent dielectric characterization, suggest irreversible domain wall mobility is the major contributor to the overall dielectric response and its thickness dependence. In epitaxial films, the irreversible Rayleigh coefficients reduced 85% upon decreasing thickness from 350 to 100 nm. The temperature at which a peak in the relative permittivity is observed was the only measured small signal quantity which was more thickness dependent in polycrystalline than epitaxial films. This is attributed to the relaxor nature present in the films, potentially stabilized by defect concentrations, and/or chemical inhomogeneity. Finally, the effective interfacial layers are found to contribute to the measured thickness dependence in the longitudinal piezoelectric coefficient.« less

  4. Formation of polycrystalline-silicon films with hemispherical grains for capacitor structures with increased capacitance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Novak, A. V., E-mail: novak-andrei@mail.ru

    2014-12-15

    The effect of formation conditions on the morphology of silicon films with hemispherical grains (HSG-Si) obtained by the method of low-pressure chemical vapor deposition (LPCVD) is investigated by atomic-force microscopy. The formation conditions for HSG-Si films with a large surface area are found. The obtained HSG-Si films make it possible to fabricate capacitor structures, the electric capacitance of which is twice as large in comparison to that of capacitors with “smooth” electrodes from polycrystalline silicon.

  5. Microprocessing of ITO and a-Si thin films using ns laser sources

    NASA Astrophysics Data System (ADS)

    Molpeceres, C.; Lauzurica, S.; Ocaña, J. L.; Gandía, J. J.; Urbina, L.; Cárabe, J.

    2005-06-01

    Selective ablation of thin films for the development of new photovoltaic panels and sensoring devices based on amorphous silicon (a-Si) is an emerging field, in which laser micromachining systems appear as appropriate tools for process development and device fabrication. In particular, a promising application is the development of purely photovoltaic position sensors. Standard p-i-n or Schottky configurations using transparent conductive oxides (TCO), a-Si and metals are especially well suited for these applications, appearing selective laser ablation as an ideal process for controlled material patterning and isolation. In this work a detailed study of laser ablation of a widely used TCO, indium-tin-oxide (ITO), and a-Si thin films of different thicknesses is presented, with special emphasis on the morphological analysis of the generated grooves. Excimer (KrF, λ = 248 nm) and DPSS lasers (λ = 355 and λ = 1064 nm) with nanosecond pulse duration have been used for material patterning. Confocal laser scanning microscopy (CLSM) and scanning electron microscopy (SEM) techniques have been applied for the characterization of the ablated grooves. Additionally, process parametric windows have been determined in order to assess this technology as potentially competitive to standard photolithographic processes. The encouraging results obtained, with well-defined ablation grooves having thicknesses in the order of 10 µm both in ITO and in a-Si, open up the possibility of developing a high-performance double Schottky photovoltaic matrix position sensor.

  6. High electromechanical strain and enhanced temperature characteristics in lead-free (Na,Bi)TiO3-BaTiO3 thin films on Si substrates.

    PubMed

    Tanaka, Yoshiaki; Okamoto, Shoji; Hashimoto, Kazuya; Takayama, Ryoichi; Harigai, Takakiyo; Adachi, Hideaki; Fujii, Eiji

    2018-05-18

    Here, we demonstrate the high electromechanical strain and enhanced temperature characteristics in the c-axis-oriented lead-free (Na,Bi)TiO 3 -BaTiO 3 (NBT-BT) polycrystalline thin film prepared on Si substrates by rf magnetron sputtering. The effective transverse piezoelectric coefficient, e 31 * , estimated from the electromechanical strain measured under high electric field, reaches a high level of -12.5 C/m 2 , and is comparable to those of conventional Pb(Zr,Ti)O 3 films. In-situ X-ray diffraction measurement and electron diffraction analysis revealed the electromechanical strain of the NBT-BT film to originate predominantly in elongation of the tetragonal (P4bm) crystal lattice in the c-axis direction. In addition to the large e 31 * , the NBT-BT film exhibits enhanced permittivity maximum temperature, T m , of ~400 °C and no depolarization below T m , as compared to bulk NBT-BT having T m ≈ 300 °C and a depolarization temperature of ~100 °C. We conclude that the enhancement of temperature characteristics is associated with the distorted P4bm crystal lattice formed by deposition-induced stress and defects. We believe that the present study paves the way for practical applications of lead-free piezoelectric thin films in electromechanical devices.

  7. Superconductivity in ion-beam-mixed layered Au-Si thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jisrawi, N.M.; McLean, W.L.; Stoffel, N.G.

    The superconducting properties of thin films made by mixing alternating layers of Au and Si using ion-beam bombardment correlate with the formation of metastable metallic phases in what is otherwise a simple eutectic system. Transmission-electron-microscopy measurements reveal the superconducting phases to be amorphous. Compound formation and the nature of Au-Si bonding in these metastable phases are demonstrated from x-ray photoelectron spectroscopy and from a previous study of x-ray-absorption spectroscopy. After mixing with a beam of Xe ions, multilayered films with an average nominal composition Au{sub {ital x}}Si{sub 1{minus}{ital x}}, where {ital x}=0.2, 0.4, 0.5, 0.72, and 0.8, exhibited superconducting transitionmore » temperatures in the range 0.2--1.2 K. A double transition feature in the magnetic field dependence of the resistivity is attributed to the formation of more than one metastable metallic phase in the same sample as the ion dose increases.« less

  8. Method for producing silicon thin-film transistors with enhanced forward current drive

    DOEpatents

    Weiner, Kurt H.

    1998-01-01

    A method for fabricating amorphous silicon thin film transistors (TFTs) with a polycrystalline silicon surface channel region for enhanced forward current drive. The method is particularly adapted for producing top-gate silicon TFTs which have the advantages of both amorphous and polycrystalline silicon TFTs, but without problem of leakage current of polycrystalline silicon TFTs. This is accomplished by selectively crystallizing a selected region of the amorphous silicon, using a pulsed excimer laser, to create a thin polycrystalline silicon layer at the silicon/gate-insulator surface. The thus created polysilicon layer has an increased mobility compared to the amorphous silicon during forward device operation so that increased drive currents are achieved. In reverse operation the polysilicon layer is relatively thin compared to the amorphous silicon, so that the transistor exhibits the low leakage currents inherent to amorphous silicon. A device made by this method can be used, for example, as a pixel switch in an active-matrix liquid crystal display to improve display refresh rates.

  9. Atomistic simulation of Al-graphene thin film growth on polycrystalline Al substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Lan; Zhu, Yongchao; Li, Na; Rong, Yan; Xia, Huimin; Ma, Huizhong

    2018-03-01

    The growth of Al-Graphene composite coatings on polycrystalline Al substrate was investigated by using classical molecular dynamics (MD) simulations. Unlike the diffusion behaviors on single crystal surface, most of adatoms were easily bound by the steps on polycrystalline Al surface, owing to the local accelerated energy. Both Ehrlich-Schwoebel (ES) barriers and the steering effect backed up the volmer-weber growth mode, which was consistent with the dynamic growth process observed in the deposit. The morphology of composite coatings was significantly affected by graphene flakes. Enrichment of graphene flakes gave rise to an increase of the local thickness, and graphene flakes only existed in Al grain boundaries. The size of Al grains in the composite coating visibly decreased when compared with that in the pure Al coating. This grain refinement and the mechanical property can be reinforced by the increase of graphene flakes.

  10. Role of SiNx Barrier Layer on the Performances of Polyimide Ga2O3-doped ZnO p-i-n Hydrogenated Amorphous Silicon Thin Film Solar Cells

    PubMed Central

    Wang, Fang-Hsing; Kuo, Hsin-Hui; Yang, Cheng-Fu; Liu, Min-Chu

    2014-01-01

    In this study, silicon nitride (SiNx) thin films were deposited on polyimide (PI) substrates as barrier layers by a plasma enhanced chemical vapor deposition (PECVD) system. The gallium-doped zinc oxide (GZO) thin films were deposited on PI and SiNx/PI substrates at room temperature (RT), 100 and 200 °C by radio frequency (RF) magnetron sputtering. The thicknesses of the GZO and SiNx thin films were controlled at around 160 ± 12 nm and 150 ± 10 nm, respectively. The optimal deposition parameters for the SiNx thin films were a working pressure of 800 × 10−3 Torr, a deposition power of 20 W, a deposition temperature of 200 °C, and gas flowing rates of SiH4 = 20 sccm and NH3 = 210 sccm, respectively. For the GZO/PI and GZO-SiNx/PI structures we had found that the GZO thin films deposited at 100 and 200 °C had higher crystallinity, higher electron mobility, larger carrier concentration, smaller resistivity, and higher optical transmittance ratio. For that, the GZO thin films deposited at 100 and 200 °C on PI and SiNx/PI substrates with thickness of ~000 nm were used to fabricate p-i-n hydrogenated amorphous silicon (α-Si) thin film solar cells. 0.5% HCl solution was used to etch the surfaces of the GZO/PI and GZO-SiNx/PI substrates. Finally, PECVD system was used to deposit α-Si thin film onto the etched surfaces of the GZO/PI and GZO-SiNx/PI substrates to fabricate α-Si thin film solar cells, and the solar cells’ properties were also investigated. We had found that substrates to get the optimally solar cells’ efficiency were 200 °C-deposited GZO-SiNx/PI. PMID:28788494

  11. Positive Bias Instability of Bottom-Gate Zinc Oxide Thin-Film Transistors with a SiOx/SiNx-Stacked Gate Insulator

    NASA Astrophysics Data System (ADS)

    Furuta, Mamoru; Kamada, Yudai; Hiramatsu, Takahiro; Li, Chaoyang; Kimura, Mutsumi; Fujita, Shizuo; Hirao, Takashi

    2011-03-01

    The positive bias instabilities of the zinc oxide thin-film transistors (ZnO TFTs) with a SiOx/SiNx-stacked gate insulator have been investigated. The film quality of a gate insulator of SiOx, which forms an interface with the ZnO channel, was varied by changing the gas mixture ratio of SiH4/N2O/N2 during plasma-enhanced chemical vapor deposition. The positive bias stress endurance of ZnO TFT strongly depended on the deposition condition of the SiOx gate insulator. From the relaxations of the transfer curve shift after imposition of positive bias stress, transfer curves could not be recovered completely without any thermal annealing. A charge trapping in a gate insulator rather than that in bulk ZnO and its interface with a gate insulator is a dominant instability mechanism of ZnO TFTs under positive bias stress.

  12. Scaling behavior of moisture-induced grain degradation in polycrystalline hybrid perovskite thin films

    DOE PAGES

    Wang, Qi; Chen, Bo; Liu, Ye; ...

    2017-01-01

    The stability of perovskite solar cells has shown a huge variation with respect to the film process and film morphology, while the underlining mechanism for the morphology-dependent degradation of the perovskite film has remained elusive. Herein, we report a scaling behavior of moisture-induced grain degradation in polycrystalline CH 3NH 3PbI 3 films. The degradation rates of CH 3NH 3PbI 3 films in moisture were shown to be sensitive to the grain sizes. The duration that was needed for different films to degrade by the same percent showed a linear relationship with the grain size, despite the fact that the filmsmore » were formed by five different deposition methods. This scaling behavior can be explained by the degradation along the in-plane direction, which is initiated at the grain boundary (GB). The GBs of CH 3NH 3PbI 3 films consist of an amorphous intergranular layer, which allows quick diffusion of moisture into the perovskite films. It was found that thermal annealing induced surface self-passivation plays a critical role in stabilizing the surfaces of thin films and single crystals by reducing the moisture-sensitive methylammonium ions at the surface. Finally, the determination of the scaling behavior of grain degradation highlights the importance of stabilizing the GBs to improve the stability of perovskite solar cells.« less

  13. Scaling behavior of moisture-induced grain degradation in polycrystalline hybrid perovskite thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Qi; Chen, Bo; Liu, Ye

    The stability of perovskite solar cells has shown a huge variation with respect to the film process and film morphology, while the underlining mechanism for the morphology-dependent degradation of the perovskite film has remained elusive. Herein, we report a scaling behavior of moisture-induced grain degradation in polycrystalline CH 3NH 3PbI 3 films. The degradation rates of CH 3NH 3PbI 3 films in moisture were shown to be sensitive to the grain sizes. The duration that was needed for different films to degrade by the same percent showed a linear relationship with the grain size, despite the fact that the filmsmore » were formed by five different deposition methods. This scaling behavior can be explained by the degradation along the in-plane direction, which is initiated at the grain boundary (GB). The GBs of CH 3NH 3PbI 3 films consist of an amorphous intergranular layer, which allows quick diffusion of moisture into the perovskite films. It was found that thermal annealing induced surface self-passivation plays a critical role in stabilizing the surfaces of thin films and single crystals by reducing the moisture-sensitive methylammonium ions at the surface. Finally, the determination of the scaling behavior of grain degradation highlights the importance of stabilizing the GBs to improve the stability of perovskite solar cells.« less

  14. The electrical, elemental, optical, and surface properties of Si-doped ZnO thin films prepared by thermionic vacuum arc

    NASA Astrophysics Data System (ADS)

    Mohammadigharehbagh, Reza; Özen, Soner; Yudar, Hafizittin Hakan; Pat, Suat; Korkmaz, Şadan

    2017-09-01

    The purpose of this work is to study the properties of Si-doped ZnO (SZO) thin films, which were prepared using the non-reactive thermionic vacuum arc technique. The analysis of the elemental, optical, and surface properties of ZnO:Si thin films was carried out using energy dispersive x-ray spectroscopy, UV-VIS spectrophotometry, atomic force microscopy, and scanning electron microscopy, respectively. The current-voltage measurement was employed in order to study the electrical properties of the films. The effect of Si doping on the physical properties of ZnO films was investigated. The film thicknesses were measured as 55 and 35 nm for glass and PET substrates, respectively. It was clearly observed from the x-ray diffraction results that the Si and ZnO peaks were present in the coated SZO films for all samples. The morphological studies showed that the deposited surfaces are homogenous, dense, and have a uniform surface, with the existence of some cracks only on the glass substrate. The elemental composition has confirmed the existence of Zn, Si, and O elements within the prepared films. Using a UV-VIS spectrophotometer, the optical parameters such as transmittance, absorbance, refractive index, and reflectance were calculated. It should be noted that the transparency and refractive indices obtained from the measurements decrease with increasing Si concentration. The obtained optical bandgap values using transmittance spectra were determined to be 3.74 and 3.84 eV for the glass and PET substrates, respectively. An increase in the bandgap results demonstrates that the Si doping concentration is comparable to the pure ZnO thin films. The current versus voltage curves revealed the ohmic nature of the films. Subsequently, the development and fabrication of excellent transparent conducting electrodes enabled the appropriate use of Si-doped ZnO thin films.

  15. Theoretical investigation of the noise performance of active pixel imaging arrays based on polycrystalline silicon thin film transistors.

    PubMed

    Koniczek, Martin; Antonuk, Larry E; El-Mohri, Youcef; Liang, Albert K; Zhao, Qihua

    2017-07-01

    Active matrix flat-panel imagers, which typically incorporate a pixelated array with one a-Si:H thin-film transistor (TFT) per pixel, have become ubiquitous by virtue of many advantages, including large monolithic construction, radiation tolerance, and high DQE. However, at low exposures such as those encountered in fluoroscopy, digital breast tomosynthesis and breast computed tomography, DQE is degraded due to the modest average signal generated per interacting x-ray relative to electronic additive noise levels of ~1000 e, or greater. A promising strategy for overcoming this limitation is to introduce an amplifier into each pixel, referred to as the active pixel (AP) concept. Such circuits provide in-pixel amplification prior to readout as well as facilitate correlated multiple sampling, enhancing signal-to-noise and restoring DQE at low exposures. In this study, a methodology for theoretically investigating the signal and noise performance of imaging array designs is introduced and applied to the case of AP circuits based on low-temperature polycrystalline silicon (poly-Si), a semiconductor suited to manufacture of large area, radiation tolerant arrays. Computer simulations employing an analog circuit simulator and performed in the temporal domain were used to investigate signal characteristics and major sources of electronic additive noise for various pixel amplifier designs. The noise sources include photodiode shot noise and resistor thermal noise, as well as TFT thermal and flicker noise. TFT signal behavior and flicker noise were parameterized from fits to measurements performed on individual poly-Si test TFTs. The performance of three single-stage and three two-stage pixel amplifier designs were investigated under conditions relevant to fluoroscopy. The study assumes a 20 × 20 cm 2 , 150 μm pitch array operated at 30 fps and coupled to a CsI:Tl x-ray converter. Noise simulations were performed as a function of operating conditions, including

  16. Uniaxially oriented polycrystalline thin films and air-stable n-type transistors based on donor-acceptor semiconductor (diC8BTBT)(FnTCNQ) [n = 0, 2, 4

    NASA Astrophysics Data System (ADS)

    Shibata, Yosei; Tsutsumi, Jun'ya; Matsuoka, Satoshi; Matsubara, Koji; Yoshida, Yuji; Chikamatsu, Masayuki; Hasegawa, Tatsuo

    2015-04-01

    We report the fabrication of high quality thin films for semiconducting organic donor-acceptor charge-transfer (CT) compounds, (diC8BTBT)(FnTCNQ) (diC8BTBT = 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene and FnTCNQ [n = 0,2,4] = fluorinated derivatives of 7,7,8,8,-tetracyanoquinodimethane), which have a high degree of layered crystallinity. Single-phase and uniaxially oriented polycrystalline thin films of the compounds were obtained by co-evaporation of the component donor and acceptor molecules. Organic thin-film transistors (OTFTs) fabricated with the compound films exhibited n-type field-effect characteristics, showing a mobility of 6.9 × 10-2 cm2/V s, an on/off ratio of 106, a sub-threshold swing of 0.8 V/dec, and an excellent stability in air. We discuss the suitability of strong intermolecular donor-acceptor interaction and the narrow CT gap nature in compounds for stable n-type OTFT operation.

  17. "Un-annealed and Annealed Pd Ultra-Thin Film on SiC Characterized by Scanning Probe Microscopy and X-ray Photoelectron Spectroscopy"

    NASA Technical Reports Server (NTRS)

    Lu, W. J.; Shi, D. T.; Elshot, K.; Bryant, E.; Lafate, K.; Chen, H.; Burger, A.; Collins, W. E.

    1998-01-01

    Pd/SiC has been used as a hydrogen and a hydrocarbon gas sensor operated at high temperature. UHV (Ultra High Vacuum)-Scanning Tunneling Microscopy (STM), Atomic Force Microscopy (AFM) and X-ray Photoelectron Spectroscopy (XPS) techniques were applied to study the relationship between the morphology and chemical compositions for Pd ultra-thin films on SiC (less than 30 angstroms) at different annealing temperatures. Pd ultra-thin film on 6H-SiC was prepared by the RF sputtering method. The morphology from UHV-STM and AFM shows that the Pd thin film was well deposited on SiC substrate, and the Pd was partially aggregated to round shaped participates at an annealing temperature of 300 C. At 400 C, the amount of surface participates decreases, and some strap shape participates appear. From XPS, Pd2Si was formed on the surface after annealing at 300 C, and all Pd reacted with SiC to form Pd2Si after annealing at 400 C. The intensity of the XPS Pd peak decreases enormously at 400 C. The Pd film diffused into SiC, and the Schottky barrier height has almost no changes. The work shows the Pd sicilides/SiC have the same electronic properties with Pd/SiC, and explains why the Pd/SiC sensor still responds to hydrogen at high operating temperatures.

  18. Interfacial varactor characteristics of ferroelectric thin films on high-resistivity Si substrate

    NASA Astrophysics Data System (ADS)

    Lan, Wen-An; Wang, Tsan-Chun; Huang, Ling-Hui; Wu, Tai-Bor

    2006-07-01

    Ferroelectric Ba(Zr0.25Ti0.75)O3 (BZT) thin films were deposited on high-resistivity Si substrate without or with inserting a high-k buffer layer of Ta2O5. The varactor characteristics of the BZT capacitors in metal-oxide-semiconductor structure were studied. At low frequency (1MHz ), the capacitors exhibit a negatively tunable characteristic, i.e., [C(V)-C(0)]/C(0)<0, against dc bias V, but opposite tunable characteristics were found at microwave frequencies (>1GHz). The change of voltage-dependent characteristic is attributed to the effect of low-resistivity interface induced by charged defects formed from interfacial oxidation of Si in screening the microwave from penetrating into the bulk of Si.

  19. Effects of Mev Si Ions and Thermal Annealing on Thermoelectric and Optical Properties of SiO2/SiO2+Ge Multi-nanolayer thin Films

    NASA Astrophysics Data System (ADS)

    Budak, S.; Alim, M. A.; Bhattacharjee, S.; Muntele, C.

    Thermoelectric generator devices have been prepared from 200 alternating layers of SiO2/SiO2+Ge superlattice films using DC/RF magnetron sputtering. The 5 MeV Si ionsbombardmenthasbeen performed using the AAMU Pelletron ion beam accelerator to formquantum dots and / or quantum clusters in the multi-layer superlattice thin films to decrease the cross-plane thermal conductivity, increase the cross-plane Seebeck coefficient and increase the cross-plane electrical conductivity to increase the figure of merit, ZT. The fabricated devices have been annealed at the different temperatures to tailor the thermoelectric and optical properties of the superlattice thin film systems. While the temperature increased, the Seebeck coefficient continued to increase and reached the maximum value of -25 μV/K at the fluenceof 5x1013 ions/cm2. The decrease in resistivity has been seen between the fluence of 1x1013 ions/cm2 and 5x1013 ions/cm2. Transport properties like Hall coefficient, density and mobility did not change at all fluences. Impedance spectroscopy has been used to characterize the multi-junction thermoelectric devices. The loci obtained in the C*-plane for these data indicate non-Debye type relaxation displaying the presence of the depression parameter.

  20. An inert marker study for palladium silicide formation - Si moves in polycrystalline Pd2Si

    NASA Technical Reports Server (NTRS)

    Ho, K. T.; Lien, C.-D.; Shreter, U.; Nicolet, M.-A.

    1985-01-01

    A novel use of Ti marker is introduced to investigate the moving species during Pd2Si formation on 111 and 100 line-type Si substrates. Silicide formed from amorphous Si is also studied using a W marker. Although these markers are observed to alter the silicide formation in the initial stage, the moving species can be identified once a normal growth rate is resumed. It is found that Si is the dominant moving species for all three types of Si crystallinity. However, Pd will participate in mass transport when Si motion becomes obstructed.

  1. Fundamental properties of a new samarium compound SmPtSi2

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Shuto; Takahashi, Eisuke; Kase, Naoki; Nakano, Tomohito; Takeda, Naoya; Matsubayashi, Kazuyuki; Uwatoko, Yoshiya

    2018-05-01

    We have discovered a new orthorhombic ternary compound SmPtSi2. We succeeded in growing a single crystal of SmPtSi2; prepared a polycrystalline sample of this compound, and measured their susceptibility, specific heat, and resistivity. The temperature dependence of susceptibility of the polycrystalline sample is close to that of Sm3+ at high temperatures, and its specific heat shows anomalies at TH = 8.6 K and TL = 5.6 K. The resistivity of a single crystal of SmPtSi2 shows a hump-type anomaly just below TH and a sudden decrease at TL, indicating that these anomalies are intrinsic and that SmPtSi2 exhibits a two-step transition.

  2. Thermoelectric Properties of Nanograined Si-Ge-Au Thin Films Grown by Molecular Beam Deposition

    NASA Astrophysics Data System (ADS)

    Nishino, Shunsuke; Ekino, Satoshi; Inukai, Manabu; Omprakash, Muthusamy; Adachi, Masahiro; Kiyama, Makoto; Yamamoto, Yoshiyuki; Takeuchi, Tsunehiro

    2018-06-01

    Conditions to achieve extremely large Seebeck coefficient and extremely small thermal conductivity in Si-Ge-Au thin films formed of nanosized grains precipitated in amorphous matrix have been investigated. We employed molecular beam deposition to prepare Si1- x Ge x Au y thin films on sapphire substrate. The deposited films were annealed under nitrogen gas atmosphere at 300°C to 500°C for 15 min to 30 min. Nanocrystals dispersed in amorphous matrix were clearly observed by transmission electron microscopy. We did not observe anomalously large Seebeck coefficient, but very low thermal conductivity of nearly 1.0 W K-1 m-1 was found at around 0.2 < x < 0.6. The compositional dependence of the thermal conductivity was well accounted for by the compositional dependence of the mixing entropy. Some of these values agree exactly with the amorphous limit predicted by theoretical calculations. The smallest lattice thermal conductivity found for the present samples is lower than that of nanostructured Si-Ge bulk material for which dimensionless figure of merit of ZT ≈ 1 was reported at high temperature.

  3. Y1Ba2Cu3O(6+delta) growth on thin Y-enhanced SiO2 buffer layers on silicon

    NASA Technical Reports Server (NTRS)

    Robin, T.; Mesarwi, A.; Wu, N. J.; Fan, W. C.; Espoir, L.; Ignatiev, A.; Sega, R.

    1991-01-01

    SiO2 buffer layers as thin as 2 nm have been developed for use in the growth of Y1Ba2Cu3O(6+delta) thin films on silicon substrates. The SiO2 layers are formed through Y enhancement of silicon oxidation, and are highly stoichiometric. Y1Ba2Cu3O(6+delta) film growth on silicon with thin buffer layers has shown c orientation and Tc0 = 78 K.

  4. Thin-film formation of Si clathrates on Si wafers

    NASA Astrophysics Data System (ADS)

    Ohashi, Fumitaka; Iwai, Yoshiki; Noguchi, Akihiro; Sugiyama, Tomoya; Hattori, Masashi; Ogura, Takuya; Himeno, Roto; Kume, Tetsuji; Ban, Takayuki; Nonomura, Shuichi

    2014-04-01

    In this study, we prepared Si clathrate films (Na8Si46 and NaxSi136) using a single-crystalline Si substrate. Highly oriented film growth of Zintl-phase sodium silicide, which is a precursor of Si clathrate, was achieved by exposing Na vapour to Si substrates under an Ar atmosphere. Subsequent heat treatment of the NaSi film at 400 °C (3 h) under vacuum (<10-2 Pa) resulted in a film of Si clathrates having a thickness of several micrometres. Furthermore, this technique enabled the selective growth of Na8Si46 and NaxSi136 using the appropriate crystalline orientation of Si substrates.

  5. Atomic-scale analysis of deposition and characterization of a-Si:H thin films grown from SiH radical precursor

    NASA Astrophysics Data System (ADS)

    Sriraman, Saravanapriyan; Aydil, Eray S.; Maroudas, Dimitrios

    2002-07-01

    Growth of hydrogenated amorphous silicon films (a-Si:H) on an initial H-terminated Si(001)(2 x1) substrate at T=500 K was studied through molecular-dynamics (MD) simulations of repeated impingement of SiH radicals to elucidate the effects of reactive minority species on the structural quality of the deposited films. The important reactions contributing to film growth were identified through detailed visualization of radical-surface interaction trajectories. These reactions include (i) insertion of SiH into Si-Si bonds, (ii) adsorption onto surface dangling bonds, (iii) surface H abstraction by impinging SiH radicals through an Eley-Rideal mechanism, (iv) surface adsorption by penetration into subsurface layers or dissociation leading to interstitial atomic hydrogen, (v) desorption of interstitial hydrogen into the gas phase, (vi) formation of higher surface hydrides through the exchange of hydrogen, and (vii) dangling-bond-mediated dissociation of surface hydrides into monohydrides. The MD simulations of a-Si:H film growth predict an overall surface reaction probability of 95% for the SiH radical that is in good agreement with experimental measurements. Structural and chemical characterization of the deposited films was based on the detailed analysis of evolution of the films' structure, surface morphology and roughness, surface reactivity, and surface composition. The analysis revealed that the deposited films exhibit high dangling bond densities and rough surface morphologies. In addition, the films are abundant in voids and columnar structures that are detrimental to producing device-quality a-Si:H thin films.

  6. Thermal phase separation of ZrSiO4 thin films and frequency- dependent electrical characteristics of the Al/ZrSiO4/p-Si/Al MOS capacitors

    NASA Astrophysics Data System (ADS)

    Lok, R.; Kaya, S.; Yilmaz, E.

    2018-05-01

    In this work, the thermal phase separation and annealing optimization of ZrSiO4 thin films have been carried out. Following annealing optimization, the frequency-dependent electrical characteristics of the Al/ZrSiO4/p-Si/Al MOS capacitors were investigated in detail. The chemical evolution of the films under various annealing temperatures was determined by Fourier transform infrared spectroscopy (FTIR) measurements. The phase separation was determined by x-ray diffraction (XRD) measurements. The electrical parameters were determined via the capacitance–voltage (C–V), conductance–voltage (G/ω) and leakage-current–voltage (Ig–Vg ). The results demonstrate that zirconium silicate formations are present at 1000 °C annealing with the SiO2 interfacial layer. The film was in amorphous form after annealing at 250 °C. The tetragonal phases of ZrO2 were obtained after annealing at 500 °C. When the temperature approaches 750 °C, transitions from the tetragonal phase to the monoclinic phase were observed. The obtained XRD peaks after 1000 °C annealing matched the crystalline peaks of ZrSiO4. This means that the crystalline zirconium dioxide in the structure has been converted into a crystalline silicate phase. The interface states increased to 5.71 × 1010 and the number of border traps decreased to 7.18 × 1010 cm‑2 with the increasing temperature. These results indicate that an excellent ZrSiO4/Si interface has been fabricated. The order of the leakage current varied from 10‑9 Acm‑2 to 10‑6 Acm‑2. The MOS capacitor fabricated with the films annealed at 1000 °C shows better behavior in terms of its structural, chemical and electrical properties. Hence, detailed frequency-dependent electrical characteristics were performed for the ZrSiO4 thin film annealed at 1000 °C. Very slight capacitance variations were observed under the frequency variations. This shows that the density of frequency-dependent charges is very low at the ZrSiO4/Si interface. The

  7. LPCVD homoepitaxy of Si doped β-Ga2O3 thin films on (010) and (001) substrates

    NASA Astrophysics Data System (ADS)

    Rafique, Subrina; Karim, Md Rezaul; Johnson, Jared M.; Hwang, Jinwoo; Zhao, Hongping

    2018-01-01

    This paper presents the homoepitaxy of Si-doped β-Ga2O3 thin films on semi-insulating (010) and (001) Ga2O3 substrates via low pressure chemical vapor deposition with a growth rate of ≥1 μm/h. Both high resolution scanning transmission electron microscopy and X-ray diffraction measurements demonstrated high crystalline quality homoepitaxial growth of these thin films. Atomic resolution STEM images of the as-grown β-Ga2O3 thin films on (010) and (001) substrates show high quality material without extended defects or dislocations. The charge carrier transport properties of the as-grown Si-doped β-Ga2O3 thin films were characterized by the temperature dependent Hall measurement using van der Pauw patterns. The room temperature carrier concentrations achieved for the (010) and (001) homoepitaxial thin films were ˜1.2 × 1018 cm-3 and ˜9.5 × 1017 cm-3 with mobilities of ˜72 cm2/V s and ˜42 cm2/V s, respectively.

  8. Criteria for improved open-circuit voltage in a-Si :H(N)/c-Si(P) front heterojunction with intrinsic thin layer solar cells

    NASA Astrophysics Data System (ADS)

    Nath, Madhumita; Chatterjee, P.; Damon-Lacoste, J.; Roca i Cabarrocas, P.

    2008-02-01

    Hydrog enated amorphous/crystalline silicon "heterojunction with intrinsic thin layer (HIT)" solar cells have gained popularity after it was demonstrated by Sanyo that they can achieve stable conversion efficiencies, as high as crystalline silicon (c-Si) cells, but where the cost may be reduced with the help of amorphous silicon (a-Si:H) low temperature deposition technology. In this article, we study N-a-Si :H/P-c-Si front HIT structures, where light enters through the N-a-Si :H layer. The aim is to examine ways of improving the open-circuit voltage, using computer modeling in conjunction with experiments. We also assess under which conditions such improvements in Voc actually occur. Modeling indicates that for a density of states Nss⩾1013cm-2 on the surface of the P-c-Si wafer facing the emitter layer, Voc is entirely limited by this parameter and is lower than 0.5V. We also learn that it is possible to increase the Voc to ˜0.73V by reducing this defect density to ˜1010cm-2, by reducing the surface recombination speed of the electrons at the back P-c-Si/aluminum contact (SnL), and by improving the lifetime of the carriers (τ ) in the P-c-Si wafer to ˜5ms. Modeling further indicates that when τ ⩽0.1ms, the sensitivity of Voc to SnL vanishes, as very few back-diffusing electrons can reach the back contact. Improvements in Voc by decreasing both the defect density on the surface of the P-c-Si wafer facing the emitter layer and SnL have been achieved in practice by (a) improved passivation thanks to a thin intrinsic polymorphous silicon layer deposited on the c-Si wafer (instead of a-Si :H) and (b) using localized aluminum and back surface field layers to attain a lower SnL. Experimentally, a Voc of 0.675V has already been attained. Simulations indicate that the lifetime of carriers inside the P-c-Si wafer of these cells is ˜366μs and needs to be improved to achieve a higher Voc.

  9. Water Sorption in Electron-Beam Evaporated SiO2 on QCM Crystals and Its Influence on Polymer Thin Film Hydration Measurements.

    PubMed

    Kushner, Douglas I; Hickner, Michael A

    2017-05-30

    Spectroscopic ellipsometry (SE) and quartz crystal microbalance (QCM) measurements are two critical characterization techniques routinely employed for hydration studies of polymer thin films. Water uptake by thin polymer films is an important area of study to investigate antifouling surfaces, to probe the swelling of thin water-containing ionomer films, and to conduct fundamental studies of polymer brush hydration and swelling. SiO 2 -coated QCM crystals, employed as substrates in many of these hydration studies, show porosity in the thin electron-beam (e-beam) evaporated SiO 2 layer. The water sorption into this porous SiO 2 layer requires correction of the optical and mass characterization of the hydrated polymer due to changes in the SiO 2 layer as it sorbs water. This correction is especially important when experiments on SiO 2 -coated QCM crystals are compared to measurements on Si wafers with dense native SiO 2 layers. Water adsorption filling void space during hydration in ∼200-260 nm thick SiO 2 layers deposited on a QCM crystal resulted in increased refractive index of the layer during water uptake experiments. The increased refractive index led to artificially higher polymer swelling in the optical modeling of the hydration experiments. The SiO 2 -coated QCM crystals showed between 6 and 8% void as measured by QCM and SE, accounting for 60%-85% of the measured polymer swelling in the low humidity regime (<20% RH) and 25%-40% of the polymer swelling in the high humidity regime (>70% RH) from optical modeling for 105 and 47 nm thick sulfonated polymer films. Correcting the refractive index of the SiO 2 layer for its water content resulted in polymer swelling that successfully resembled swelling measured on a silicon wafer with nonporous native oxide.

  10. Imaging the Hydrogen Absorption Dynamics of Individual Grains in Polycrystalline Palladium Thin Films in 3D

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yau, Allison; Harder, Ross J.; Kanan, Matthew W.

    Defects such as dislocations and grain boundaries often control the properties of polycrystalline materials. In nanocrystalline materials, investigating this structure-function relationship while preserving the sample remains challenging because of the short length scales and buried interfaces involved. Here we use Bragg coherent diffractive imaging to investigate the role of structural inhomogeneity on the hydriding phase transformation dynamics of individual Pd grains in polycrystalline films in three-dimensional detail. In contrast to previous reports on single- and polycrystalline nanoparticles, we observe no evidence of a hydrogen-rich surface layer and consequently no size dependence in the hydriding phase transformation pressure over a 125-325more » nm size range. We do observe interesting grain boundary dynamics, including reversible rotations of grain lattices while the material remains in the hydrogen-poor phase. The mobility of the grain boundaries, combined with the lack of a hydrogen-rich surface layer, suggests that the grain boundaries are acting as fast diffusion sites for the hydrogen atoms. Such hydrogen-enhanced plasticity in the hydrogen poor phase provides insight into the switch from the size-dependent behavior of single-crystal nanoparticles to the lower transformation pressures of polycrystalline materials and may play a role in hydrogen embrittlement.« less

  11. Electron microscopy study of Ni induced crystallization in amorphous Si thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Radnóczi, G. Z.; Battistig, G.; Pécz, B., E-mail: pecz.bela@ttk.mta.hu

    2015-02-17

    The crystallization of amorphous silicon is studied by transmission electron microscopy. The effect of Ni on the crystallization is studied in a wide temperature range heating thinned samples in-situ inside the microscope. Two cases of limited Ni source and unlimited Ni source are studied and compared. NiSi{sub 2} phase started to form at a temperature as low as 250°C in the limited Ni source case. In-situ observation gives a clear view on the crystallization of silicon through small NiSi{sub 2} grain formation. The same phase is observed at the crystallization front in the unlimited Ni source case, where a secondmore » region is also observed with large grains of Ni{sub 3}Si{sub 2}. Low temperature experiments show, that long annealing of amorphous silicon at 410 °C already results in large crystallized Si regions due to the Ni induced crystallization.« less

  12. STM study of the Ga thin films grown on Si(111) surface

    NASA Astrophysics Data System (ADS)

    Tao, Min-Long; Tu, Yu-Bing; Sun, Kai; Ye, Juan; Hao, Shao-Jie; Xiao, Hua-Fang; Wang, Ya-Li; Xie, Zheng-Bo; Wang, Jun-Zhong

    2017-09-01

    Structural evolution of Ga thin films grown on the Si(111)-√{ 3 } × √{ 3 } -Ga template have been investigated with a low-temperature scanning tunneling microscopy (STM). The first Ga layer exhibits a stripe structure along the base vectors of Si(111) lattices. Individual Ga dimers have been directly visualized from the high-resolution STM images of the first Ga layer. The second Ga layer reveals a pseudo 1×1 structure with respect to the Si(111). A new 5×5 phase has been found in the second Ga layer when annealing the sample to 120 ℃. Further annealing to 150 ℃ leads to the formation of 6.3×6.3 phase, which is more stable than the 5×5 phase. The existences of a variety of superstructures of Ga films demonstrates the delicate balance between the interactions of Si(111)-Ga and Ga-Ga. These results shed important light on the epitaxial growth mechanism of Ga films on semiconductor surfaces.

  13. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    PubMed Central

    Yu, Jung-Hoon; Nam, Sang-Hun; Lee, Ji Won; Boo, Jin-Hyo

    2016-01-01

    This paper presents the preparation of high-quality vanadium dioxide (VO2) thermochromic thin films with enhanced visible transmittance (Tvis) via radio frequency (RF) sputtering and plasma enhanced chemical vapor deposition (PECVD). VO2 thin films with high Tvis and excellent optical switching efficiency (Eos) were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc) of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58%) compared with the pristine samples (λ 650 nm, 43%). This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications. PMID:28773679

  14. Method for producing silicon thin-film transistors with enhanced forward current drive

    DOEpatents

    Weiner, K.H.

    1998-06-30

    A method is disclosed for fabricating amorphous silicon thin film transistors (TFTs) with a polycrystalline silicon surface channel region for enhanced forward current drive. The method is particularly adapted for producing top-gate silicon TFTs which have the advantages of both amorphous and polycrystalline silicon TFTs, but without problem of leakage current of polycrystalline silicon TFTs. This is accomplished by selectively crystallizing a selected region of the amorphous silicon, using a pulsed excimer laser, to create a thin polycrystalline silicon layer at the silicon/gate-insulator surface. The thus created polysilicon layer has an increased mobility compared to the amorphous silicon during forward device operation so that increased drive currents are achieved. In reverse operation the polysilicon layer is relatively thin compared to the amorphous silicon, so that the transistor exhibits the low leakage currents inherent to amorphous silicon. A device made by this method can be used, for example, as a pixel switch in an active-matrix liquid crystal display to improve display refresh rates. 1 fig.

  15. Optical transmission larger than 1 (T>1) through ZnS -SiO2/AgOx/ZnS-SiO2 sandwiched thin films

    NASA Astrophysics Data System (ADS)

    Wei, Jingsong; Xiao, Mufei

    2006-09-01

    Optical transmission through flat media should be smaller than 1. However, we have observed optical transmission up to T =1.18. The samples were ZnS -SiO2/AgOx/ZnS-SiO2 sandwiched thin films on glass substrate. The supertransmission could only be observed in the near field. We attribute the supertransmission to the lateral propagation relayed by the laser activated and decomposed Ag nanoparticles.

  16. Structural Characterization of Sputtered Silicon Thin Films after Rapid Thermal Annealing for Active-Matrix Organic Light Emitting Diode

    NASA Astrophysics Data System (ADS)

    de Dieu Mugiraneza, Jean; Miyahira, Tomoyuki; Sakamoto, Akinori; Chen, Yi; Okada, Tatsuya; Noguchi, Takashi; Itoh, Taketsugu

    2010-12-01

    The microcrystalline phase obtained by adopting a two-step rapid thermal annealing (RTA) process for rf-sputtered silicon films deposited on thermally durable glass was characterized. The optical properties, surface morphology, and internal stress of the annealed Si films are investigated. As the thermally durable glass substrate allows heating of the deposited films at high temperatures, micro-polycrystalline silicon (micro-poly-Si) films of uniform grain size with a smooth surface and a low internal stress could be obtained after annealing at 750 °C. The thermal stress in the Si films was 100 times lower than that found in the films deposited on conventional glass. Uniform grains with an average grain size of 30 nm were observed by transmission electron microscopy (TEM) in the films annealed at 800 °C. These micro-poly-Si films have potential application for fabrication of uniform and reliable thin film transistors (TFTs) for large scale active-matrix organic light emitting diode (AMOLED) displays.

  17. Characterization of deposited CdS thin films by Spray Pyrolysis method and used in Cd/CdS/p-Si/Al structure

    NASA Astrophysics Data System (ADS)

    Özakın, Oǧuzhan; Aktaş, Şeydanur; Güzeldir, Betül; Saǧlam, Mustafa

    2017-04-01

    In our study, as p-type crystalline Si substrate was used. Omic contact was performed by evaporating Al metal on the matt surface of crystal. On the other surface of it CdS thin film were enlarged with the technique of Spray Pyrolysis. Structural characteristics of the grown thin film was examined SEM and EDAX image. When examining SEM image of CdS thin film were totally covered the p-Si crystal surface of it was nearly homogeneous and The EDAX spectra showed that the expected different ratios metal percent exist in the alloys, approximately. On the CdS films whose surface features were investigated, at 10-7 torr pressure was obtained Cd/CdS/p-Si/Al sandwich structure by evaporating Cd. Firstly, the I-V (current-voltage) characteristics on 80K between 320K at room temperature of this structure was measured. I-V characteristics of the examined at parameters diodes calculation, Thermionic Emission, were used. The characteristic parameters such as barrier height and ideality factor of this structure have been calculated from the forward bias I-V characteristics. Consequently, it was seen that CdS thin film grown on p-Si semiconductor will be used confidently in Cd/p-Si metal-semiconductor contacts thanks to Spray Pyrolysis method.

  18. Impedance study of undoped, polycrystalline diamond layers obtained by HF CVD

    NASA Astrophysics Data System (ADS)

    Paprocki, Kazimierz; Fabisiak, Kazimerz; Dychalska, Anna; Szybowicz, Mirosław; Dudkowiak, Alina; Iskaliyeva, Aizhan

    2017-04-01

    In this paper, we report results of impedance measurements in polycrystalline diamond films deposited on n-Si using HF CVD method. The temperature was changed from 170 K up to RT and the scan frequency from 42 Hz to 5 MHz. The results of impedance measurement of the real and imaginary parts were presented in the form of a Cole-Cole plot in the complex plane. In the temperatures below RT, the observed impedance response of polycrystalline diamond was in the form of a single semicircular form. In order to interpret the observed response, a double resistor-capacitor parallel circuit model was used which allow for interpretation physical mechanisms responsible for such behavior. The impedance results were correlated with Raman spectroscopy measurements.

  19. Superlattice Multinanolayered Thin Films of SiO2/SiO2 + Ge for Thermoelectric Device Applications

    DTIC Science & Technology

    2013-04-05

    radioiso- tope sources in the past. In a space nuclear reactor system, the energy source is the heat generated by the controlled fission of uranium ...to the nanodots and/or nanocluster formations in the multilayered thin films. This is one of the expected results of the ion beam bombardments on...very large (150 W m 1 K 1 for Si and 63 W m 1 K 1 for Ge). The lattice thermal conductivity can be substantially reduced by alloy formation between

  20. Radiation hardness studies of CdTe thin films for clinical high-energy photon beam detectors

    NASA Astrophysics Data System (ADS)

    Shvydka, Diana; Parsai, E. I.; Kang, J.

    2008-02-01

    In radiation oncology applications, the need for higher-quality images has been driven by recent advances in radiation delivery systems that require online imaging. The existing electronic imaging devices commonly used to acquire portal images implement amorphous silicon (a-Si) detector, which exhibits poor image quality. Efforts for improvement have mostly been in the areas of noise and scatter reduction through software. This has not been successful due to inherent shortcomings of a-Si material. Cadmium telluride (CdTe) semiconductor has long been recognized as highly suitable for use in X-ray detectors in both spectroscopic and imaging applications. Development of such systems has mostly concentrated on single crystal CdTe. Recent advances in thin-film deposition technology suggest replacement of crystalline material with its polycrystalline counterpart, offering ease of large-area device fabrication and achievement of higher resolution as well as a favorable cost difference. While bulk CdTe material was found to have superior radiation hardness, thin films have not been evaluated from that prospective, in particular under high-energy photon beam typical of radiation treatment applications. We assess the performance of thin-film CdTe devices utilizing 6 MeV photon beam and find no consistent trend for material degradation under doses far exceeding the typical radiation therapy detector lifetime dose.

  1. Acoustoelectric current saturation in c-axis fiber-textured polycrystalline zinc oxide films

    NASA Astrophysics Data System (ADS)

    Pompe, T.; Srikant, V.; Clarke, D. R.

    1996-12-01

    Acoustoelectric current saturation, which until now has only been observed in piezoelectric single crystals, is observed in thin polycrystalline zinc oxide films. Epitaxial ZnO films on c-plane sapphire and textured ZnO polycrystalline films on fused silica both exhibit current saturation phenomenon. The values of the saturation current densities are in the range 105-106 A/cm2, depending on the carrier concentration in the film, with corresponding saturation electric fields of 3-5×103 V/cm. In addition to the current saturation, the electrical properties of the films degraded with the onset of the acoustoelectric effect but could be restored by annealing at 250 °C in a vacuum for 30 min.

  2. Liquid-phase explosive crystallization of electron-beam-evaporated a-Si films induced by flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Ohdaira, Keisuke; Matsumura, Hideki

    2013-01-01

    We succeed in the formation of micrometer-order-thick polycrystalline silicon (poly-Si) films through the flash-lamp-induced liquid-phase explosive crystallization (EC) of precursor a-Si films prepared by electron-beam (EB) evaporation. The velocity of the explosive crystallization (vEC) is estimated to be ˜14 m/s, which is close to the velocity of the liquid-phase epitaxy (LPE) of Si at a temperature around the melting point of a-Si of 1418 K. Poly-Si films formed have micrometer-order-long grains stretched along a lateral crystallization direction, and X-ray diffraction (XRD) and electron diffraction pattern measurements reveal that grains in poly-Si films tend to have a particular orientation. These features are significantly different from our previous results: the formation of poly-Si films containing randomly-oriented 10-nm-sized fine grains formed from a-Si films prepared by catalytic chemical vapor deposition (Cat-CVD) or sputtering. One possible reason for the emergence of a different EC mode in EB-evaporated a-Si films is the suppression of solid-phase nucleation (SPN) during Flash Lamp Annealing (FLA) due to tensile stress which precursor a-Si films originally hold. Poly-Si films formed from EB-evaporated a-Si films would contribute to the realization of high-efficiency thin-film poly-Si solar cells because of large and oriented grains.

  3. Internal structure of copper(II)-phthalocyanine thin films on SiO2/Si substrates investigated by grazing incidence x-ray reflectometry

    NASA Astrophysics Data System (ADS)

    Brieva, A. C.; Jenkins, T. E.; Jones, D. G.; Strössner, F.; Evans, D. A.; Clark, G. F.

    2006-04-01

    The internal structure of copper(II)-phthalocyanine (CuPc) thin films grown on SiO2/Si by organic molecular beam deposition has been studied by grazing incidence x-ray reflectometry (GIXR) and atomic force microscopy. The electronic density profile is consistent with a structure formed by successive monolayers of molecules in the α form with the b axis lying in the substrate surface plane. The authors present an electronic density profile model of CuPc films grown on SiO2/Si. The excellent agreement between the model and experimental data allows postdeposition monitoring of the internal structure of the CuPc films with the nondestructive GIXR technique, providing a tool for accurate control of CuPc growth on silicon-based substrates. In addition, since the experiments have been carried out ex situ, they show that these structures can endure ambient conditions.

  4. Tunability of morphological properties of Nd-doped TiO2 thin films

    NASA Astrophysics Data System (ADS)

    Rehan, Imran; Sultana, Sabiha; Khan, Nauman; Qamar, Zahid; Rehan, Kamran

    2016-11-01

    In this work, an endeavor is made toward structural assessment and morphological variation of titanium dioxide (TiO2) thin films when doped with neodymium (Nd). The electron beam deposition technique was employed to fabricate Nd-based TiO2 thin films on n-Type Si substrates. Nd concentration was varied from 0.0 to 2.0 atomic percent (at.%) under identical growth environments. The films were deposited in an oxygen-deficient environment to cause the growth of rutile phases. Energy dispersive x-ray spectroscopy confirmed the presence and variation of Nd dopant in TiO2. X-ray diffraction analysis showed the transformation of amorphous structures of the as-grown samples to anatase polycrystalline after annealing at 500 °C, while atomic force microscopy exposed linearity in grain density in as-grown samples with doping until 1 at.%. Raman spectrums of as-grown and annealed samples revealed the growth of the anatase phase in the annealed samples. Based on these results it can be proposed that Nd doping has pronounced effects on the structural characteristics of TiO2 thin films.

  5. Epitaxial growth of Ti{sub 3}SiC{sub 2} thin films with basal planes parallel or orthogonal to the surface on {alpha}-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drevin-Bazin, A.; Barbot, J. F.; Alkazaz, M.

    2012-07-09

    The growth of Ti{sub 3}SiC{sub 2} thin films were studied onto {alpha}-SiC substrates differently oriented by thermal annealing of TiAl layers deposited by magnetron sputtering. For any substrate's orientation, transmission electron microscopy coupled with x-ray diffraction showed the coherent epitaxial growth of Ti{sub 3}SiC{sub 2} films along basal planes of SiC. Specifically for the (1120) 4H-SiC, Ti{sub 3}SiC{sub 2} basal planes are found to be orthogonal to the surface. The continuous or textured nature of Ti{sub 3}SiC{sub 2} films does not depend of the SiC stacking sequence and is explained by a step-flow mechanism of growth mode. The ohmic charactermore » of the contact was confirmed by current-voltage measurements.« less

  6. Low cost back contact heterojunction solar cells on thin c-Si wafers. integrating laser and thin film processing for improved manufacturability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hegedus, Steven S.

    2015-09-08

    An interdigitated back contact (IBC) Si wafer solar cell with deposited a-Si heterojunction (HJ) emitter and contacts is considered the ultimate single junction Si solar cell design. This was confirmed in 2014 by both Panasonic and Sharp Solar producing IBC-HJ cells breaking the previous record Si solar cell efficiency of 25%. But manufacturability at low cost is a concern for the complex IBC-HJ device structure. In this research program, our goals were to addressed the broad industry need for a high-efficiency c-Si cell that overcomes the dominant module cost barriers by 1) developing thin Si wafers synthesized by innovative, kerflessmore » techniques; 2) integrating laser-based processing into most aspects of solar cell fabrication, ensuring high speed and low thermal budgets ; 3) developing an all back contact cell structure compatible with thin wafers using a simplified, low-temperature fabrication process; and 4) designing the contact patterning to enable simplified module assembly. There were a number of significant achievements from this 3 year program. Regarding the front surface, we developed and applied new method to characterize critical interface recombination parameters including interface defect density Dit and hole and electron capture cross-section for use as input for 2D simulation of the IBC cell to guide design and loss analysis. We optimized the antireflection and passivation properties of the front surface texture and a-Si/a-SiN/a-SiC stack depositions to obtain a very low (< 6 mA/cm2) front surface optical losses (reflection and absorption) while maintaining excellent surface passivation (SRV<5 cm/s). We worked with kerfless wafer manufacturers to apply defect-engineering techniques to improve bulk minority-carrier lifetime of thin kerfless wafers by both reducing initial impurities during growth and developing post-growth gettering techniques. This led insights about the kinetics of nickel, chromium, and dislocations in PV-grade silicon and

  7. Optical properties of Ag nanoclusters formed by irradiation and annealing of SiO2/SiO2:Ag thin films

    NASA Astrophysics Data System (ADS)

    Güner, S.; Budak, S.; Gibson, B.; Ila, D.

    2014-08-01

    We have deposited five periodic SiO2/SiO2 + Ag multi-nano-layered films on fused silica substrates using physical vapor deposition technique. The co-deposited SiO2:Ag layers were 2.7-5 nm and SiO2 buffer layers were 1-15 nm thick. Total thickness was between 30 and 105 nm. Different concentrations of Ag, ranging from 1.5 to 50 molecular% with respect to SiO2 were deposited to determine relevant rates of nanocluster formation and occurrence of interaction between nanoclusters. Using interferometry as well as in situ thickness monitoring, we measured the thickness of the layers. The concentration of Ag in SiO2 was measured with Rutherford Backscattering Spectrometry (RBS). To nucleate Ag nanoclusters, 5 MeV cross plane Si ion bombardments were performed with fluence varying between 5 × 1014 and 1 × 1016 ions/cm2 values. Optical absorption spectra were recorded in the range of 200-900 nm in order to monitor the Ag nanocluster formation in the thin films. Thermal annealing treatment at different temperatures was applied as second method to form varying size of nanoclusters. The physical properties of formed super lattice were criticized for thermoelectric applications.

  8. Atomic structures of B20 FeGe thin films grown on the Si(111) surface

    NASA Astrophysics Data System (ADS)

    Kim, Wondong; Noh, Seungkyun; Yoon, Jisoo; Kim, Young Heon; Lee, Inho; Kim, Jae-Sung; Hwang, Chanyong

    We investigated the growth and atomic structures of FeGe thin films on the Si (111) surface by using scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). The 2 5nm- thick FeGe thin films were prepared on the clean Si(111) 7x7 surface by co-deposition of Fe and Ge from separated electron-beam evaporators. With direct deposition on the substrate at the temperature above 550 K, the surface of FeGe films was not smooth and consisted of coarse grains. By the combination of room-temperature annealing and post-annealing process around 800 K, the structure of FeGe thin films evolved into the well crystalized structures. Atom-resolved STM images revealed that there are at least four different surface terminations. We constructed atomic models for each surface terminations based on the bulk atomic arrangement of a B20 chiral structure and confirmed that the observed STM images are successfully reproduced by using computational simulations employing Vienna Ab Initio Simulation package (VASP) with a B20 chiral structure model. TEM cross-sectional images also support our atomic models by revealing clearly the characteristic zigzag features of B20 structures of FeGe(111) thin films.

  9. Laser marking on microcrystalline silicon film.

    PubMed

    Park, Min Gyu; Choi, Se-Bum; Ruh, Hyun; Hwang, Hae-Sook; Yu, Hyunung

    2012-07-01

    We present a compact dot marker using a CW laser on a microcrystalline silicon (Si) thin film. A laser annealing shows a continuous crystallization transformation from nano to a large domain (> 200 nm) of Si nanocrystals. This microscale patterning is quite useful since we can manipulate a two-dimentional (2-D) process of Si structural forms for better and efficient thin-film transistor (TFT) devices as well as for photovoltaic application with uniform electron mobility. A Raman scattering microscope is adopted to draw a 2-D mapping of crystal Si film with the intensity of optical-phonon mode at 520 cm(-1). At a 300-nm spatial resolution, the position resolved the Raman scattering spectra measurements carried out to observe distribution of various Si species (e.g., large crystalline, polycrystalline and amorphous phase). The population of polycrystalline (poly-Si) species in the thin film can be analyzed with the frequency shift (delta omega) from the optical-phonon line since poly-Si distribution varies widely with conditions, such as an irradiated-laser power. Solid-phase crystallization with CW laser irradiation improves conductivity of poly-Si with micropatterning to develop the potential of the device application.

  10. Physics and chemistry in the process of hot-wire deposition of thin film silicon

    NASA Astrophysics Data System (ADS)

    Zheng, Wengang

    Hotwire Chemical Vapor Deposition (CVD) has been used in preparing high quality low hydrogen content hydrogenated amorphous or polycrystalline silicon thin film in recent years. Comparing to the most commonly used glow discharge method, Hotwire CVD has the potential of high speed deposition avoiding the damage caused by ion bombardment associated with plasma. Although device quality thin films have been prepared by this method, and some empirical optimized deposition conditions have been established, the mechanisms controlling this technique are not clear. A homebuild threshold ionization mass spectrometer was constructed in this lab, allowing the radicals to be observed with high sensitivity. Hydrogen dissociation on the hot metal surface was studied first both by the direct detection of hydrogen atoms from the hot surface and the temperature change due to the hydrogen dissociation, it was confirmed that the activation energy of this process is around 2.25eV, the same as the dissociation in the gas phase. Further, we observed a first order dependence of hydrogen dissociation probability on the hydrogen pressure. This observation contradicts previously reported models of second order desorption. The monosilicon radicals Si and SiH3 were observed. It was observed that the silane decomposition on the hot surface is mainly a function of filament temperature, but the species released from that surface also depend on the surface condition, and thus on the silane exposure history of that piece of filament. Si is believed to deteriorate the film quality, by comparing the depleted silane and the Si flux, it is observed that Si experienced a lot of gas phase reactions before reaching the substrate, which leads to less reactive precursors. This observation is consistence with Molenbroek's study on the optimization of deposition condition. The dominant disilicon radical is identified as Si2H2, which in the form of lowest energy isomer, is suppose to be much less reactive than

  11. In-situ investigation of thermal instabilities and solid state dewetting in polycrystalline platinum thin films via confocal laser microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jahangir, S.; Cheng, Xuan; Huang, H. H.

    2014-10-28

    Solid state dewetting and the subsequent morphological changes for platinum thin films grown on zinc oxide (ZnO) buffered (001) silicon substrates (Pt/ZnO/SiO{sub 2}/(001)Si system) is investigated under vacuum conditions via a custom-designed confocal laser microscope coupled with a laser heating system. Live imaging of thin film dewetting under a range of heating and quenching vacuum ambients reveals events including hillock formation, hole formation, and hole growth that lead to formation of a network of Pt ligaments, break up of Pt ligaments to individual islands and subsequent Pt islands shape reformation, in chronological fashion. These findings are corroborated by ex-situ materialsmore » characterization and quantitative electron microscopy analysis. A secondary hole formation via blistering before film rupture is revealed to be the critical stage, after which a rapid dewetting catastrophe occurs. This process is instantaneous and cannot be captured by ex-situ methods. Finally, an intermetallic phase forms at 900 °C and alters the morphology of Pt islands, suggesting a practical limit to the thermal environments that may be used for these platinized silicon wafers in vacuum conditions.« less

  12. Fabrication and characterization of Al{sub 2}O{sub 3} /Si composite nanodome structures for high efficiency crystalline Si thin film solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Ruiying, E-mail: ryzhang2008@sinano.ac.cn; State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, 865 Changning Road, Shanghai 200050 China; Zhu, Jian

    2015-12-15

    We report on our fabrication and characterization of Al{sub 2}O{sub 3}/Si composite nanodome (CND) structures, which is composed of Si nanodome structures with a conformal cladding Al{sub 2}O{sub 3} layer to evaluate its optical and electrical performance when it is applied to thin film solar cells. It has been observed that by application of Al{sub 2}O{sub 3}thin film coating using atomic layer deposition (ALD) to the Si nanodome structures, both optical and electrical performances are greatly improved. The reflectivity of less than 3% over the wavelength range of from 200 nm to 2000 nm at an incident angle from 0°more » to 45° is achieved when the Al{sub 2}O{sub 3} film is 90 nm thick. The ultimate efficiency of around 27% is obtained on the CND textured 2 μm-thick Si solar cells, which is compared to the efficiency of around 25.75% and 15% for the 2 μm-thick Si nanodome surface-decorated and planar samples respectively. Electrical characterization was made by using CND-decorated MOS devices to measure device’s leakage current and capacitance dispersion. It is found the electrical performance is sensitive to the thickness of the Al{sub 2}O{sub 3} film, and the performance is remarkably improved when the dielectric layer thickness is 90 nm thick. The leakage current, which is less than 4x10{sup −9} A/cm{sup 2} over voltage range of from -3 V to 3 V, is reduced by several orders of magnitude. C-V measurements also shows as small as 0.3% of variation in the capacitance over the frequency range from 10 kHz to 500 kHz, which is a strong indication of surface states being fully passivated. TEM examination of CND-decorated samples also reveals the occurrence of SiO{sub x} layer formed between the interface of Si and the Al{sub 2}O{sub 3} film, which is thin enough that ensures the presence of field-effect passivation, From our theoretical and experimental study, we believe Al{sub 2}O{sub 3} coated CND structures is a truly viable approach to achieving higher

  13. Ultra Thin Poly-Si Nanosheet Junctionless Field-Effect Transistor with Nickel Silicide Contact

    PubMed Central

    Lin, Yu-Ru; Tsai, Wan-Ting; Wu, Yung-Chun; Lin, Yu-Hsien

    2017-01-01

    This study demonstrated an ultra thin poly-Si junctionless nanosheet field-effect transistor (JL NS-FET) with nickel silicide contact. For the nickel silicide film, two-step annealing and a Ti capping layer were adopted to form an ultra thin uniform nickel silicide film with low sheet resistance (Rs). The JL NS-FET with nickel silicide contact exhibited favorable electrical properties, including a high driving current (>107A), subthreshold slope (186 mV/dec.), and low parasitic resistance. In addition, this study compared the electrical characteristics of JL NS-FETs with and without nickel silicide contact. PMID:29112139

  14. Ultra Thin Poly-Si Nanosheet Junctionless Field-Effect Transistor with Nickel Silicide Contact.

    PubMed

    Lin, Yu-Ru; Tsai, Wan-Ting; Wu, Yung-Chun; Lin, Yu-Hsien

    2017-11-07

    This study demonstrated an ultra thin poly-Si junctionless nanosheet field-effect transistor (JL NS-FET) with nickel silicide contact. For the nickel silicide film, two-step annealing and a Ti capping layer were adopted to form an ultra thin uniform nickel silicide film with low sheet resistance (Rs). The JL NS-FET with nickel silicide contact exhibited favorable electrical properties, including a high driving current (>10⁷A), subthreshold slope (186 mV/dec.), and low parasitic resistance. In addition, this study compared the electrical characteristics of JL NS-FETs with and without nickel silicide contact.

  15. Suppression effect of silicon (Si) on Er{sup 3+} 1.54μm excitation in ZnO thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Bo; Lu, Fei, E-mail: lufei@sdu.edu.cn; Fan, Ranran

    2016-08-15

    We have investigated the photoluminescence (PL) characteristics of ZnO:Er thin films on Si (100) single crystal and SiO{sub 2}-on-silicon (SiO{sub 2}) substrates, synthesized by radio frequency magnetron sputtering. Rutherford backscattering/channeling spectrometry (RBS), X-ray diffraction (XRD) and atomic force microscope (AFM) were used to analyze the properties of thin films. The diffusion depth profiles of Si were determined by second ion mass spectrometry (SIMS). Infrared spectra were obtained from the spectrometer and related instruments. Compared with the results at room temperature (RT), PL (1.54μm) intensity increased when samples were annealed at 250°C and decreased when at 550°C. A new peak atmore » 1.15μm from silicon (Si) appeared in 550°C samples. The Si dopants in ZnO film, either through the diffusion of Si from the substrate or ambient, directly absorbed the energy of pumping light and resulted in the suppression of Er{sup 3+} 1.54μm excitation. Furthermore, the energy transmission efficiency between Si and Er{sup 3+} was very low when compared with silicon nanocrystal (Si-NC). Both made the PL (1.54μm) intensity decrease. All the data in experiments proved the negative effects of Si dopants on PL at 1.54μm. And further research is going on.« less

  16. Fabrication of high-quality superconductor-insulator-superconductor junctions on thin SiN membranes

    NASA Technical Reports Server (NTRS)

    Garcia, Edouard; Jacobson, Brian R.; Hu, Qing

    1993-01-01

    We have successfully fabricated high-quality and high-current density superconductor-insulator-superconductor (SIS) junctions on freestanding thin silicon nitride (SIN) membranes. These devices can be used in a novel millimeter-wave and THz receiver system which is made using micromachining. The SIS junctions with planar antennas were fabricated first on a silicon wafer covered with a SiN membrane, the Si wafer underneath was then etched away using an anisotropic KOH etchant. The current-voltage characteristics of the SIS junctions remained unchanged after the whole process, and the junctions and the membrane survived thermal cycling.

  17. Structural, electronic, elastic, and thermodynamic properties of CaSi, Ca2Si, and CaSi2 phases from first-principles calculations

    NASA Astrophysics Data System (ADS)

    Li, X. D.; Li, K.; Wei, C. H.; Han, W. D.; Zhou, N. G.

    2018-06-01

    The structural, electronic, elastic, and thermodynamic properties of CaSi, Ca2Si, and CaSi2 are systematically investigated by using first-principles calculations method based on density functional theory (DFT). The calculated formation enthalpies and cohesive energies show that CaSi2 possesses the greatest structural stability and CaSi has the strongest alloying ability. The structural stability of the three phases is compared according to electronic structures. Further analysis on electronic structures indicates that the bonding of these phases exhibits the combinations of metallic, covalent, and ionic bonds. The elastic constants are calculated, and the bulk modulus, shear modulus, Young's modulus, Poisson's ratio, and anisotropy factor of polycrystalline materials are deduced. Additionally, the thermodynamic properties were theoretically predicted and discussed.

  18. The contribution of grain boundary and defects to the resistivity in the ferromagnetic state of polycrystalline manganites

    NASA Astrophysics Data System (ADS)

    Sagdeo, P. R.; Anwar, Shahid; Lalla, N. P.; Patil, S. I.

    2006-11-01

    In the present study we report the precise resistivity measurements for the polycrystalline bulk sample as well as highly oriented thin-films of La 0.8Ca 0.2MnO 3. The poly crystalline sample was prepared by standard solid-state reaction route and the oriented thin film was prepared by pulsed laser deposition (PLD). The phase purity of these samples was confirmed by X-ray diffraction and the back-scattered electron imaging using scanning electron microscopy (SEM). The oxygen stoichiometry analysis was done by iodimetry titration. The resistivities of these samples were carried out with four-probe resistivity measurement setup. The observed temperature dependence of resistivity data for both the samples was fitted using the polaron model. We have found that polaronic model fits well with the experimental data of both polycrystalline and single crystal samples. A new phenomenological model is proposed and used to estimate contribution to the resistivity due to grain boundary in the ferromagnetic state of polycrystalline manganites and it has been shown that the scattering of electrons from the grain boundary (grain surface) is a function of temperature and controlled by the effective grain resistance at that temperature.

  19. The effect of Cr substitution on the structural, electronic and magnetic properties of pulsed laser deposited NiFe2O4 thin films

    NASA Astrophysics Data System (ADS)

    Panwar, Kalpana; Tiwari, Shailja; Bapna, Komal; Heda, N. L.; Choudhary, R. J.; Phase, D. M.; Ahuja, B. L.

    2017-01-01

    We have studied the structural, electronic and magnetic properties of pulsed laser deposited thin films of Ni1-xCrxFe2O4 (x=0.02 and 0.05) on Si (111) and Si (100) substrates. The films reveal single phase, polycrystalline structure with larger grain size on Si (111) substrate than that on Si (100) substrate. Contrary to the expected inverse spinel structure, x-ray photoemission (XPS) studies reveal the mixed spinel structure. XPS results suggest that Ni and Fe ions exist in 2+ and 3+ states, respectively, and they exist in tetrahedral as well as octahedral sites. The deviation from the inverse spinel leads to modified magnetic properties. It is observed that saturation magnetization drastically drops compared to the expected saturation value for inverse spinel structure. Strain in the films and lattice distortion produced by the Cr doping also appear to influence the magnetic properties.

  20. Phase formation polycrystalline vanadium oxide via thermal annealing process under controlled nitrogen pressure

    NASA Astrophysics Data System (ADS)

    Jessadaluk, S.; Khemasiri, N.; Rahong, S.; Rangkasikorn, A.; Kayunkid, N.; Wirunchit, S.; Horprathum, M.; Chananonnawathron, C.; Klamchuen, A.; Nukeaw, J.

    2017-09-01

    This article provides an approach to improve and control crystal phases of the sputtering vanadium oxide (VxOy) thin films by post-thermal annealing process. Usually, as-deposited VxOy thin films at room temperature are amorphous phase: post-thermal annealing processes (400 °C, 2 hrs) under the various nitrogen (N2) pressures are applied to improve and control the crystal phase of VxOy thin films. The crystallinity of VxOy thin films changes from amorphous to α-V2O5 phase or V9O17 polycrystalline, which depend on the pressure of N2 carrier during annealing process. Moreover, the electrical resistivity of the VxOy thin films decrease from 105 Ω cm (amorphous) to 6×10-1 Ω cm (V9O17). Base on the results, our study show a simply method to improve and control phase formation of VxOy thin films.

  1. Fabrication of the heterojunction diode from Y-doped ZnO thin films on p-Si substrates by sol-gel method

    NASA Astrophysics Data System (ADS)

    Sharma, Sanjeev K.; Singh, Satendra Pal; Kim, Deuk Young

    2018-02-01

    The heterojunction diode of yttrium-doped ZnO (YZO) thin films was fabricated on p-Si(100) substrates by sol-gel method. The post-annealing process was performed at 600 °C in vacuum for a short time (3 min) to prevent inter-diffusion of Zn, Y, and Si atoms. X-ray diffraction (XRD) pattern of as-grown and annealed (600 °C in vacuum) films showed the preferred orientation along the c-axis (002) regardless of dopant concentrations. The uniform surface microstructure and the absence of other metal/oxide peaks in XRD pattern confirmed the excellence of films. The increasing bandgap and carrier concentration of YZO thin films were interpreted by the BM shift, that is, the Fermi level moves towards the conduction band edge. The current-voltage characteristics of the heterojunction diode, In/n-ZnO/p-Si/Al, showed a rectification behavior. The turn-on voltage and ideality factor of n-ZnO/p-Si and n-YZO/p-Si were observed to be 3.47 V, 2.61 V, and 1.97, 1.89, respectively. Y-dopant in ZnO thin films provided more donor electrons caused the shifting of Fermi-energy level towards the conduction band and strengthen the interest for heterojunction diodes.

  2. Phase-Field Modeling of Polycrystalline Solidification: From Needle Crystals to Spherulites—A Review

    NASA Astrophysics Data System (ADS)

    Gránásy, László; Rátkai, László; Szállás, Attila; Korbuly, Bálint; Tóth, Gyula I.; Környei, László; Pusztai, Tamás

    2014-04-01

    Advances in the orientation-field-based phase-field (PF) models made in the past are reviewed. The models applied incorporate homogeneous and heterogeneous nucleation of growth centers and several mechanisms to form new grains at the perimeter of growing crystals, a phenomenon termed growth front nucleation. Examples for PF modeling of such complex polycrystalline structures are shown as impinging symmetric dendrites, polycrystalline growth forms (ranging from disordered dendrites to spherulitic patterns), and various eutectic structures, including spiraling two-phase dendrites. Simulations exploring possible control of solidification patterns in thin films via external fields, confined geometry, particle additives, scratching/piercing the films, etc. are also displayed. Advantages, problems, and possible solutions associated with quantitative PF simulations are discussed briefly.

  3. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    NASA Astrophysics Data System (ADS)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  4. Phase transition in lead titanate thin films: a Brillouin study

    NASA Astrophysics Data System (ADS)

    Kuzel, P.; Dugautier, C.; Moch, P.; LeMarrec, F.; Karkut, M. G.

    2002-12-01

    The elastic properties of both polycrystalline and epitaxial PbTiO3 (PTO) thin films are studied using Brillouin scattering spectroscopy. The epitaxial PTO films were prepared by pulsed laser ablation on (1) a [0 0 1] single crystal of SrTiO3 (STO) doped with Nb and (2) a [0 0 1] STO buffered with a layer of YBa2Cu3O7. The polycrystalline PTO films were prepared by sol-gel on a Si substrate buffered with TiO2 and Pt layers. The data analysis takes into account the ripple and the elasto-optic contributions. The latter significantly affects the measured spectra since it gives rise to a Love mode in the p-s scattering geometry. At room temperature, the spectra of the epitaxially grown samples are interpreted using previously published elastic constants of PTO single crystals. Sol-gel samples exhibit appreciable softening of the effective elastic properties compared to PTO single crystals: this result is explained by taking into account the random orientation of the microscopic PTO grains. For both the polycrystalline and the epitaxial films we have determined that the piezoelectric terms do not contribute to the spectra. The temperature dependence of the spectra shows strong anomalies of the elastic properties near the ferroelectric phase transition. Compared to the bulk, TC is higher in the sol-gel films, while in the epitaxial films the sign of the TC shift depends on the underlying material.

  5. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    NASA Astrophysics Data System (ADS)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  6. Characterization of Thick and Thin Film SiCN for Pressure Sensing at High Temperatures

    PubMed Central

    Leo, Alfin; Andronenko, Sergey; Stiharu, Ion; Bhat, Rama B.

    2010-01-01

    Pressure measurement in high temperature environments is important in many applications to provide valuable information for performance studies. Information on pressure patterns is highly desirable for improving performance, condition monitoring and accurate prediction of the remaining life of systems that operate in extremely high temperature environments, such as gas turbine engines. A number of technologies have been recently investigated, however these technologies target specific applications and they are limited by the maximum operating temperature. Thick and thin films of SiCN can withstand high temperatures. SiCN is a polymer-derived ceramic with liquid phase polymer as its starting material. This provides the advantage that it can be molded to any shape. CERASET™ also yields itself for photolithography, with the addition of photo initiator 2, 2-Dimethoxy-2-phenyl-acetophenone (DMPA), thereby enabling photolithographical patterning of the pre-ceramic polymer using UV lithography. SiCN fabrication includes thermosetting, crosslinking and pyrolysis. The technology is still under investigation for stability and improved performance. This work presents the preparation of SiCN films to be used as the body of a sensor for pressure measurements in high temperature environments. The sensor employs the phenomenon of drag effect. The pressure sensor consists of a slender sensitive element and a thick blocking element. The dimensions and thickness of the films depend on the intended application of the sensors. Fabrication methods of SiCN ceramics both as thin (about 40–60 μm) and thick (about 2–3 mm) films for high temperature applications are discussed. In addition, the influence of thermosetting and annealing processes on mechanical properties is investigated. PMID:22205871

  7. Formation of Si and Ge films and micropatterns by wet process using laser direct writing method

    NASA Astrophysics Data System (ADS)

    Watanabe, Akira

    2011-03-01

    The studies toward the formation of Si and Ge films and micropatterns by wet process using laser direct writing method are reported. First is the the formation of Si film by laser scanning irradiation to Si nano- or micro-particle dispersed films. By using organogermanium nanocluster (OrGe) as a dispersion medium of Si particles, a homogeneous Si film was formed by laser scanning irradiation on a Si particle/OrGe composite film. The micro-Raman spectra showed the formation of the polycrystalline Ge and SiGe alloy during the fusion of the Si particles by laser irradiation. The second is the formation of the Si and Ge micropatterns by LLDW (liquid phase laser direct writing) method. Micro-Raman spectra showed the formation of polycrystalline Si and Ge micropatterns by laser irradiation on the interfaces of SiCl4/substrate and GeCl4/substrate, respectively.

  8. Spectroscopic characterization of charged defects in polycrystalline pentacene by time- and wavelength-resolved electric force microscopy.

    PubMed

    Luria, Justin L; Schwarz, Kathleen A; Jaquith, Michael J; Hennig, Richard G; Marohn, John A

    2011-02-01

    Spatial maps of topography and trapped charge are acquired for polycrystalline pentacene thin-film transistors using electric and atomic force microscopy. In regions of trapped charge, the rate of trap clearing is studied as a function of the wavelength of incident radiation.

  9. Investigation of noble metal substrates and buffer layers for BiSrCaCuO thin films

    NASA Astrophysics Data System (ADS)

    Matthiesen, M. M.; Rubin, L. M.; Williams, K. E.; Rudman, D. A.

    Noble metal buffer layers and substrates for Bi2Sr2CaCu2O8 (BSCCO) films were investigated using bulk ceramic processing and thin-film techniques. Highly oriented, superconducting BSCCO films were fabricated on polycrystalline Ag substrates and on Ag/MgO and Ag/YSZ structures. Such films could not be produced on Au or Pt substrates under any annealing conditions. In addition, superconducting BSCCO films could not be produced on Ag/Al2O3, Ag/SiO2/Si, or Ag/(Haynes 230 alloy) structures using high annealing temperatures (870 C). However, oriented although poorly connected, superconducting BSCCO films were fabricated on Ag/Al2O3 structures by using lower annealing temperatures (820 C). Once lower processing temperatures are optimized, Ag may be usable as a buffer layer for BSCCO films.

  10. Opto-electronic properties of P-doped nc-Si-QD/a-SiC:H thin films as foundation layer for all-Si solar cells in superstrate configuration

    NASA Astrophysics Data System (ADS)

    Kar, Debjit; Das, Debajyoti

    2016-07-01

    With the advent of nc-Si solar cells having improved stability, the efficient growth of nc-Si i-layer of the top cell of an efficient all-Si solar cell in the superstrate configuration prefers nc-Si n-layer as its substrate. Accordingly, a wide band gap and high conducting nc-Si alloy material is a basic requirement at the n-layer. Present investigation deals with the development of phosphorous doped n-type nanocrystalline silicon quantum dots embedded in hydrogenated amorphous silicon carbide (nc-Si-QD/a-SiC:H) hetero-structure films, wherein the optical band gap can be widened by the presence of Si-C bonds in the amorphous matrix and the embedded high density tiny nc-Si-QDs could provide high electrical conductivity, particularly in P-doped condition. The nc-Si-QDs simultaneously facilitate further widening of the optical band gap by virtue of the associated quantum confinement effect. A complete investigation has been made on the electrical transport phenomena involving charge transfer by tunneling and thermionic emission prevailing in n-type nc-Si-QD/a-SiC:H thin films. Their correlation with different phases of the specific heterostructure has been carried out for detailed understanding of the material, in order to improve its device applicability. The n-type nc-Si-QD/a-SiC:H films exhibit a thermally activated electrical transport above room temperature and multi-phonon hopping (MPH) below room temperature, involving defects in the amorphous phase and the grain-boundary region. The n-type nc-Si-QD/a-SiC:H films grown at ˜300 °C, demonstrating wide optical gap ˜1.86-1.96 eV and corresponding high electrical conductivity ˜4.5 × 10-1-1.4 × 10-2 S cm-1, deserve to be an effective foundation layer for the top nc-Si sub-cell of all-Si solar cells in n-i-p structure with superstrate configuration.

  11. Parameter variation of the one-diode model of a-Si and a- Si/μc-Si solar cells for modeling light-induced degradation

    NASA Astrophysics Data System (ADS)

    Weicht, J. A.; Hamelmann, F. U.; Behrens, G.

    2014-11-01

    For analyzing the long-term behavior of thin film a-Si/μc-Si photovoltaic modules, it is important to observe the light-induced degradation (LID) in dependence of the temperature for the parameters of the one-diode model for solar cells. According to the IEC 61646 standard, the impact of LID on module parameters of these thin film cells is determined at a constant temperature of 50°C with an irradiation of 1000 W/m2 at open circuit conditions. Previous papers examined the LID of thin film a-Si cells with different temperatures and some others are about a-Si/μc-Si. In these previous papers not all parameters of the one-diode model are examined. We observed the serial resistance (Rs), parallel resistance (Rp), short circuit current (Isc), open circuit voltage (Uoc), the maximum power point (MPP: Umpp, Impp and Pmpp) and the diode factor (n). Since the main reason for the LID of silicon-based thin films is the Staebler Wronski effect in the a-Si part of the cell, the temperature dependence of the healing of defects for all parameters of the one-diode model is also taken into account. We are also measuring modules with different kind of transparent conductive oxides: In a-Si thin film solar cells fluorine-doped tin oxide (FTO) is used and for thin film solar cells of a-Si/μc-Si boron- doped zinc oxide is used. In our work we describe an approach for transferring the parameters of a one-diode model for tandem thin film solar cells into the one-diode model for each part of the solar cell. The measurement of degradation and regeneration at higher temperatures is the necessary base for optimization of the different silicon-based thin films in warm hot climate.

  12. Thermoelectric Properties and Morphology of Si/SiC Thin-Film Multilayers Grown by Ion Beam Sputtering

    DOE PAGES

    Cramer, Corson; Farnell, Casey; Farnell, Cody; ...

    2018-03-19

    Multilayers (MLs) of 31 bi-layers and a 10-nm layer thickness each of Si/SiC were deposited on silicon, quartz and mullite substrates using a high-speed, ion-beam sputter deposition process. The samples deposited on the silicon substrates were used for imaging purposes and structural verification as they did not allow for accurate electrical measurement of the material. The Seebeck coefficient and the electrical resistivity on the mullite and the quartz substrates were reported as a function of temperature and used to compare the film performance. The thermal conductivity measurement was performed for ML samples grown on Si, and an average value ofmore » the thermal conductivity was used to find the figure of merit, zT, for all samples tested. X-ray diffraction (XRD) spectra showed an amorphous nature of the thin films. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used to study the film morphology and verify the nature of the crystallinity. The mobility of the multilayer films was measured to be only 0.039 to 1.0 cm 2/Vs at room temperature. The samples were tested three times in the temperature range of 300 K to 900 K to document the changes in the films with temperature cycling. The highest Seebeck coefficient is measured for a Si/SiC multilayer system on quartz and mullite substrates and were observed at 870 K to be roughly -2600 μV/K due to a strain-induced redistribution of the states’ effect. The highest figure of merit, zT, calculated for the multilayers in this study was 0.08 at 870 K.« less

  13. Thermoelectric Properties and Morphology of Si/SiC Thin-Film Multilayers Grown by Ion Beam Sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cramer, Corson; Farnell, Casey; Farnell, Cody

    Multilayers (MLs) of 31 bi-layers and a 10-nm layer thickness each of Si/SiC were deposited on silicon, quartz and mullite substrates using a high-speed, ion-beam sputter deposition process. The samples deposited on the silicon substrates were used for imaging purposes and structural verification as they did not allow for accurate electrical measurement of the material. The Seebeck coefficient and the electrical resistivity on the mullite and the quartz substrates were reported as a function of temperature and used to compare the film performance. The thermal conductivity measurement was performed for ML samples grown on Si, and an average value ofmore » the thermal conductivity was used to find the figure of merit, zT, for all samples tested. X-ray diffraction (XRD) spectra showed an amorphous nature of the thin films. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used to study the film morphology and verify the nature of the crystallinity. The mobility of the multilayer films was measured to be only 0.039 to 1.0 cm 2/Vs at room temperature. The samples were tested three times in the temperature range of 300 K to 900 K to document the changes in the films with temperature cycling. The highest Seebeck coefficient is measured for a Si/SiC multilayer system on quartz and mullite substrates and were observed at 870 K to be roughly -2600 μV/K due to a strain-induced redistribution of the states’ effect. The highest figure of merit, zT, calculated for the multilayers in this study was 0.08 at 870 K.« less

  14. Effect of SiO 2/Si 3N 4 dielectric distributed Bragg reflectors (DDBRs) for Alq 3/NPB thin-film resonant cavity organic light emitting diodes

    NASA Astrophysics Data System (ADS)

    Lei, Po-Hsun; Wang, Shun-Hsi; Juang, Fuh Shyang; Tseng, Yung-Hsin; Chung, Meng-Jung

    2010-05-01

    In this article, we report on the effect of SiO 2/Si 3N 4 dielectric distributed Bragg reflectors (DDBRs) for Alq 3/NPB thin-film resonant cavity organic light emitting diode (RCOLED) in increasing the light output intensity and reducing the linewidth of spontaneous emission spectrum. The optimum DDBR number is found as 3 pairs. The device performance will be bad by further increasing or decreasing the number of DDBR. As compared to the conventional Alq 3/NPB thin-film organic light emitting diode (OLED), the Alq 3/NPB thin-film RCOLED with 3-pair DDBRs has the superior electrical and optical characteristics including a forward voltage of 6 V, a current efficiency of 3.4 cd/A, a luminance of 2715 cd/m 2 under the injection current density of 1000 A/m 2, and a full width at half maximum (FWHM) of 12 nm for emission spectrum over the 5-9 V bias range. These results represent that the Alq 3/NPB thin-film OLED with DDBRs shows a potential as the light source for plastic optical fiber (POF) communication system.

  15. Method of making quasi-grain boundary-free polycrystalline solar cell structure and solar cell structure obtained thereby

    DOEpatents

    Gonzalez, Franklin N.; Neugroschel, Arnost

    1984-02-14

    A new solar cell structure is provided which will increase the efficiency of polycrystalline solar cells by suppressing or completely eliminating the recombination losses due to the presence of grain boundaries. This is achieved by avoiding the formation of the p-n junction (or other types of junctions) in the grain boundaries and by eliminating the grain boundaries from the active area of the cell. This basic concept can be applied to any polycrystalline material; however, it will be most beneficial for cost-effective materials having small grains, including thin film materials.

  16. Preparation and Ferroelectric Property of (100)-ORIENTED Ca0.4Sr0.6Bi4Ti4O15 Thin Film on Pt/Ti/SiO2/Si Substrate

    NASA Astrophysics Data System (ADS)

    Fan, Suhua; Che, Quande; Zhang, Fengqing

    The (100)-oriented Ca0.4Sr0.6Bi4Ti4O15(C0.4S0.6BTi) thin film was successfully prepared by a sol-gel method on Pt/Ti/SiO2/Si substrate. The orientation and formation of thin films under different annealing schedules were studied using XRD and SEM. XRD analysis indicated that (100)-oriented C0.4S0.6BTi thin film with degree of orientation of I(200)/I(119) = 1.60 was prepared by preannealing the film at 400°C for 3 min followed by rapid thermal annealing at 800°C for 5 min. SEM analysis further indicated that the (100)-oriented C0.4S0.6BTi thin film with a thickness of about 800 nm was mainly composed of equiaxed grains. The remanent polarization and coercive field of the film were 16.1 μC/cm2 and 85 kV/cm, respectively.

  17. Effect of Gallium Doping on the Characteristic Properties of Polycrystalline Cadmium Telluride Thin Film

    NASA Astrophysics Data System (ADS)

    Ojo, A. A.; Dharmadasa, I. M.

    2017-08-01

    Ga-doped CdTe polycrystalline thin films were successfully electrodeposited on glass/fluorine doped tin oxide substrates from aqueous electrolytes containing cadmium nitrate (Cd(NO3)2·4H2O) and tellurium oxide (TeO2). The effects of different Ga-doping concentrations on the CdTe:Ga coupled with different post-growth treatments were studied by analysing the structural, optical, morphological and electronic properties of the deposited layers using x-ray diffraction (XRD), ultraviolet-visible spectrophotometry, scanning electron microscopy, photoelectrochemical cell measurement and direct-current conductivity test respectively. XRD results show diminishing (111)C CdTe peak above 20 ppm Ga-doping and the appearance of (301)M GaTe diffraction above 50 ppm Ga-doping indicating the formation of two phases; CdTe and GaTe. Although, reductions in the absorption edge slopes were observed above 20 ppm Ga-doping for the as-deposited CdTe:Ga layer, no obvious influence on the energy gap of CdTe films with Ga-doping were detected. Morphologically, reductions in grain size were observed at 50 ppm Ga-doping and above with high pinhole density within the layer. For the as-deposited CdTe:Ga layers, conduction type change from n- to p- were observed at 50 ppm, while the n-type conductivity were retained after post-growth treatment. Highest conductivity was observed at 20 ppm Ga-doping of CdTe. These results are systematically reported in this paper.

  18. Time-resolved analysis of the white photoluminescence from chemically synthesized SiC{sub x}O{sub y} thin films and nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tabassum, Natasha; Nikas, Vasileios; Ford, Brian

    2016-07-25

    The study reported herein presents results on the room-temperature photoluminescence (PL) dynamics of chemically synthesized SiC{sub x}O{sub y≤1.6} (0.19 < x < 0.6) thin films and corresponding nanowire (NW) arrays. The PL decay transients of the SiC{sub x}O{sub y} films/NWs are characterized by fast luminescence decay lifetimes that span in the range of 350–950 ps, as determined from their deconvoluted PL decay spectra and their stretched-exponential recombination behavior. Complementary steady-state PL emission peak position studies for SiC{sub x}O{sub y} thin films with varying C content showed similar characteristics pertaining to the variation of their emission peak position with respect to the excitation photon energy.more » A nearly monotonic increase in the PL energy emission peak, before reaching an energy plateau, was observed with increasing excitation energy. This behavior suggests that band-tail states, related to C-Si/Si-O-C bonding, play a prominent role in the recombination of photo-generated carriers in SiC{sub x}O{sub y}. Furthermore, the PL lifetime behavior of the SiC{sub x}O{sub y} thin films and their NWs was analyzed with respect to their luminescence emission energy. An emission-energy-dependent lifetime was observed, as a result of the modulation of their band-tail states statistics with varying C content and with the reduced dimensionality of the NWs.« less

  19. PEALD grown high-k ZrO{sub 2} thin films on SiC group IV compound semiconductor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khairnar, A. G., E-mail: agkhairnar@gmail.com; Patil, V. S.; Agrawal, K. S.

    The study of ZrO{sub 2} thin films on SiC group IV compound semiconductor has been studied as a high mobility substrates. The ZrO{sub 2} thin films were deposited using the Plasma Enhanced Atomic Layer Deposition System. The thickness of the thin films were measured using ellipsometer and found to be 5.47 nm. The deposited ZrO{sub 2} thin films were post deposition annealed in rapid thermal annealing chamber at temperature of 400°Ð¡. The atomic force microscopy and X-гау photoelectron spectroscopy has been carried out to study the surface topography, roughness and chemical composition of thin film, respectively.

  20. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coloma Ribera, R., E-mail: r.colomaribera@utwente.nl; Kruijs, R. W. E. van de; Yakshin, A. E.

    2015-08-07

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO{sub 2} films were found to show Arrhenius behaviour. However, a gradual decrease in diffusion rates was observed with oxide growth, with the activation energy increasing from about 2.1 to 2.4 eV. Further exploration of the Arrhenius pre-exponential factor for diffusion process revealed that oxidation of polycrystalline ruthenium joins the class of materials that obey the Meyer-Neldel rule.

  1. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    NASA Astrophysics Data System (ADS)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  2. Performance of in-pixel circuits for photon counting arrays (PCAs) based on polycrystalline silicon TFTs.

    PubMed

    Liang, Albert K; Koniczek, Martin; Antonuk, Larry E; El-Mohri, Youcef; Zhao, Qihua; Street, Robert A; Lu, Jeng Ping

    2016-03-07

    Photon counting arrays (PCAs), defined as pixelated imagers which measure the absorbed energy of x-ray photons individually and record this information digitally, are of increasing clinical interest. A number of PCA prototypes with a 1 mm pixel-to-pixel pitch have recently been fabricated with polycrystalline silicon (poly-Si)-a thin-film technology capable of creating monolithic imagers of a size commensurate with human anatomy. In this study, analog and digital simulation frameworks were developed to provide insight into the influence of individual poly-Si transistors on pixel circuit performance-information that is not readily available through empirical means. The simulation frameworks were used to characterize the circuit designs employed in the prototypes. The analog framework, which determines the noise produced by individual transistors, was used to estimate energy resolution, as well as to identify which transistors contribute the most noise. The digital framework, which analyzes how well circuits function in the presence of significant variations in transistor properties, was used to estimate how fast a circuit can produce an output (referred to as output count rate). In addition, an algorithm was developed and used to estimate the minimum pixel pitch that could be achieved for the pixel circuits of the current prototypes. The simulation frameworks predict that the analog component of the PCA prototypes could have energy resolution as low as 8.9% full width at half maximum (FWHM) at 70 keV; and the digital components should work well even in the presence of significant thin-film transistor (TFT) variations, with the fastest component having output count rates as high as 3 MHz. Finally, based on conceivable improvements in the underlying fabrication process, the algorithm predicts that the 1 mm pitch of the current PCA prototypes could be reduced significantly, potentially to between ~240 and 290 μm.

  3. Spectroscopic ellipsometry for analysis of polycrystalline thin-film photovoltaic devices and prediction of external quantum efficiency

    NASA Astrophysics Data System (ADS)

    Ibdah, Abdel-Rahman; Koirala, Prakash; Aryal, Puruswottam; Pradhan, Puja; Marsillac, Sylvain; Rockett, Angus A.; Podraza, Nikolas J.; Collins, Robert W.

    2017-11-01

    Complete polycrystalline thin-film photovoltaic (PV) devices employing CuIn1-xGaxSe2/CdS and CdS/CdTe heterojunctions have been studied by ex situ spectroscopic ellipsometry (SE). In this study, layer thicknesses have been extracted along with photon energy independent parameters such as compositions that describe the dielectric function spectra ε(E) of the individual layers. For accurate ex situ SE analysis of these PV devices, a database of ε(E) spectra is required for all thin film component materials used in each of the two absorber technologies. When possible, database measurements are performed by applying SE in situ immediately after deposition of the thin film materials and after cooling to room temperature in order to avoid oxidation and surface contamination. Determination of ε(E) from the resulting in situ SE data requires structural information that can be obtained from analysis of SE data acquired in real time during the deposition process. From the results of ex situ analysis of the complete CuIn1-xGaxSe2 (CIGS) and CdTe PV devices, the deduced layer thicknesses in combination with the parameters describing ε(E) can be employed in further studies that simulate the external quantum efficiency (EQE) spectra of the devices. These simulations have been performed here by assuming that all electron-hole pairs generated within the active layers, i.e. layers incorporating a dominant absorber component (either CIGS or CdTe), are separated and collected. The active layers may include not only the bulk absorber but also window and back contact interface layers, and individual current contributions from these layers have been determined in the simulations. In addition, the ex situ SE analysis results enable calculation of the absorbance spectra for the inactive layers and the overall reflectance spectra, which lead to quantification of all optical losses in terms of a current density deficit. Mapping SE can be performed given the high speed of multichannel

  4. Structural and optical properties of (Ag,Cu)(In,Ga)Se{sub 2} polycrystalline thin film alloys

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boyle, J. H.; Shafarman, W. N.; Birkmire, R. W.

    2014-06-14

    The structural and optical properties of pentenary alloy (Ag,Cu)(In,Ga)Se{sub 2} polycrystalline thin films were characterized over the entire compositional range at a fixed (Cu + Ag)/(In + Ga) ratio. Films deposited at 550 °C on bare and molybdenum coated soda-lime glass by elemental co-evaporation in a single-stage process with constant incident fluxes exhibit single phase chalcopyrite structure, corresponding to 122 spacegroup (I-42d) over the entire compositional space. Unit cell refinement of the diffraction patterns show that increasing Ag substitution for Cu, the refined a{sub o} lattice constant, (Ag,Cu)-Se bond length, and anion displacement increase in accordance with the theoretical model proposed by Jaffe, Wei, andmore » Zunger. However, the refined c{sub o} lattice constant and (In,Ga)-Se bond length deviated from theoretical expectations for films with mid-range Ag and Ga compositions and are attributed to influences from crystallographic bond chain ordering or cation electronegativity. The optical band gap, derived from transmission and reflection measurements, widened with increasing Ag and Ga content, due to influences from anion displacement and cation electronegativity, as expected from theoretical considerations for pseudo-binary chalcopyrite compounds.« less

  5. Enhanced Performance of Gate-First p-Channel Metal-Insulator-Semiconductor Field-Effect Transistors with Polycrystalline Silicon/TiN/HfSiON Stacks Fabricated by Physical Vapor Deposition Based In situ Method

    NASA Astrophysics Data System (ADS)

    Kitano, Naomu; Horie, Shinya; Arimura, Hiroaki; Kawahara, Takaaki; Sakashita, Shinsuke; Nishida, Yukio; Yugami, Jiro; Minami, Takashi; Kosuda, Motomu; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2007-12-01

    We demonstrated the use of an in situ metal/high-k fabrication method for improving the performance of metal-insulator-semiconductor field-effect transistors (MISFETs). Gate-first pMISFETs with polycrystalline silicon (poly-Si)/TiN/HfSiON stacks were fabricated by techniques based on low-damage physical vapor deposition, in which high-quality HfSiON dielectrics were formed by the interface reaction between an ultrathin metal-Hf layer (0.5 nm thick) and a SiO2 underlayer, and TiN electrodes were continuously deposited on the gate dielectrics without exposure to air. Gate-first pMISFETs with high carrier mobility and a low threshold voltage (Vth) were realized by reducing the carbon impurity in the gate stacks and improving the Vth stability against thermal treatment. As a result, we obtained superior current drivability (Ion = 350 μA/μm at Ioff = 200 pA/μm), which corresponds to a 13% improvement over that of conventional chemical vapor deposition-based metal/high-k devices.

  6. Study of electronic sputtering of CaF2 thin films

    NASA Astrophysics Data System (ADS)

    Pandey, Ratnesh K.; Kumar, Manvendra; Khan, Saif A.; Kumar, Tanuj; Tripathi, Ambuj; Avasthi, D. K.; Pandey, Avinash C.

    2014-01-01

    In the present work thin films of CaF2 deposited on Si substrate by electron beam evaporation have been investigated for swift heavy ions induced sputtering and surface modifications. Glancing angle X-ray Diffraction (GAXRD) measurements show that the pristine films are polycrystalline in nature and the grain size increases with increase in film thickness. Rutherford backscattering spectrometry (RBS) of pristine as well as irradiated films was performed to determine the sputter yield of CaF2 and a decrease in sputter yield has been observed with increase in film thickness. Thermal spike model has been applied to explain this. The confinement of energy in the grains having size smaller than the electron mean free path (λ) results in a higher sputtering yield. Atomic force microscopy (AFM) studies of irradiated CaF2 thin films show formation of cracks on film surface at a fluence of 5 × 1012 ions/cm2. Also RBS results confirm the removal of film from the surface and more exposure of substrate with increasing dose of ions.

  7. Metal silicide/poly-Si Schottky diodes for uncooled microbolometers

    PubMed Central

    2013-01-01

    Nickel silicide Schottky diodes formed on polycrystalline Si 〈P〉 films are proposed as temperature sensors of monolithic uncooled microbolometer infrared focal plane arrays. The structure and composition of nickel silicide/polycrystalline silicon films synthesized in a low-temperature process are examined by means of transmission electron microscopy. The Ni silicide is identified as a multi-phase compound composed of 20% to 40% of Ni3Si, 30% to 60% of Ni2Si, and 10% to 30% of NiSi with probable minor content of NiSi2 at the silicide/poly-Si interface. Rectification ratios of the Schottky diodes vary from about 100 to about 20 for the temperature increasing from 22℃ to 70℃; they exceed 1,000 at 80 K. A barrier of around 0.95 eV is found to control the photovoltage spectra at room temperature. A set of barriers is observed in photo-electromotive force spectra at 80 K and attributed to the Ni silicide/poly-Si interface. Absolute values of temperature coefficients of voltage and current are found to vary from 0.3%℃ to 0.6%/℃ for forward bias and around 2.5%/℃ for reverse bias of the diodes. PMID:23594606

  8. Metal silicide/poly-Si Schottky diodes for uncooled microbolometers.

    PubMed

    Chizh, Kirill V; Chapnin, Valery A; Kalinushkin, Victor P; Resnik, Vladimir Y; Storozhevykh, Mikhail S; Yuryev, Vladimir A

    2013-04-17

    : Nickel silicide Schottky diodes formed on polycrystalline Si 〈P〉 films are proposed as temperature sensors of monolithic uncooled microbolometer infrared focal plane arrays. The structure and composition of nickel silicide/polycrystalline silicon films synthesized in a low-temperature process are examined by means of transmission electron microscopy. The Ni silicide is identified as a multi-phase compound composed of 20% to 40% of Ni3Si, 30% to 60% of Ni2Si, and 10% to 30% of NiSi with probable minor content of NiSi2 at the silicide/poly-Si interface. Rectification ratios of the Schottky diodes vary from about 100 to about 20 for the temperature increasing from 22â"ƒ to 70â"ƒ; they exceed 1,000 at 80 K. A barrier of around 0.95 eV is found to control the photovoltage spectra at room temperature. A set of barriers is observed in photo-electromotive force spectra at 80 K and attributed to the Ni silicide/poly-Si interface. Absolute values of temperature coefficients of voltage and current are found to vary from 0.3%â"ƒ to 0.6%/â"ƒ for forward bias and around 2.5%/â"ƒ for reverse bias of the diodes.

  9. Resistive switching of organic–inorganic hybrid devices of conductive polymer and permeable ultra-thin SiO2 films

    NASA Astrophysics Data System (ADS)

    Yamamoto, Shunsuke; Kitanaka, Takahisa; Miyashita, Tokuji; Mitsuishi, Masaya

    2018-06-01

    We propose a resistive switching device composed of conductive polymer (PEDOT:PSS) and SiO2 ultra-thin films. The SiO2 film was fabricated from silsesquioxane polymer nanosheets as a resistive switching layer. Devices with metal (Ag or Au)∣SiO2∣PEDOT:PSS architecture show good resistive switching performance with set–reset voltages as low as several hundred millivolts. The device properties and the working mechanism were investigated by varying the electrode material, surrounding atmosphere, and SiO2 film thickness. Results show that resistive switching is based on water and ion migration at the PEDOT:PSS∣SiO2 interface.

  10. Resistive switching of organic-inorganic hybrid devices of conductive polymer and permeable ultra-thin SiO2 films.

    PubMed

    Yamamoto, Shunsuke; Kitanaka, Takahisa; Miyashita, Tokuji; Mitsuishi, Masaya

    2018-06-29

    We propose a resistive switching device composed of conductive polymer (PEDOT:PSS) and SiO 2 ultra-thin films. The SiO 2 film was fabricated from silsesquioxane polymer nanosheets as a resistive switching layer. Devices with metal (Ag or Au)∣SiO 2 ∣PEDOT:PSS architecture show good resistive switching performance with set-reset voltages as low as several hundred millivolts. The device properties and the working mechanism were investigated by varying the electrode material, surrounding atmosphere, and SiO 2 film thickness. Results show that resistive switching is based on water and ion migration at the PEDOT:PSS∣SiO 2 interface.

  11. Ni3Si2 nanowires grown in situ on Ni foam for high-performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Jiang, Yizhe; Li, Zhihui; Li, Beibei; Zhang, Jinying; Niu, Chunming

    2016-07-01

    Ni3Si2 nanowires and nanoawls have grown in situ on the surface of Ni foams by a controlled low pressure chemical vapor deposition process. Structural characterization shows that the individual Ni3Si2 nanowire is single crystal covered with a thin layer (1-2 nm) of SiO2 with a diameter of ∼20-30 nm and length of ten's micrometers. Individual nanoawl with a circular cone shape is polycrystalline. Both Ni3Si2 nanowire and nanoawl samples are evaluated as potential electrode materials for supercapacitors. The nanowire electrode delivers a very high specific capacitance and excellent rate capability. A specific capacitance of 760 F g-1 is measured at current density of 0.5 A g-1, which decreases to 518 F g-1 when the current density increases to 10 A g-1. The capacitance is dominated by pseudocapacitance with a mechanism similar to that of NiO or Ni(OH)2 widely studied in the literature. An asymmetric supercapacitor fabricated by pairing Ni3Si2 nanowire electrode with an activated carbon electrode exhibits energy densities of 17.5 Wh kg-1 and 8.8 Wh kg-1 at power densites of 301 W kg-1 and 3000 W kg-1.

  12. Characterization of ion-assisted induced absorption in A-Si thin-films used for multivariate optical computing

    NASA Astrophysics Data System (ADS)

    Nayak, Aditya B.; Price, James M.; Dai, Bin; Perkins, David; Chen, Ding Ding; Jones, Christopher M.

    2015-06-01

    Multivariate optical computing (MOC), an optical sensing technique for analog calculation, allows direct and robust measurement of chemical and physical properties of complex fluid samples in high-pressure/high-temperature (HP/HT) downhole environments. The core of this MOC technology is the integrated computational element (ICE), an optical element with a wavelength-dependent transmission spectrum designed to allow the detector to respond sensitively and specifically to the analytes of interest. A key differentiator of this technology is it uses all of the information present in the broadband optical spectrum to determine the proportion of the analyte present in a complex fluid mixture. The detection methodology is photometric in nature; therefore, this technology does not require a spectrometer to measure and record a spectrum or a computer to perform calculations on the recorded optical spectrum. The integrated computational element is a thin-film optical element with a specific optical response function designed for each analyte. The optical response function is achieved by fabricating alternating layers of high-index (a-Si) and low-index (SiO2) thin films onto a transparent substrate (BK7 glass) using traditional thin-film manufacturing processes (e.g., ion-assisted e-beam vacuum deposition). A proprietary software and process are used to control the thickness and material properties, including the optical constants of the materials during deposition to achieve the desired optical response function. The ion-assisted deposition is useful for controlling the densification of the film, stoichiometry, and material optical constants as well as to achieve high deposition growth rates and moisture-stable films. However, the ion-source can induce undesirable absorption in the film; and subsequently, modify the optical constants of the material during the ramp-up and stabilization period of the e-gun and ion-source, respectively. This paper characterizes the unwanted

  13. Terahertz conductivity of MnSi thin films

    NASA Astrophysics Data System (ADS)

    Dodge, J.; Mohtashemi, Laleh; Farahani, Amir; Karhu, Eric; Monchesky, Theodore

    2013-03-01

    We present measurements of the low-frequency optical conductivity of MnSi thin films, using time-domain terahertz spectroscopy. At low temperatures and low frequencies, we extract the DC resistivity, scattering life time and plasma frequency from a Drude fit. We obtain a value of ωp ~= 1 . 0 eV, which can be used to estimate the renormalization coefficient through comparison with band theory. At higher temperatures, deviations from Drude behavior are observed, suggesting a loss of quasi-particle coherence. In the region of low temperatures and high frequencies, we see evidence for a crossover to the anomalous power law dependence observed by Mena et al. As the temperature increases, the anomalous frequency dependence becomes more pronounced, and the plasma frequency inferred from a Drude fit decreases dramatically. Above T ~ 50 K, σ2 (ω) develops a negative slope that is inconsistent with both a Drude model and the anomalous power law observed earlier, indicating a sharp pseudogap in the conductivity spectrum.

  14. Synthesis and characterization study of n-Bi2O3/p-Si heterojunction dependence on thickness

    NASA Astrophysics Data System (ADS)

    Al-Maiyaly, Bushra K. H.; Hussein, Bushra H.; Salih, Ayad A.; Shaban, Auday H.; Mahdi, Shatha H.; Khudayer, Iman H.

    2018-05-01

    In this work, Bi2O3 was deposited as a thin film of different thickness (400, 500, and 600 ±20 nm) by using thermal oxidation at 573 K with ambient oxygen of evaporated bismuth (Bi) thin films in a vacuum on glass substrate and on Si wafer to produce n-Bi2O3/p-Si heterojunction. The effect of thickness on the structural, electrical, surface and optical properties of Bi2O3 thin films was studied. XRD analysis reveals that all the as deposited Bi2O3 films show polycrystalline tetragonal structure, with preferential orientation in the (201) direction, without any change in structure due to increase of film thickness. AFM and SEM images are used to investigate the influences of film thickness on surface properties. The optical measurement were taken for the wave length range (400-1100) nm showed that the nature of the optical transition has been direct allowed with average band gap energies varies in the range of (2.9-2.25) eV with change thickness parameter. The extent and nature of transmittance, absorbance, reflectance and optimized band gap of the material assure to utilize it for photovoltaic applications. Hall measurements showed that all the films are n-type. The electrical properties of n-Bi2O3/p-Si heterojunction (HJ) were obtained by I-V (dark and illuminated) and C-V measurement at frequency (10 MHz) at different thickness. The ideality factor saturation current density, depletion width, built-in potential and carrier concentration are characterized under different thickness. The results show these HJ were of abrupt type. The photovoltaic measurements short-circuit current density, open-circuit voltage, fill factor and efficiencies are determined for all samples. Finally thermal oxidation allowed fabrication n-Bi2O3/p-Si heterojunction with different thickness for solar cell application.

  15. Study the physical and optoelectronic properties of silver gallium indium selenide AgGaInSe2/Si heterojunction solar cell

    NASA Astrophysics Data System (ADS)

    Hassun, Hanan K.

    2018-05-01

    AgGa1-x InxSe2 (AGIS) thin films was deposited on Si and glass substrates by thermal evaporation at RT and different ratios of Indium (x=0.2, 0.5, 0.8). The synthetics properties of AGIS thin film have been examined using X-ray diffraction and AFM. AGIS thin films possessed a polycrystalline tetragonal structure. Average diameter and roughness calculated from AFM images shows an increase in its value with increasing the ratios of Indium. Hall measurements showed n-type conduction with high mobility. The AgGa0.2In0.8Se2 thin film solar cell with a band gap of 1.65eV exhibit a total efficiency of 6.3% with open-circuit voltage Voc 0.38V, short circuit current Jsc 29 mA/cm2, fill factor FF 0.571 and total area 1 cm2. The built-in potential Vbi, concentration of majoritarian carrier ND and depletion width w are definite under different ratios of Indium from C-V amount.

  16. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    NASA Technical Reports Server (NTRS)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  17. Characterization of SiGe thin films using a laboratory X-ray instrument.

    PubMed

    Ulyanenkova, Tatjana; Myronov, Maksym; Benediktovitch, Andrei; Mikhalychev, Alexander; Halpin, John; Ulyanenkov, Alex

    2013-08-01

    The technique of reciprocal space mapping using X-rays is a recognized tool for the nondestructive characterization of epitaxial films. X-ray scattering from epitaxial Si 0.4 Ge 0.6 films on Si(100) substrates using a laboratory X-ray source was investigated. It is shown that a laboratory source with a rotating anode makes it possible to investigate the material parameters of the super-thin 2-6 nm layers. For another set of partially relaxed layers, 50-200 nm thick, it is shown that from a high-resolution reciprocal space map, conditioned from diffuse scattering on dislocations, it is possible to determine quantitatively from the shape of a diffraction peak (possessing no thickness fringes) additional parameters such as misfit dislocation density and layer thickness as well as concentration and relaxation.

  18. Fabrication & Characterization of AIAS/pSi Heterojunction Solar Cell

    NASA Astrophysics Data System (ADS)

    Hassun, Hanan K.; Shaban, Auday H.; Salman, Ebtisam M. T.

    2018-05-01

    Silver Indium Aluminum Selenium AgIn1xAlxSe2 AIAS for x=01 thin films was deposited by thermal evaporation at RT and different thickness 100, 150 and 200 nm on the glass substrate and p2Si wafer to produce AIAS/p3Si heterojunction solar cell 4. Structural optical electrical and photovoltaic properties 6 are investigated for the samples XRD analysis reveals that all the deposited AIAS films show polycrystalline structure without any change due to increase of thickness. Average diameter and roughness calculated from AFM images shows an increase in its value with increasing thickness. The optical absorbance and transmittance for samples are measured using a spectrometer type UV Visible 1800 spectrophotometer to study the energy 6 gap. The electrical properties 7 of heterojunction were obtained by IV8 dark and illuminated 9 and C10V measurement. The ideality 1 factor and the saturation 2 current density were calculated. Under illuminated 3 the open circuit voltage Voc4 short circuit current density Jsc6 fill factor 6FF and quantum efficiencies were calculated. The built in potential 7Vbi carrier concentration and depletion width are measured with different 9 thickness.

  19. Effective Passivation and Tunneling Hybrid a-SiOx(In) Layer in ITO/n-Si Heterojunction Photovoltaic Device.

    PubMed

    Gao, Ming; Wan, Yazhou; Li, Yong; Han, Baichao; Song, Wenlei; Xu, Fei; Zhao, Lei; Ma, Zhongquan

    2017-05-24

    In this article, using controllable magnetron sputtering of indium tin oxide (ITO) materials on single crystal silicon at 100 °C, the optoelectronic heterojunction frame of ITO/a-SiO x (In)/n-Si is simply fabricated for the purpose of realizing passivation contact and hole tunneling. It is found that the gradation profile of indium (In) element together with silicon oxide (SiO x /In) within the ultrathin boundary zone between ITO and n-Si occurs and is characterized by X-ray photoelectron spectroscopy with the ion milling technique. The atomistic morphology and physical phase of the interfacial layer has been observed with a high-resolution transmission electron microscope. X-ray diffraction, Hall effect measurement, and optical transmittance with Tauc plot have been applied to the microstructure and property analyses of ITO thin films, respectively. The polycrystalline and amorphous phases have been verified for ITO films and SiO x (In) hybrid layer, respectively. For the quantum transport, both direct and defect-assisted tunneling of photogenerated holes through the a-SiO x (In) layer is confirmed. Besides, there is a gap state correlative to the indium composition and located at E v + 4.60 eV in the ternary hybrid a-SiO x (In) layer that is predicted by density functional theory of first-principles calculation, which acts as an "extended delocalized state" for direct tunneling of the photogenerated holes. The reasonable built-in potential (V bi = 0.66 V) and optimally controlled ternary hybrid a-SiO x (In) layer (about 1.4 nm) result in that the device exhibits excellent PV performance, with an open-circuit voltage of 0.540 V, a short-circuit current density of 30.5 mA/cm 2 , a high fill factor of 74.2%, and a conversion efficiency of 12.2%, under the AM 1.5 illumination. The work function difference between ITO (5.06 eV) and n-Si (4.31 eV) is determined by ultraviolet photoemission spectroscopy and ascribed to the essence of the built-in-field of the PV device

  20. Solution-processed polycrystalline silicon on paper

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trifunovic, M.; Ishihara, R., E-mail: r.ishihara@tudelft.nl; Shimoda, T.

    Printing electronics has led to application areas which were formerly impossible with conventional electronic processes. Solutions are used as inks on top of large areas at room temperatures, allowing the production of fully flexible circuitry. Commonly, research in these inks have focused on organic and metal-oxide ink materials due to their printability, while these materials lack in the electronic performance when compared to silicon electronics. Silicon electronics, on the other hand, has only recently found their way in solution processes. Printing of cyclopentasilane as the silicon ink has been conducted and devices with far superior electric performance have been mademore » when compared to other ink materials. A thermal annealing step of this material, however, was necessary, which prevented its usage on inexpensive substrates with a limited thermal budget. In this work, we introduce a method that allows polycrystalline silicon (poly-Si) production directly from the same liquid silicon ink using excimer laser irradiation. In this way, poly-Si could be formed directly on top of paper even with a single laser pulse. Using this method, poly-Si transistors were created at a maximum temperature of only 150 °C. This method allows silicon device formation on inexpensive, temperature sensitive substrates such as polyethylene terephthalate, polyethylene naphthalate or paper, which leads to applications that require low-cost but high-speed electronics.« less

  1. Rotary target method to prepare thin films of CdS/SiO 2 by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Wang, H.; Zhu, Y.; Ong, P. P.

    2000-12-01

    Thin films of CdS-doped SiO 2 glass were prepared by using the conventional pulsed laser deposition (PLD) technique. The laser target consisted of a specially constructed rotary wheel which provided easy control of the exposure-area ratio to expose alternately the two materials to the laser beam. The physical target assembly avoided the potential complications inherent in chemically mixed targets such as in the sol-gel method. Time-of-flight (TOF) spectra confirmed the existence of the SiO 2 and CdS components in the thin-film samples so produced. X-ray diffraction (XRD) and atomic force microscopy(AFM) results showed the different sizes and structures of the as-deposited and annealed films. The wurtzite phase of CdS was found in the 600 oC-annealed sample, while the as-deposited film showed a cubic-hexagonal mixed structure. In the corresponding PL (photoluminescence) spectra, a red shift of the CdS band edge emission was found, which may be a result of the interaction between the CdS nanocrystallite and SiO 2 at their interface.

  2. Ferroelectric properties of PbxSr1-xTiO3 and its compositionally graded thin films grown on the highly oriented LaNiO3 buffered Pt /Ti/SiO2/Si substrates

    NASA Astrophysics Data System (ADS)

    Zhai, Jiwei; Yao, Xi; Xu, Zhengkui; Chen, Haydn

    2006-08-01

    Thin films of ferroelectric PbxSr1-xTiO3 (PST) with x =0.3-0.7 and graded composition were fabricated on LaNiO3 buffered Pt /Ti/SiO2/Si substrates by a sol-gel deposition method. The thin films crystallized into a single perovskite structure and exhibited highly (100) preferred orientation after postdeposition annealing at 650°C. The grain size of PST thin films systematically decreased with the increase of Sr content. Dielectric and ferroelectric properties were investigated as a function of temperature, frequency, and dc applied field. Pb0.6Sr0.4TiO3 films showed a dominant voltage dependence of dielectric constant with a high tunability in a temperature range of 25-230°C. The compositionally graded PST thin films with x =0.3-0.6 also showed the high tunability. The graded thin films exhibited a diffused phase transition accompanied by a diffused peak in the temperature variations of dielectric constants. This kind of thin films has a potential in a fabrication of a temperature stable tunable device.

  3. Mechanical characterization of poly-SiGe layers for CMOS-MEMS integrated application

    NASA Astrophysics Data System (ADS)

    Modlinski, Robert; Witvrouw, Ann; Verbist, Agnes; Puers, Robert; De Wolf, Ingrid

    2010-01-01

    Measuring mechanical properties at the microscale is essential to understand and to fabricate reliable MEMS. In this paper a tensile testing system and matching microscale test samples are presented. The test samples have a dog-bone-like structure. They are designed to mimic standard macro-tensile test samples. The micro-tensile tests are used to characterize 0.9 µm thick polycrystalline silicon germanium (poly-SiGe) films. The poly-SiGe film, that can be considered as a close equivalent to polycrystalline silicon (poly-Si), is studied as a very promising material for use in CMOS/MEMS integration in a single chip due to its low-temperature LPCVD deposition (T < 450 °C). The fabrication process of the poly-SiGe micro-tensile test structure is explained in detail: the design, the processing and post-processing, the testing and finally the results' discussion. The poly-SiGe micro-tensile results are also compared with nanoindentation data obtained on the same poly-SiGe films as well as with results obtained by other research groups.

  4. Fabrication of Si3N4 thin films on phynox alloy substrates for electronic applications

    NASA Astrophysics Data System (ADS)

    Shankernath, V.; Naidu, K. Lakshun; Krishna, M. Ghanashyam; Padmanabhan, K. A.

    2018-04-01

    Thin films of Si3N4 are deposited on Phynox alloy substrates using radio frequency magnetron sputtering. The thickness of the films was varied between 80-150 nm by increasing the duration of deposition from 1 to 3 h at a fixed power density and working pressure. X-ray diffraction patterns reveal that the Si3N4 films had crystallized inspite of the substrates not being heated during deposition. This was confirmed using selected area electron diffraction and high resolution transmission electron microscopy also. It is postulated that a low lattice misfit between Si3N4 and Phynox provides energetically favourable conditions for ambient temperature crystallization. The hardness of the films is of the order of 6 to 9 GPa.

  5. Ferroelectricity in epitaxial Y-doped HfO2 thin film integrated on Si substrate

    NASA Astrophysics Data System (ADS)

    Lee, K.; Lee, T. Y.; Yang, S. M.; Lee, D. H.; Park, J.; Chae, S. C.

    2018-05-01

    We report on the ferroelectricity of a Y-doped HfO2 thin film epitaxially grown on Si substrate, with an yttria-stabilized zirconia buffer layer pre-deposited on the substrate. Piezoresponse force microscopy results show the ferroelectric domain pattern, implying the existence of ferroelectricity in the epitaxial HfO2 film. The epitaxially stabilized HfO2 film in the form of a metal-ferroelectric-insulator-semiconductor structure exhibits ferroelectric hysteresis with a clear ferroelectric switching current in polarization-voltage measurements. The HfO2 thin film also demonstrates ferroelectric retention comparable to that of current perovskite-based metal-ferroelectric-insulator-semiconductor structures.

  6. Epitaxial growth of lead zirconium titanate thin films on Ag buffered Si substrates using rf sputtering

    NASA Astrophysics Data System (ADS)

    Wang, Chun; Laughlin, David E.; Kryder, Mark H.

    2007-04-01

    Epitaxial lead zirconium titanate (PZT) (001) thin films with a Pt bottom electrode were deposited by rf sputtering onto Si(001) single crystal substrates with a Ag buffer layer. Both PZT(20/80) and PZT(53/47) samples were shown to consist of a single perovskite phase and to have the (001) orientation. The orientation relationship was determined to be PZT(001)[110]‖Pt(001)[110]‖Ag(001)[110]‖Si(001)[110]. The microstructure of the multilayer was studied using transmission electron microscopy (TEM). The electron diffraction pattern confirmed the epitaxial relationship between each layer. The measured remanent polarization Pr and coercive field Ec of the PZT(20/80) thin film were 26μC /cm2 and 110kV/cm, respectively. For PZT(53/47), Pr was 10μC /cm2 and Ec was 80kV/cm.

  7. Enhanced luminous transmittance of thermochromic VO2 thin film patterned by SiO2 nanospheres

    NASA Astrophysics Data System (ADS)

    Zhou, Liwei; Liang, Jiran; Hu, Ming; Li, Peng; Song, Xiaolong; Zhao, Yirui; Qiang, Xiaoyong

    2017-05-01

    In this study, an ordered SiO2 nanosphere array coated with vanadium dioxide (VO2) has been fabricated to enhance transmittance with the potential application as an energy-efficient coating in the field of smart windows. SiO2 arrays were formed using the methods of self-assembly, and VO2 thin films were prepared by rapid thermal annealing (RTA) of sputtered vanadium films. VO2@SiO2 arrays were characterized by scanning electron microscopy, X-ray diffraction, a four-point probe, and UV-vis-NIR spectrophotometry. Compared with the planar films, the films deposited on 300 nm diameter SiO2 nanospheres can offer approximately 18% enhancement of luminous transmission (Tlum) because the diameter is smaller than the given wavelength and the protuberance of the surface array behaves as a gradation of refractive index producing antireflection. The solar regulation efficiency was not much deteriorated.

  8. Polycrystalline silicon ion sensitive field effect transistors

    NASA Astrophysics Data System (ADS)

    Yan, F.; Estrela, P.; Mo, Y.; Migliorato, P.; Maeda, H.; Inoue, S.; Shimoda, T.

    2005-01-01

    We report the operation of polycrystalline silicon ion sensitive field effect transistors. These devices can be fabricated on inexpensive disposable substrates such as glass or plastics and are, therefore, promising candidates for low cost single-use intelligent multisensors. In this work we have developed an extended gate structure with a Si3N4 sensing layer. Nearly ideal pH sensitivity (54mV /pH) and stable operation have been achieved. Temperature effects have been characterized. A penicillin sensor has been fabricated by functionalizing the sensing area with penicillinase. The sensitivity to penicillin G is about 10mV/mM, in solutions with concentration lower than the saturation value, which is about 7 mM.

  9. Fabrication of n-type Si nanostructures by direct nanoimprinting with liquid-Si ink

    NASA Astrophysics Data System (ADS)

    Takagishi, Hideyuki; Masuda, Takashi; Yamazaki, Ken; Shimoda, Tatsuya

    2018-01-01

    Nanostructures of n-type amorphous silicon (a-Si) and polycrystalline silicon (poly-Si) with a height of 270 nm and line widths of 110-165 nm were fabricated directly onto a substrate through a simple imprinting process that does not require vacuum conditions or photolithography. The n-type Liquid-Si ink was synthesized via photopolymerization of cyclopentasilane (Si5H10) and white phosphorus (P4). By raising the temperature from 160 °C to 200 °C during the nanoimprinting process, well-defined angular patterns were fabricated without any cracking, peeling, or deflections. After the nanoimprinting process, a-Si was produced by heating the nanostructures at 400°C-700 °C, and poly-Si was produced by heating at 800 °C. The dopant P diffuses uniformly in the Si films, and its concentration can be controlled by varying the concentration of P4 in the ink. The specific resistance of the n-type poly-Si pattern was 7.0 × 10-3Ω ṡ cm, which is comparable to the specific resistance of flat n-type poly-Si films.

  10. Method for localized deposition of noble metal catalysts with control of morphology

    DOEpatents

    Ricco, Antonio J.; Manginell, Ronald P.; Huber, Robert J.

    1998-01-01

    A combustible gas sensor that uses a resistively heated, noble metal-coated, micromachined polycrystalline Si filament to calorimetrically detect the presence and concentration of combustible gases. A thin catalytic Pt film was deposited by CVD from the precursor Pt(acac).sub.2 onto microfilaments resistively heated to approximately 500 .degree. C.; Pt deposits only on the hot filament. The filaments tested to date are 2 .mu.m thick .times.10 .mu.m wide .times.100, 250, 500, or 1000 .mu.m-long polycrystalline Si; some are overcoated with a 0.25 .mu.m-thick protective CVD Si.sub.3 N.sub.4 layer.

  11. Transport Properties of Anatase-TiO2 Polycrystalline-Thin-Film Field-Effect Transistors with Electrolyte Gate Layers

    NASA Astrophysics Data System (ADS)

    Horita, Ryohei; Ohtani, Kyosuke; Kai, Takahiro; Murao, Yusuke; Nishida, Hiroya; Toya, Taku; Seo, Kentaro; Sakai, Mio; Okuda, Tetsuji

    2013-11-01

    We have fabricated anatase-TiO2 polycrystalline-thin-film field-effect transistors (FETs) with poly(vinyl alcohol) (PVA), ion-liquid (IL), and ion-gel (IG) gate layers, and have tried to improve the response to gate voltage by varying the concentration of mobile ions in these electrolyte gate layers. The increase in the concentration of mobile ions by doping NaOH into the PVA gate layer or reducing the gelator in the IG gate layer markedly increases the drain-source current and reduces the driving gate voltage, which show that the mobile ions in the PVA, IL, and IG gate layers cause the formation of electric double layers (EDLs), which act as nanogap capacitors. In these TiO2-EDL-FETs, the slow formation of EDLs and the oxidation reaction at the interface between the surface of the TiO2 film and the electrolytes cause unideal FET properties. In the optimized IL and IG TiO2-EDL-FETs, the driving gate voltage is less than 1 V and the ON/OFF ratios of the transfer characteristics are about 1×104 at RT, and the nearly metallic state is realized at the interface purely by applying a gate voltage.

  12. Characterization of SiGe thin films using a laboratory X-ray instrument

    PubMed Central

    Ulyanenkova, Tatjana; Myronov, Maksym; Benediktovitch, Andrei; Mikhalychev, Alexander; Halpin, John; Ulyanenkov, Alex

    2013-01-01

    The technique of reciprocal space mapping using X-rays is a recognized tool for the nondestructive characterization of epitaxial films. X-ray scattering from epitaxial Si0.4Ge0.6 films on Si(100) substrates using a laboratory X-ray source was investigated. It is shown that a laboratory source with a rotating anode makes it possible to investigate the material parameters of the super-thin 2–6 nm layers. For another set of partially relaxed layers, 50–200 nm thick, it is shown that from a high-resolution reciprocal space map, conditioned from diffuse scattering on dislocations, it is possible to determine quantitatively from the shape of a diffraction peak (possessing no thickness fringes) additional parameters such as misfit dislocation density and layer thickness as well as concentration and relaxation. PMID:24046495

  13. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Crowder, M.A.; Sposili, R.S.; Cho, H.S.

    Nonhydrogenated, n-channel, low-temperature-processed, single-crystal Si thin-film transistors (TFT`s) have been fabricated on Si thin films prepared via sequential lateral solidification (SLS). The device characteristics of the resulting SLS TFT`s exhibit properties and a level of performance that are superior to polycrystalline Si-based TFT`s and are comparable to similar devices fabricated on silicon-on-insulator (SOI) substrates or bulk-Si wafers. The authors attribute these high-performance device characteristics to the absence of high-angle grain-boundaries within the active channel portion of the TFT`s.

  14. Simulation of temperature dependent dielectric breakdown in n{sup +}-polySi/SiO{sub 2}/n-6H-SiC structures during Poole-Frenkel stress at positive gate bias

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Samanta, Piyas, E-mail: piyas@vcfw.org; Mandal, Krishna C., E-mail: mandalk@cec.sc.edu

    2016-08-14

    We present for the first time a thorough investigation of trapped-hole induced gate oxide deterioration and simulation results of time-dependent dielectric breakdown (TDDB) of thin (7–25 nm) silicon dioxide (SiO{sub 2}) films thermally grown on (0 0 0 1) silicon (Si) face of n-type 6H-silicon carbide (n-6H-SiC). Gate oxide reliability was studied during both constant voltage and current stress with positive bias on the degenerately doped n-type poly-crystalline silicon (n{sup +}-polySi) gate at a wide range of temperatures between 27 and 225 °C. The gate leakage current was identified as the Poole-Frenkel (PF) emission of electrons trapped at an energy 0.92 eV belowmore » the SiO{sub 2} conduction band. Holes were generated in the n{sup +}-polySi anode material as well as in the oxide bulk via band-to-band ionization depending on the film thickness t{sub ox} and the energy of the hot-electrons (emitted via PF mechanism) during their transport through oxide films at oxide electric fields E{sub ox} ranging from 5 to 10 MV/cm. Our simulated time-to-breakdown (t{sub BD}) results are in excellent agreement with those obtained from time consuming TDDB measurements. It is observed that irrespective of stress temperatures, the t{sub BD} values estimated in the field range between 5 and 9 MV/cm better fit to reciprocal field (1/E) model for the thickness range studied here. Furthermore, for a 10 year projected device lifetime, a good reliability margin of safe operating field from 8.5 to 7.5 MV/cm for 7 nm and 8.1 to 6.9 MV/cm for 25 nm thick SiO{sub 2} was observed between 27 and 225 °C.« less

  15. The Effects of Film Thickness and Evaporation Rate on Si-Cu Thin Films for Lithium Ion Batteries.

    PubMed

    Polat, B Deniz; Keles, Ozgul

    2015-12-01

    The reversible cyclability of Si based composite anodes is greatly improved by optimizing the atomic ratio of Si/Cu, the thickness and the evaporation rates of films fabricated by electron beam deposition method. The galvanostatic test results show that 500 nm thick flim, having 10%at. Cu-90%at. Si, deposited with a moderate evaporation rate (10 and 0.9 Å/s for Si and Cu respectively) delivers 2642.37 mAh g(-1) as the first discharge capacity with 76% Coulombic efficiency. 99% of its initial capacity is retained after 20 cycles. The electron conductive pathway and high mechanical tolerance induced by Cu atoms, the low electrical resistivity of the film due to Cu3Si particles, and the homogeneously distributed nano-sized/amorphous particles in the composite thin film could explain this outstanding electrochemical performance of the anode.

  16. Effect of doping on structural, optical and electrical properties of nanostructure ZnO films deposited onto a-Si:H/Si heterojunction

    NASA Astrophysics Data System (ADS)

    Sali, S.; Boumaour, M.; Kermadi, S.; Keffous, A.; Kechouane, M.

    2012-09-01

    We investigated the structural; optical and electrical properties of ZnO thin films as the n-type semiconductor for silicon a-Si:H/Si heterojunction photodiodes. The ZnO film forms the front contact of the super-strata solar cell and has to exhibit good electrical (high conductivity) and optical (high transmittance) properties. In this paper we focused our attention on the influence of doping on device performance. The results show that the X-ray diffraction (XRD) spectra revealed a preferred orientation of the crystallites along c-axis. SEM images show that all films display a granular, polycrystalline morphology and the ZnO:Al exhibits a better grain uniformity. The transmittance of the doped films was found to be higher when compared to undoped ZnO. A low resistivity of the order of 2.8 × 10-4 Ω cm is obtained for ZnO:Al using 0.4 M concentration of zinc acetate. The photoluminescence (PL) spectra exhibit a blue band with two peaks centered at 442 nm (2.80 eV) and 490 nm (2.53 eV). It is noted that after doping the ZnO films a shift of the band by 22 nm (0.15 eV) is recorded and a high luminescence occurs when using Al as a dopant. Dark I-V curves of ZnO/a-Si:H/Si structure showed large difference, which means there is a kind of barrier to current flow between ZnO and a-Si:H layer. Doping films was applied and the turn-on voltages are around 0.6 V. Under reverse bias, the current of the ZnO/a-Si:H/Si heterojunction is larger than that of ZnO:Al/a-Si:H/Si. The improvement with ZnO:Al is attributed to a higher number of generated carriers in the nanostructure (due to the higher transmittance and a higher luminescence) that increases the probability of collisions.

  17. Electrical characterization of Au/quercetin/n-Si heterojunction diode and optical analysis of quercetin thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tombak, Ahmet, E-mail: tahmet@yahoo.com; Özaydin, C.; Boğa, M.

    2016-03-25

    Quercetin (3,5,7,3’,4’-pentahydroxyflavone, QE), one of the most widely distributed flavonoids in fruits and vegetables, has been reported to possess a wide variety of biological effects, including anti-oxidative, anti-inflammatory, anti-apoptosis, hepatoprotective, renoprotective and neuroprotective effects. In this study organic-inorganic junctions were fabricated by forming quercetin complex thin film using spin coating technique on n-Si and evaporating Au metal on the film. Optical properties of quercetin thin film were studied with the help of spectrophotometer. The current-voltage (I-V) characteristic of Au/quercetin/n-Si heterojunction diode was investigated at room temperature in dark. Some basic parameters of the diode such as ideality factor, rectification ratio,more » barrier height, series resistance and shunt resistance were calculated using dark current-voltage measurement. It was also seen that the device had good sensitivity to the light under 40-100 mW/cm{sup 2} illumination conditions.« less

  18. Depth profiling and morphological characterization of AlN thin films deposited on Si substrates using a reactive sputter magnetron

    NASA Astrophysics Data System (ADS)

    Macchi, Carlos; Bürgi, Juan; García Molleja, Javier; Mariazzi, Sebastiano; Piccoli, Mattia; Bemporad, Edoardo; Feugeas, Jorge; Sennen Brusa, Roberto; Somoza, Alberto

    2014-08-01

    It is well-known that the characteristics of aluminum nitride thin films mainly depend on their morphologies, the quality of the film-substrate interfaces and the open volume defects. A study of the depth profiling and morphological characterization of AlN thin films deposited on two types of Si substrates is presented. Thin films of thicknesses between 200 and 400 nm were deposited during two deposition times using a reactive sputter magnetron. These films were characterized by means of X-ray diffraction and imaging techniques (SEM and TEM). To analyze the composition of the films, energy dispersive X-ray spectroscopy was applied. Positron annihilation spectroscopy, specifically Doppler broadening spectroscopy, was used to gather information on the depth profiling of open volume defects inside the films and the AlN films-Si substrate interfaces. The results are interpreted in terms of the structural changes induced in the films as a consequence of changes in the deposition time (i.e., thicknesses) and of the orientation of the substrates.

  19. Investigations on structural and electrical parameters of p-Si/ MgxZn1-xO thin film heterojunction diodes grown by RF magnetron sputtering technique

    NASA Astrophysics Data System (ADS)

    Singh, Satyendra Kumar; Hazra, Purnima

    2018-05-01

    This work reports fabrication and characterization of p-Si/ MgxZn1-xO thin film heterojunction diodes grown by RF magnetron sputtering technique. In this work, ZnO powder was mixed with MgO powder at per their weight percentage from 0 to 10% to prepare MgxZn1-xO target. The microstructural, surface morphological and optical properties of as-deposited p-Si/MgxZn1-xO heterostructure thin films have been studied using X-ray Diffraction, atomic force microscopy and variable angle ellipsometer. XRD spectra exhibit that undoped ZnO thin films has preferred crystal orientation in (002) plane. However, with increase in Mg-doping, ZnO (101) crystal plane is enhanced progressively due to phase segregation, even though preferred growth orientation of ZnO crystals is still towards (002) plane. The electrical characteristics of Si/ MgxZn1-xO heterojunction diodes with large area Al/Ti ohmic contacts are evaluated using semiconductor parameter analyzer. With rectification ratio of 27894, reverse saturation current of 20.5 nA and barrier height of 0.724 eV, Si/Mg0.5Zn0.95O thin film heterojunction diode is believed to have potential to be used in wider bandgap nanoelectronic device applications.

  20. Orientation effect on microwave dielectric properties of Si-integrated Ba0.6Sr0.4TiO3 thin films for frequency agile devices

    NASA Astrophysics Data System (ADS)

    Kim, Hyun-Suk; Hyun, Tae-Seon; Kim, Ho-Gi; Kim, Il-Doo; Yun, Tae-Soon; Lee, Jong-Chul

    2006-07-01

    The effect of texture with (100) and (110) preferred orientations on dielectric properties of Ba0.6Sr0.4TiO3 (BST) thin films grown on SrO (9nm) and CeO2 (70nm ) buffered Si substrates, respectively, was investigated. The coplanar waveguide (CPW) phase shifter using (100) oriented BST films on SrO buffered Si exhibited a much-enhanced figure of merit of 24.7°/dB, as compared to that (10.2°/dB) of a CPW phase shifter using (110) oriented BST films on CeO2 buffered Si at 12GHz. This work demonstrates that the microwave properties of the Si-integrated BST thin films are highly correlated with crystal orientation.

  1. Influence of the transition region between p- and n-type polycrystalline silicon passivating contacts on the performance of interdigitated back contact silicon solar cells

    NASA Astrophysics Data System (ADS)

    Reichel, Christian; Müller, Ralph; Feldmann, Frank; Richter, Armin; Hermle, Martin; Glunz, Stefan W.

    2017-11-01

    Passivating contacts based on thin tunneling oxides (SiOx) and n- and p-type semi-crystalline or polycrystalline silicon (poly-Si) enable high passivation quality and low contact resistivity, but the integration of these p+/n emitter and n+/n back surface field junctions into interdigitated back contact silicon solar cells poses a challenge due to high recombination at the transition region from p-type to n-type poly-Si. Here, the transition region was created in different configurations—(a) p+ and n+ poly-Si regions are in direct contact with each other ("pn-junction"), using a local overcompensation (counterdoping) as a self-aligning process, (b) undoped (intrinsic) poly-Si remains between the p+ and n+ poly-Si regions ("pin-junction"), and (c) etched trenches separate the p+ and n+ poly-Si regions ("trench")—in order to investigate the recombination characteristics and the reverse breakdown behavior of these solar cells. Illumination- and injection-dependent quasi-steady state photoluminescence (suns-PL) and open-circuit voltage (suns-Voc) measurements revealed that non-ideal recombination in the space charge regions with high local ideality factors as well as recombination in shunted regions strongly limited the performance of solar cells without a trench. In contrast, solar cells with a trench allowed for open-circuit voltage (Voc) of 720 mV, fill factor of 79.6%, short-circuit current (Jsc) of 41.3 mA/cm2, and a conversion efficiencies (η) of 23.7%, showing that a lowly conducting and highly passivating intermediate layer between the p+ and n+ poly-Si regions is mandatory. Independent of the configuration, no hysteresis was observed upon multiple stresses in reverse direction, indicating a controlled and homogeneously distributed breakdown, but with different breakdown characteristics.

  2. Influence of Discharge Current on Phase Transition Properties of High Quality Polycrystalline VO2 Thin Film Fabricated by HiPIMS

    PubMed Central

    Lin, Tiegui; Wang, Jian; Liu, Gang; Wang, Langping; Wang, Xiaofeng; Zhang, Yufen

    2017-01-01

    To fabricate high-quality polycrystalline VO2 thin film with a metal–insulator transition (MIT) temperature less than 50 °C, high-power impulse magnetron sputtering with different discharge currents was employed in this study. The as-deposited VO2 films were characterized by a four-point probe resistivity measurement system, visible-near infrared (IR) transmittance spectra, X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy. The resistivity results revealed that all the as-deposited films had a high resistance change in the phase transition process, and the MIT temperature decreased with the increased discharge current, where little deterioration in the phase transition properties, such as the resistance and transmittance changes, could be found. Additionally, XRD patterns at various temperatures exhibited that some reverse deformations that existed in the MIT process of the VO2 film, with a large amount of preferred crystalline orientations. The decrease of the MIT temperature with little deterioration on phase transition properties could be attributed to the reduction of the preferred grain orientations. PMID:28772990

  3. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solidmore » oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.« less

  4. Suppression of magnetostructural transition on GdSiGe thin film after thermal cyclings

    DOE PAGES

    Pires, A. L.; Belo, J. H.; Gomes, I. T.; ...

    2016-09-08

    The influence of thermal cycling on the microstructure, magnetic phase transition and magnetic entropy change of a Gd 5Si 1.3Ge 2.7 thin film up to 1000 cycles is investigated. The authors found that after 1000 cycles a strong reduction of the crystallographic phase responsible for the magnetostructural transition (Orthorhombic II phase) occurs. We attribute this to the chemical disorder, caused by the large number of expansion/compression cycles that the Orthorhombic II phase undergoes across the magnetostructural transition. The suppression of the magnetostructural transition corresponds to a drastic decrease of the thin film magnetic entropy change. Our results reveal the importancemore » of studying the thermal/magnetic cycles influence on magnetostructural transitions as they can damage a real-life device.« less

  5. High performance sandwich structured Si thin film anodes with LiPON coating

    NASA Astrophysics Data System (ADS)

    Luo, Xinyi; Lang, Jialiang; Lv, Shasha; Li, Zhengcao

    2018-04-01

    The sandwich structured silicon thin film anodes with lithium phosphorus oxynitride (LiPON) coating are synthesized via the radio frequency magnetron sputtering method, whereas the thicknesses of both layers are in the nanometer range, i.e. between 50 and 200 nm. In this sandwich structure, the separator simultaneously functions as a flexible substrate, while the LiPON layer is regarded as a protective layer. This sandwich structure combines the advantages of flexible substrate, which can help silicon release the compressive stress, and the LiPON coating, which can provide a stable artificial solidelectrolyte interphase (SEI) film on the electrode. As a result, the silicon anodes are protected well, and the cells exhibit high reversible capacity, excellent cycling stability and good rate capability. All the results demonstrate that this sandwich structure can be a promising option for high performance Si thin film lithium ion batteries.

  6. High performance sandwich structured Si thin film anodes with LiPON coating

    NASA Astrophysics Data System (ADS)

    Luo, Xinyi; Lang, Jialiang; Lv, Shasha; Li, Zhengcao

    2018-06-01

    The sandwich structured silicon thin film anodes with lithium phosphorus oxynitride (LiPON) coating are synthesized via the radio frequency magnetron sputtering method, whereas the thicknesses of both layers are in the nanometer range, i.e. between 50 and 200 nm. In this sandwich structure, the separator simultaneously functions as a flexible substrate, while the LiPON layer is regarded as a protective layer. This sandwich structure combines the advantages of flexible substrate, which can help silicon release the compressive stress, and the LiPON coating, which can provide a stable artificial solid-electrolyte interphase (SEI) film on the electrode. As a result, the silicon anodes are protected well, and the cells exhibit high reversible capacity, excellent cycling stability and good rate capability. All the results demonstrate that this sandwich structure can be a promising option for high performance Si thin film lithium ion batteries.

  7. Solid state dewetting of thin plasmonic films under focused cw-laser irradiation

    DOE PAGES

    Abbott, William M.; Corbett, Simon; Cunningham, Graeme; ...

    2017-12-21

    Elevated temperatures and large thermal gradients are a significant source of component failure in microelectronics, and is the limiting factor in heat-assisted magnetic recording (HAMR). Here, we have investigated the effect of solid-state dewetting in Au thin films, as a function of local temperature, film thickness, and substrate adhesion. In this work, a localised temperature rise is induced in thin (≤ 50 nm) polycrystalline Au films on SiO 2 substrates via focused continuous-wave laser irradiation at 488 nm. The magnitude and distribution of the total temperature rise is measured using CCD-based thermoreflectance. This also allows a sensitive measurement of themore » temperature at which dewetting occurs, showing that for thin (≤ 50 nm) Au films without adhesion layers, rapid dewetting can occur at temperatures as low as 50° C. The time decay of the reflected light from the illuminating laser is used to monitor locally the dynamics of solid state dewetting. TEM diffraction analysis shows significant changes in the microstructure and crystallographic texture of the films as far as 10 µm away from the illuminated area. The use of a thin metallic adhesion layer (such as Ti or Cr) is shown to significantly improve the adhesion of the Au to the substrate and reduce the tendency towards dewetting, but does not entirely protect it from changes to the crystallographic texture.« less

  8. Solid state dewetting of thin plasmonic films under focused cw-laser irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abbott, William M.; Corbett, Simon; Cunningham, Graeme

    Elevated temperatures and large thermal gradients are a significant source of component failure in microelectronics, and is the limiting factor in heat-assisted magnetic recording (HAMR). Here, we have investigated the effect of solid-state dewetting in Au thin films, as a function of local temperature, film thickness, and substrate adhesion. In this work, a localised temperature rise is induced in thin (≤ 50 nm) polycrystalline Au films on SiO 2 substrates via focused continuous-wave laser irradiation at 488 nm. The magnitude and distribution of the total temperature rise is measured using CCD-based thermoreflectance. This also allows a sensitive measurement of themore » temperature at which dewetting occurs, showing that for thin (≤ 50 nm) Au films without adhesion layers, rapid dewetting can occur at temperatures as low as 50° C. The time decay of the reflected light from the illuminating laser is used to monitor locally the dynamics of solid state dewetting. TEM diffraction analysis shows significant changes in the microstructure and crystallographic texture of the films as far as 10 µm away from the illuminated area. The use of a thin metallic adhesion layer (such as Ti or Cr) is shown to significantly improve the adhesion of the Au to the substrate and reduce the tendency towards dewetting, but does not entirely protect it from changes to the crystallographic texture.« less

  9. Microscopic gate-modulation imaging of charge and field distribution in polycrystalline organic transistors

    NASA Astrophysics Data System (ADS)

    Matsuoka, Satoshi; Tsutsumi, Jun'ya; Kamata, Toshihide; Hasegawa, Tatsuo

    2018-04-01

    In this work, a high-resolution microscopic gate-modulation imaging (μ-GMI) technique is successfully developed to visualize inhomogeneous charge and electric field distributions in operating organic thin-film transistors (TFTs). We conduct highly sensitive and diffraction-limit gate-modulation sensing for acquiring difference images of semiconducting channels between at gate-on and gate-off states that are biased at an alternate frequency of 15 Hz. As a result, we observe unexpectedly inhomogeneous distribution of positive and negative local gate-modulation (GM) signals at a probe photon energy of 1.85 eV in polycrystalline pentacene TFTs. Spectroscopic analyses based on a series of μ-GMI at various photon energies reveal that two distinct effects appear, simultaneously, within the polycrystalline pentacene channel layers: Negative GM signals at 1.85 eV originate from the second-derivative-like GM spectrum which is caused by the effect of charge accumulation, whereas positive GM signals originate from the first-derivative-like GM spectrum caused by the effect of leaked gate fields. Comparisons with polycrystalline morphologies indicate that grain centers are predominated by areas with high leaked gate fields due to the low charge density, whereas grain edges are predominantly high-charge-density areas with a certain spatial extension as associated with the concentrated carrier traps. Consequently, it is reasonably understood that larger grains lead to higher device mobility, but with greater inhomogeneity in charge distribution. These findings provide a clue to understand and improve device characteristics of polycrystalline TFTs.

  10. Mechanical behavior of polycrystalline ceramics: Brittle fracture of SiC-Si3N4 materials

    NASA Technical Reports Server (NTRS)

    Ceipold, M. H.; Kapadia, C. M.; Kelkar, A. H.

    1972-01-01

    Research on the fracture behavior of silicon nitride and silicon carbide is reported along with the role of anion impurities in the fabrication and behavior of magnesium oxide. The results of a survey of crack propagation in SiC and Si3N4 are presented. Studies in the following areas are reported: development of a fracture toughness testing technique, constant moment beam, microcrack examination, and etching techniques.

  11. Optical properties of thin fibrous PVP/SiO2 composite mats prepared via the sol-gel and electrospinning methods

    NASA Astrophysics Data System (ADS)

    Tański, Tomasz; Matysiak, Wiktor; Krzemiński, Łukasz; Jarka, Paweł; Gołombek, Klaudiusz

    2017-12-01

    The aim of the research was to create thin, nanofibrous composite mats with a polyvinylpyrrolidone (PVP) matrix, with the reinforcing phase in the form of silicon oxide (SiO2) nanoparticles. SiO2 nanopowder was obtained using the zol-gel method with a mixture of tetraethyl orthosilicate (TEOS, Si (OC2H5)), hydrochloric acid (HCl), ethanol (C3H5OH) and distilled water. The produced colloidal suspension was subjected to a drying process and a calcination process at 550 °C, resulting in an amorphous silica nanopowder with an average particle diameter of 20 nm. The morphology and structure of the manufactured SiO2 nanoparticles was tested using transmission electron microscopy (TEM) and X-ray diffraction analysis (XRD). Then, using the electrospinning method with a 15% (weight) solution of PVP in ethanol and a 15% solution of PVP/EtOH containing the produced nanoparticles equivalent to 5% of the mass concentration relative to the polymer matrix, polymer PVP nanofibres and PVP/SiO2 composite nanofibres/SiO2 nanoparticles were produced. The morphology and chemical composition of the produced polymer and composite nanofibres were tested using a scanning electron microscope (SEM) with an energy dispersive spectrometer (EDS). The analysis of the impact of the reinforcing phase on the absorption of electromagnetic radiation was conducted on the basis of UV-vis spectra, based on which the rated values of band gaps of the produced thin fibrous mats were assessed.

  12. Novel Solution Process for Fabricating Ultra-Thin-Film Absorber Layers in Fe 2SiS 4 and Fe 2GeS 4 Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orefuwa, Samuel A.; Lai, Cheng-Yu; Dobson, Kevin D.

    2014-05-12

    Fe 2SiS 4 and Fe 2GeS 4 crystalline materials posses direct bandgaps of ~1.55 and ~1.4 eV respectively and an absorption coefficient larger than 10^5 cm–1; their theoretical potential as solar photovoltaic absorbers has been demonstrated. However, no solar devices that employ either Fe 2SiS 4 or Fe 2GeS 4 have been reported to date. In the presented work, nanoprecursors to Fe 2SiS 4 and Fe 2GeS 4 have been fabricated and employed to build ultra-thin-film layers via spray coating and rod coating methods. Temperature-dependent X-Ray diffraction analyses of nanoprecursors coatings show an unprecedented low temperature for forming crystalline Femore » 2SiS 4 and Fe 2GeS 4. Fabricating of ultra-thin-film photovoltaic devices utilizing Fe 2SiS 4 and Fe 2GeS 4 as solar absorber material is presented.« less

  13. Surface-potential undulation of Alq3 thin films prepared on ITO, Au, and n-Si.

    PubMed

    Ozasa, Kazunari; Ito, Hiromi; Maeda, Mizuo; Hara, Masahiko

    2012-01-01

    The surface potential (SP) morphology on thin films of tris(8-hydroxyquinolinato) aluminum (Alq3) was investigated with Kelvin probe force microscopy. Thin Alq3 films of 100 nm were prepared on ITO/glass substrates, Au/mica substrates, and n-Si substrates. Cloud-like morphologies of the SP undulation with 200-400 nm in lateral size were observed for all three types of the substrates. New larger peaks were observed in the cloud-like morphologies when the surfaces were exposed shortly to a light, while the SP average was reduced monotonically. The nonuniform distribution of charged traps and mobility was deduced from the SP undulation morphology and its photoexposure dependences.

  14. Polycrystalline silicon material availability and market pricing outlook for 1980 through 1988

    NASA Technical Reports Server (NTRS)

    Costogue, E. N.; Ferber, R. R.

    1984-01-01

    The results of the second JPL update to an original report to assess the availability and prices of polycrystalline Si for solar cells in the 1983-88 interval are reported. It is noted that the demand for poly-Si for solar cells competes with the demand for the same material for semiconductors, although the solar cell industry can use material rejected from the semiconductor industry. A sufficient supply is projected for the 6 yr period, rising from 3224 metric tons to 10,220 metric tons in 1988, with prices dropping from the 1980 level of $140/kg to $25/kg. The price reduction and improved production are noted to be due in large part to DOE efforts at defining lower-cost production processes.

  15. Phase change studies in Se85In15-xZnx chalcogenide thin films

    NASA Astrophysics Data System (ADS)

    Srivastava, Archana; Tiwari, S. N.; Alvi, M. A.; Khan, Shamshad A.

    2018-03-01

    This research work describes the phase change studies in Se85In15-xZnx thin films at various annealing temperatures. Glassy samples of Se85In15-xZnx were synthesized by the melt quenching method and thin films of thickness 400 nm were prepared by the vacuum evaporation technique on a glass/Si wafer substrate. The glass transition temperature (Tg) and the on-set crystallization temperature (Tc) of the prepared alloys were evaluated by non-isothermal differential scanning calorimetry studies. Thin films were annealed at three temperatures 330 K, 340 K, and 350 K (which are in between Tg and Tc of the synthesized samples) in a vacuum furnace for 2 h. High resolution X-ray diffraction studies demonstrate that the as-prepared films are amorphous in nature whereas the annealed films are of crystalline/polycrystalline in nature. Field emission scanning electron microscopy studies of thin films (as-deposited and crystallized) confirm the phase transformation in Se85In15-xZnx thin films. Optical band gaps were calculated from the Tauc's extrapolation procedure and were found to be enhanced with the Zn concentration and decrease with the increasing annealing temperature. Various optical parameters were evaluated for as-prepared and annealed Se85In15-xZnx thin films. The changes in optical parameters with annealing temperature were described on the basis of structural relaxation as well as changes in defect states and density of localized states during amorphous to crystalline phase transformation in Se85In15-xZnx thin films.

  16. Estimation of steady-state leakage current in polycrystalline PZT thin films

    NASA Astrophysics Data System (ADS)

    Podgorny, Yury; Vorotilov, Konstantin; Sigov, Alexander

    2016-09-01

    Estimation of the steady state (or "true") leakage current Js in polycrystalline ferroelectric PZT films with the use of the voltage-step technique is discussed. Curie-von Schweidler (CvS) and sum of exponents (Σ exp ) models are studied for current-time J (t) data fitting. Σ exp model (sum of three or two exponents) gives better fitting characteristics and provides good accuracy of Js estimation at reduced measurement time thus making possible to avoid film degradation, whereas CvS model is very sensitive to both start and finish time points and give in many cases incorrect results. The results give rise to suggest an existence of low-frequency relaxation processes in PZT films with characteristic duration of tens and hundreds of seconds.

  17. Grain boundary dominated ion migration in polycrystalline organic–inorganic halide perovskite films

    DOE PAGES

    Shao, Yuchuan; Fang, Yanjun; Li, Tao; ...

    2016-03-21

    The efficiency of perovskite solar cells is approaching that of single-crystalline silicon solar cells despite the presence of large grain boundary (GB) area in the polycrystalline thin films. Here, by using a combination of nanoscopic and macroscopic level measurements, we show that the ion migration in polycrystalline perovskites is dominated through GBs. Conducting atomic force microscopy measurements reveal much stronger hysteresis both for photocurrent and dark-current at the GBs than on the grains interiors, which can be explained by faster ion migration at the GBs. The dramatically enhanced ion migration results in a redistribution of ions along the GBs aftermore » electric poling, in contrast to the intact grain area. The perovskite single-crystal devices without GBs show negligible current hysteresis and no ion-migration signal. Furthermore, the discovery of dominating ion migration through GBs in perovskites can lead to broad applications in many types of devices including photovoltaics, memristors, and ion batteries.« less

  18. Properties of Nanocrystalline Cubic Silicon Carbide Thin Films Prepared by Hot-Wire Chemical Vapor Deposition Using SiH4/CH4/H2 at Various Substrate Temperatures

    NASA Astrophysics Data System (ADS)

    Tabata, Akimori; Komura, Yusuke; Hoshide, Yoshiki; Narita, Tomoki; Kondo, Akihiro

    2008-01-01

    Silicon carbide (SiC) thin films were prepared by hot-wire chemical vapor deposition from SiH4/CH4/H2 gases, and the influence of substrate temperature, Ts (104 < Ts < 434 °C), on the properties of the SiC thin films was investigated. X-ray diffraction patterns and Raman scattering spectra revealed that nanocrystalline cubic SiC (nc-3C-SiC) films grew at Ts above 187 °C, while completely amorphous films grew at Ts = 104 °C. Fourier transform infrared absorption spectra revealed that the crystallinity of the nc-3C-SiC was improved with increasing Ts up to 282 °C and remained almost unchanged with a further increase in Ts from 282 to 434 °C. The spin density was reduced monotonically with increasing Ts.

  19. Synchrotron X-ray Microdiffraction Analysis of Proton Irradiated Polycrystalline Diamond Films

    NASA Technical Reports Server (NTRS)

    Newton, R. I.; Davidson, J. L.; Ice, G. E.; Liu, W.

    2004-01-01

    X-ray microdiffraction is a non-destructive technique that allows for depth-resolved, strain measurements with sub-micron spatial resolution. These capabilities make this technique promising for understanding the mechanical properties of MicroElectroMechanical Systems (MEMS). This investigation examined the local strain induced by irradiating a polycrystalline diamond thin film with a dose of 2x10(exp 17) H(+)per square centimeter protons. Preliminary results indicate that a measurable strain, on the order of 10(exp -3), was introduced into the film near the End of Range (EOR) region of the protons.

  20. Time-resolved electric force microscopy of charge trapping in polycrystalline pentacene.

    PubMed

    Jaquith, Michael; Muller, Erik M; Marohn, John A

    2007-07-12

    Here we introduce time-resolved electric force microscopy measurements to directly and locally probe the kinetics of charge trap formation in a polycrystalline pentacene thin-film transistor. We find that the trapping rate depends strongly on the initial concentration of free holes and that trapped charge is highly localized. The observed dependence of trapping rate on the hole chemical potential suggests that the trapping process should not be viewed as a filling of midgap energy levels, but instead as a process in which the very creation of trapped states requires the presence of free holes.

  1. Structural and electrical characterization of epitaxial Ge thin films on Si(001) formed by sputtering

    NASA Astrophysics Data System (ADS)

    Otsuka, Shintaro; Mori, Takahiro; Morita, Yukinori; Uchida, Noriyuki; Liu, Yongxun; O'uchi, Shin-ichi; Fuketa, Hiroshi; Migita, Shinji; Masahara, Meishoku; Matsukawa, Takashi

    2017-04-01

    We structurally and electrically characterize sub-10-nm-thick heteroepitaxial Ge films on Si(001), formed by heated sputtering and subsequent rapid thermal annealing (RTA). After RTA treatment at 720 °C, we find the heteroepitaxial Ge films to have smooth surfaces with a roughness root mean square value of 0.54 nm. Raman measurement reveals that the 720 °C RTA improves the crystallinity of Ge films while maintaining abrupt Ge/Si interfaces. Cross-sectional transmission electron microscopy confirms that the 720 °C RTA step effectively reduces stacking faults and dislocations in the Ge films. The Richardson plot of the TaN/Ge/n-Si diode indicates a Schottky barrier height (SBH) of 0.33 V, which is close to the height of 0.37 V measured from the capacitance-voltage measurement. These values are reasonable compared with the reported SBH of the TaN/bulk Ge Schottky barrier diode, indicating that the method involving heated sputtering and subsequent RTA provides adequate thin Ge films for Ge/Si heterostructures.

  2. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    NASA Astrophysics Data System (ADS)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  3. Dependence of electrical and optical properties of amorphous SiC:H thin films grown by rf plasma enhanced chemical vapor deposition on annealing temperature

    NASA Astrophysics Data System (ADS)

    Park, M. G.; Choi, W. S.; Hong, B.; Kim, Y. T.; Yoon, D. H.

    2002-05-01

    In this article, we investigated the dependence of optical and electrical properties of hydrogenated amorphous silicon carbide (a-SiC:H) films on annealing temperature (Ta) and radio frequency (rf) power. The substrate temperature (Ts) was 250 °C, the rf power was varied from 30 to 400 W, and the range of Ta was from 400 to 600 °C. The a-SiC:H films were deposited by using the plasma enhanced chemical vapor deposition system on Corning 7059 glasses and p-type Si (100) wafers with a SiH4+CH4 gas mixture. The experimental results have shown that the optical bandgap energy (Eg) of the a-SiC:H thin films changed little on the annealing temperature while Eg increased with the rf power. The Raman spectrum of the thin films annealed at high temperatures showed that graphitization of carbon clusters and microcrystalline silicon occurs. The current-voltage characteristics have shown good electrical properties in relation to the annealed films.

  4. Effect of Nickel Concentration on Bias Reliability and Thermal Stability of Thin-Film Transistors Fabricated by Ni-Metal-Induced Crystallization

    NASA Astrophysics Data System (ADS)

    Lai, Ming-Hui; Sermon Wu, YewChung; Huang, Jung-Jie

    2012-01-01

    Ni-metal-induced crystallization (MIC) of amorphous Si (α-Si) has been employed to fabricate low-temperature polycrystalline silicon (poly-Si) thin-film transistors (TFTs). Although the high leakage current is a major issue in the performance of conventional MIC-TFTs since Ni contamination induces deep-level state traps, it can be greatly improved by using well-known technologies to reduce Ni contamination. However, for active-matrix organic light-emitting diode (AMOLED) display applications, the bias reliability and thermal stability are major concerns especially when devices are operated under a hot carrier condition and in a high-temperature environment. It will be interesting to determine how the bias reliability and thermal stability are affected by the reduction of Ni concentration. In the study, the effect of Ni concentration on bias reliability and thermal stability was investigated. We found that a device exhibited high immunity against hot-carrier stress and elevated temperatures. These findings demonstrated that reducing the Ni concentration in MIC films was also beneficial for bias reliability and thermal stability.

  5. SERS activity of Ag decorated nanodiamond and nano-β-SiC, diamond-like-carbon and thermally annealed diamond thin film surfaces.

    PubMed

    Kuntumalla, Mohan Kumar; Srikanth, Vadali Venkata Satya Siva; Ravulapalli, Satyavathi; Gangadharini, Upender; Ojha, Harish; Desai, Narayana Rao; Bansal, Chandrahas

    2015-09-07

    In the recent past surface enhanced Raman scattering (SERS) based bio-sensing has gained prominence owing to the simplicity and efficiency of the SERS technique. Dedicated and continuous research efforts have been made to develop SERS substrates that are not only stable, durable and reproducible but also facilitate real-time bio-sensing. In this context diamond, β-SiC and diamond-like-carbon (DLC) and other related thin films have been promoted as excellent candidates for bio-technological applications including real time bio-sensing. In this work, SERS activities of nanodiamond, nano-β-SiC, DLC, thermally annealed diamond thin film surfaces were examined. DLC and thermally annealed diamond thin films were found to show SERS activity without any metal nanostructures on their surfaces. The observed SERS activities of the considered surfaces are explained in terms of the electromagnetic enhancement mechanism and charge transfer resonance process.

  6. Near single-crystalline, high-carrier-mobility silicon thin film on a polycrystalline/amorphous substrate

    DOEpatents

    Findikoglu, Alp T [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM; Arendt, Paul N [Los Alamos, NM; Matias, Vladimir [Santa Fe, NM; Choi, Woong [Los Alamos, NM

    2009-10-27

    A template article including a base substrate including: (i) a base material selected from the group consisting of polycrystalline substrates and amorphous substrates, and (ii) at least one layer of a differing material upon the surface of the base material; and, a buffer material layer upon the base substrate, the buffer material layer characterized by: (a) low chemical reactivity with the base substrate, (b) stability at temperatures up to at least about 800.degree. C. under low vacuum conditions, and (c) a lattice crystal structure adapted for subsequent deposition of a semiconductor material; is provided, together with a semiconductor article including a base substrate including: (i) a base material selected from the group consisting of polycrystalline substrates and amorphous substrates, and (ii) at least one layer of a differing material upon the surface of the base material; and, a buffer material layer upon the base substrate, the buffer material layer characterized by: (a) low chemical reactivity with the base substrate, (b) stability at temperatures up to at least about 800.degree. C. under low vacuum conditions, and (c) a lattice crystal structure adapted for subsequent deposition of a semiconductor material, and, a top-layer of semiconductor material upon the buffer material layer.

  7. Photoelectronic Sensor with Gold Nanoparticle Plasmon Antenna

    DTIC Science & Technology

    2016-07-20

    on glass substrate, GNP is absorbed on the film. After removing outer protein by UV ozone, TiO2 is deposited again and annealed. As optical... SiO2 Thin Films by CO2 Laser Annealing for Polycrystalline Silicon Thin Film Transistors”, AMD8-3L, The International Display Workshops Volume 21

  8. Propagation losses in undoped and n-doped polycrystalline silicon wire waveguides.

    PubMed

    Zhu, Shiyang; Fang, Q; Yu, M B; Lo, G Q; Kwong, D L

    2009-11-09

    Polycrystalline silicon (polySi) wire waveguides with width ranging from 200 to 500 nm are fabricated by solid-phase crystallization (SPC) of deposited amorphous silicon (a-Si) on SiO(2) at a maximum temperature of 1000 degrees C. The propagation loss at 1550 nm decreases from 13.0 to 9.8 dB/cm with the waveguide width shrinking from 500 to 300 nm while the 200-nm-wide waveguides exhibit quite large loss (>70 dB/cm) mainly due to the relatively rough sidewall of waveguides induced by the polySi dry etch. By modifying the process sequence, i.e., first patterning the a-Si layer into waveguides by dry etch and then SPC, the sidewall roughness is significantly improved but the polySi crystallinity is degraded, leading to 13.9 dB/cm loss in the 200-nm-wide waveguides while larger losses in the wider waveguides. Phosphorus implantation causes an additional loss in the polySi waveguides. The doping-induced optical loss increases relatively slowly with the phosphorus concentration increasing up to 1 x 10(18) cm(-3), whereas the 5 x 10(18) cm(-3) doped waveguides exhibit large loss due to the dominant free carrier absorption. For all undoped polySi waveguides, further 1-2 dB/cm loss reduction is obtained by a standard forming gas (10%H(2) + 90%N(2)) annealing owing to the hydrogen passivation of Si dangling bonds present in polySi waveguides, achieving the lowest loss of 7.9 dB/cm in the 300-nm-wide polySi waveguides. However, for the phosphorus doped polySi waveguides, the propagation loss is slightly increased by the forming gas annealing.

  9. Nanostructured Si(₁-x)Gex for tunable thin film lithium-ion battery anodes.

    PubMed

    Abel, Paul R; Chockla, Aaron M; Lin, Yong-Mao; Holmberg, Vincent C; Harris, Justin T; Korgel, Brian A; Heller, Adam; Mullins, C Buddie

    2013-03-26

    Both silicon and germanium are leading candidates to replace the carbon anode of lithium ions batteries. Silicon is attractive because of its high lithium storage capacity while germanium, a superior electronic and ionic conductor, can support much higher charge/discharge rates. Here we investigate the electronic, electrochemical and optical properties of Si(1-x)Gex thin films with x = 0, 0.25, 0.5, 0.75, and 1. Glancing angle deposition provided amorphous films of reproducible nanostructure and porosity. The film's composition and physical properties were investigated by X-ray photoelectron spectroscopy, four-point probe conductivity, Raman, and UV-vis absorption spectroscopy. The films were assembled into coin cells to test their electrochemical properties as a lithium-ion battery anode material. The cells were cycled at various C-rates to determine the upper limits for high rate performance. Adjusting the composition in the Si(1-x)Gex system demonstrates a trade-off between rate capability and specific capacity. We show that high-capacity silicon anodes and high-rate germanium anodes are merely the two extremes; the composition of Si(1-x)Gex alloys provides a new parameter to use in electrode optimization.

  10. Electron scattering characteristics of polycrystalline metal transition films by in-situ electrical resistance measurements

    NASA Astrophysics Data System (ADS)

    Trindade, I. G.; Leitão, D.; Fermento, R.; Pogorelev, Y.; Sousa, J. B.

    2009-08-01

    In-situ electrical resistance measurements were performed to obtain the scattering characteristics of very thin polycrystalline metal transition magnetic alloys grown by ion beam deposition (IBD) on specific underlayers. The experimental curves show size effects at small film thicknesses and important differences between Co 85Fe 15 and Ni 81Fe 19 thin layers grown on identical underlayers of Ta70 Å/Ru13 Å. The largest difference was observed in Ni 81Fe 19 films grown on underlayers of amorphous Ta70 Å. The experimental curves of electrical resistivity/conductivity variation with layer thickness were well fit within the Mayadas and Shatzkes (M-S) model, assuming specific formulations for grain growth with layer thickness.

  11. Two-dimensional ultra-thin SiO(x) (0 < x < 2) nanosheets with long-term cycling stability as lithium ion battery anodes.

    PubMed

    Sun, Lin; Su, Tingting; Xu, Lei; Liu, Meipin; Du, Hong-Bin

    2016-03-21

    Ultra-thin SiO(x) (0 < x < 2) nanosheets were obtained via a convenient solvothermal route from a Zintl compound CaSi2. After carbon coating, the SiOx@C nanosheet anodes exhibit high capacity, good rate and superior cycling performance for high-capacity lithium ion battery applications. The specific capacity can be maintained as high as 760 mA h g(-1) with almost no capacity decay after 400 cycles at a current density of 0.5 A g(-1).

  12. Enhanced photovoltaic performances of graphene/Si solar cells by insertion of a MoS₂ thin film.

    PubMed

    Tsuboi, Yuka; Wang, Feijiu; Kozawa, Daichi; Funahashi, Kazuma; Mouri, Shinichiro; Miyauchi, Yuhei; Takenobu, Taishi; Matsuda, Kazunari

    2015-09-14

    Transition-metal dichalcogenides exhibit great potential as active materials in optoelectronic devices because of their characteristic band structure. Here, we demonstrated that the photovoltaic performances of graphene/Si Schottky junction solar cells were significantly improved by inserting a chemical vapor deposition (CVD)-grown, large MoS2 thin-film layer. This layer functions as an effective electron-blocking/hole-transporting layer. We also demonstrated that the photovoltaic properties are enhanced with the increasing number of graphene layers and the decreasing thickness of the MoS2 layer. A high photovoltaic conversion efficiency of 11.1% was achieved with the optimized trilayer-graphene/MoS2/n-Si solar cell.

  13. Quasi free-standing epitaxial graphene fabrication on 3C-SiC/Si(111)

    NASA Astrophysics Data System (ADS)

    Amjadipour, Mojtaba; Tadich, Anton; Boeckl, John J.; Lipton-Duffin, Josh; MacLeod, Jennifer; Iacopi, Francesca; Motta, Nunzio

    2018-04-01

    Growing graphene on SiC thin films on Si is a cheaper alternative to the growth on bulk SiC, and for this reason it has been recently intensively investigated. Here we study the effect of hydrogen intercalation on epitaxial graphene obtained by high temperature annealing on 3C-SiC/Si(111) in ultra-high vacuum. By using a combination of core-level photoelectron spectroscopy, low energy electron diffraction, and near-edge x-ray absorption fine structure (NEXAFS) we find that hydrogen saturates the Si atoms at the topmost layer of the substrate, leading to free-standing graphene on 3C-SiC/Si(111). The intercalated hydrogen fully desorbs after heating the sample at 850 °C and the buffer layer appears again, similar to what has been reported for bulk SiC. However, the NEXAFS analysis sheds new light on the effect of hydrogen intercalation, showing an improvement of graphene’s flatness after annealing in atomic H at 600 °C. These results provide new insight into free-standing graphene fabrication on SiC/Si thin films.

  14. CdCl2 passivation of polycrystalline CdMgTe and CdZnTe absorbers for tandem photovoltaic cells

    NASA Astrophysics Data System (ADS)

    Swanson, Drew E.; Reich, Carey; Abbas, Ali; Shimpi, Tushar; Liu, Hanxiao; Ponce, Fernando A.; Walls, John M.; Zhang, Yong-Hang; Metzger, Wyatt K.; Sampath, W. S.; Holman, Zachary C.

    2018-05-01

    As single-junction silicon solar cells approach their theoretical limits, tandems provide the primary path to higher efficiencies. CdTe alloys can be tuned with magnesium (CdMgTe) or zinc (CdZnTe) for ideal tandem pairing with silicon. A II-VI/Si tandem holds the greatest promise for inexpensive, high-efficiency top cells that can be quickly deployed in the market using existing polycrystalline CdTe manufacturing lines combined with mature silicon production lines. Currently, all high efficiency polycrystalline CdTe cells require a chloride-based passivation process to passivate grain boundaries and bulk defects. This research examines the rich chemistry and physics that has historically limited performance when extending Cl treatments to polycrystalline 1.7-eV CdMgTe and CdZnTe absorbers. A combination of transmittance, quantum efficiency, photoluminescence, transmission electron microscopy, and energy-dispersive X-ray spectroscopy clearly reveals that during passivation, Mg segregates and out-diffuses, initially at the grain boundaries but eventually throughout the bulk. CdZnTe exhibits similar Zn segregation behavior; however, the onset and progression is localized to the back of the device. After passivation, CdMgTe and CdZnTe can render a layer that is reduced to predominantly CdTe electro-optical behavior. Contact instabilities caused by inter-diffusion between the layers create additional complications. The results outline critical issues and paths for these materials to be successfully implemented in Si-based tandems and other applications.

  15. CdCl2 Passivation of Polycrystalline CdMgTe and CdZnTe Absorbers for Tandem Photovoltaic Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metzger, Wyatt K; Swanson, Drew; Reich, Carey

    As single-junction silicon solar cells approach their theoretical limits, tandems provide the primary path to higher efficiencies. CdTe alloys can be tuned with magnesium (CdMgTe) or zinc (CdZnTe) for ideal tandem pairing with silicon. A II-VI/Si tandem holds the greatest promise for inexpensive, high-efficiency top cells that can be quickly deployed in the market using existing polycrystalline CdTe manufacturing lines combined with mature silicon production lines. Currently, all high efficiency polycrystalline CdTe cells require a chloride-based passivation process to passivate grain boundaries and bulk defects. This research examines the rich chemistry and physics that has historically limited performance when extendingmore » Cl treatments to polycrystalline 1.7-eV CdMgTe and CdZnTe absorbers. A combination of transmittance, quantum efficiency, photoluminescence, transmission electron microscopy, and energy-dispersive X-ray spectroscopy clearly reveals that during passivation, Mg segregates and out-diffuses, initially at the grain boundaries but eventually throughout the bulk. CdZnTe exhibits similar Zn segregation behavior; however, the onset and progression is localized to the back of the device. After passivation, CdMgTe and CdZnTe can render a layer that is reduced to predominantly CdTe electro-optical behavior. Contact instabilities caused by inter-diffusion between the layers create additional complications. The results outline critical issues and paths for these materials to be successfully implemented in Si-based tandems and other applications.« less

  16. Annealing induced low coercivity, nanocrystalline Co-Fe-Si thin films exhibiting inverse cosine angular variation

    NASA Astrophysics Data System (ADS)

    Hysen, T.; Al-Harthi, Salim; Al-Omari, I. A.; Geetha, P.; Lisha, R.; Ramanujan, R. V.; Sakthikumar, D.; Anantharaman, M. R.

    2013-09-01

    Co-Fe-Si based films exhibit high magnetic moments and are highly sought after for applications like soft under layers in perpendicular recording media to magneto-electro-mechanical sensor applications. In this work the effect of annealing on structural, morphological and magnetic properties of Co-Fe-Si thin films was investigated. Compositional analysis using X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a native oxide surface layer consisting of oxides of Co, Fe and Si on the surface. The morphology of the as deposited films shows mound like structures conforming to the Volmer-Weber growth model. Nanocrystallisation of amorphous films upon annealing was observed by glancing angle X-ray diffraction and transmission electron microscopy. The evolution of magnetic properties with annealing is explained using the Herzer model. Vibrating sample magnetometry measurements carried out at various angles from 0° to 90° to the applied magnetic field were employed to study the angular variation of coercivity. The angular variation fits the modified Kondorsky model. Interestingly, the coercivity evolution with annealing deduced from magneto-optical Kerr effect studies indicates a reverse trend compared to magetisation observed in the bulk. This can be attributed to a domain wall pinning at native oxide layer on the surface of thin films. The evolution of surface magnetic properties is correlated with morphology evolution probed using atomic force microscopy. The morphology as well as the presence of the native oxide layer dictates the surface magnetic properties and this is corroborated by the apparent difference in the bulk and surface magnetic properties.

  17. Direct Imaging and First Principles Studies of Si3N4/SiO2 Interface

    NASA Astrophysics Data System (ADS)

    Walkosz, Weronika; Klie, Robert; Ogut, Serdar; Mikijelj, Bilijana; Pennycook, Stephen; Idrobo, Juan C.

    2010-03-01

    It is well known that the composition of the integranular films (IGFs) in sintered polycrystalline silicon nitride (Si3N4) ceramics controls many of their physical and mechanical properties. A considerable effort has been made to characterize these films on the atomic scale using both experimental and theoretical methods. In this talk, we present results from a combined atomic-resolution Z-contrast and annular bright field imaging, electron energy-loss spectroscopy, as well as ab initio studies of the interface between β-Si3N4 (10-10) and SiO2 intergranular film. Our results show that O replaces N at the interface between the two materials in agreement with our theoretical calculations and that N is present in the SiO2 IGF. Moreover, they indicate the presence of atomic columns completing Si3N4 open rings, which have not been observed experimentally at the recently imaged Si3N4/rare-earth oxides interfaces, but have been predicted theoretically on bare Si3N4 surfaces. The structural and electronic variations at the Si3N4/SiO2 interface will be discussed in detail, focusing in particular on bonding characteristics.

  18. Impact of SiNx capping on the formation of source/drain contact for In-Ga-Zn-O thin film transistor with self-aligned gate

    NASA Astrophysics Data System (ADS)

    Oh, Himchan; Pi, Jae-Eun; Hwang, Chi-Sun; Kwon, Oh-Sang

    2017-12-01

    Self-aligned gate structures are preferred for faster operation and scaling down of thin film transistors by reducing the overlapped region between source/drain and gate electrodes. Doping on source/drain regions is essential to fabricate such a self-aligned gate thin film transistor. For oxide semiconductors such as In-Ga-Zn-O, SiNx capping readily increases their carrier concentration. We report that the SiNx deposition temperature and thickness significantly affect the device properties, including threshold voltage, field effect mobility, and contact resistance. The reason for these variations in device characteristics mainly comes from the extension of the doped region to the gated area after the SiNx capping step. Analyses on capacitance-voltage and transfer length characteristics support this idea.

  19. Mg2Sn heterostructures on Si(111) substrate

    NASA Astrophysics Data System (ADS)

    Dózsa, L.; Galkin, N. G.; Pécz, B.; Osváth, Z.; Zolnai, Zs.; Németh, A.; Galkin, K. N.; Chernev, I. M.; Dotsenko, S. A.

    2017-05-01

    Thin un-doped and Al doped polycrystalline Mg-stannide films consisting mainly of Mg2Sn semiconductor phase have been grown by deposition of Sn-Mg multilayers on Si(111) p-type wafers at room temperature and annealing at 150 °C. Rutherford backscattering measurement spectroscopy (RBS) were used to determine the amount of Mg and Sn in the structures. Raman spectroscopy has shown the layers contain Mg2Sn phase. Cross sectional transmission electron microscopy (XTEM) measurements have identified Mg2Sn nanocrystallites in hexagonal and cubic phases without epitaxial orientation with respect to the Si(111) substrate. Significant oxygen concentration was found in the layer both by RBS and TEM. The electrical measurements have shown laterally homogeneous conductivity in the grown layer. The undoped Mg2Sn layers show increasing resistivity with increasing temperature indicating the scattering process dominates the resistance of the layers, i.e. large concentration of point defects was generated in the layer during the growth process. The Al doped layer shows increase of the resistance at low temperature caused by freeze out of free carriers in the Al doped Mg2Sn layer. The measurements indicate the necessity of protective layer grown over the Mg2Sn layers, and a short time delay between sample preparation and cross sectionalTEM analysis, since the unprotected layer is degraded by the interaction with the ambient.

  20. Compositional analysis and depth profiling of thin film CrO{sub 2} by heavy ion ERDA and standard RBS: a comparison

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khamlich, S., E-mail: skhamlich@gmail.com; Department of Chemistry, Tshwane University of Technology, Private Bag X 680, Pretoria, 0001; The African Laser Centre, CSIR campus, P.O. Box 395, Pretoria

    2012-08-15

    Chromium dioxide (CrO{sub 2}) thin film has generated considerable interest in applied research due to the wide variety of its technological applications. It has been extensively investigated in recent years, attracting the attention of researchers working on spintronic heterostructures and in the magnetic recording industry. However, its synthesis is usually a difficult task due to its metastable nature and various synthesis techniques are being investigated. In this work a polycrystalline thin film of CrO{sub 2} was prepared by electron beam vaporization of Cr{sub 2}O{sub 3} onto a Si substrate. The polycrystalline structure was confirmed through XRD analysis. The stoichiometry andmore » elemental depth distribution of the deposited film were measured by ion beam nuclear analytical techniques heavy ion elastic recoil detection analysis (ERDA) and Rutherford backscattering spectrometry (RBS), which both have relative advantage over non-nuclear spectrometries in that they can readily provide quantitative information about the concentration and distribution of different atomic species in a layer. Moreover, the analysis carried out highlights the importance of complementary usage of the two techniques to obtain a more complete description of elemental content and depth distribution in thin films. - Graphical abstract: Heavy ion elastic recoil detection analysis (ERDA) and Rutherford backscattering spectrometry (RBS) both have relative advantage over non-nuclear spectrometries in that they can readily provide quantitative information about the concentration and distribution of different atomic species in a layer. Highlights: Black-Right-Pointing-Pointer Thin films of CrO{sub 2} have been grown by e-beam evaporation of Cr{sub 2}O{sub 3} target in vacuum. Black-Right-Pointing-Pointer The composition was determined by heavy ion-ERDA and RBS. Black-Right-Pointing-Pointer HI-ERDA and RBS provided information on the light and heavy elements, respectively.« less

  1. Process Research On Polycrystalline Silicon Material (PROPSM)

    NASA Technical Reports Server (NTRS)

    Culik, J. S.; Wohlgemuth, J. H.

    1982-01-01

    Performance limiting mechanisms in polycrystalline silicon are investigated by fabricating a matrix of solar cells of various thicknesses from polycrystalline silicon wafers of several bulk resistivities. The analysis of the results for the entire matrix indicates that bulk recombination is the dominant factor limiting the short circuit current in large grain (greater than 1 to 2 mm diameter) polycrystalline silicon, the same mechanism that limits the short circuit current in single crystal silicon. An experiment to investigate the limiting mechanisms of open circuit voltage and fill factor for large grain polycrystalline silicon is designed. Two process sequences to fabricate small cells are investigated.

  2. Magneto-Optical Thin Films for On-Chip Monolithic Integration of Non-Reciprocal Photonic Devices

    PubMed Central

    Bi, Lei; Hu, Juejun; Jiang, Peng; Kim, Hyun Suk; Kim, Dong Hun; Onbasli, Mehmet Cengiz; Dionne, Gerald F.; Ross, Caroline A.

    2013-01-01

    Achieving monolithic integration of nonreciprocal photonic devices on semiconductor substrates has been long sought by the photonics research society. One way to achieve this goal is to deposit high quality magneto-optical oxide thin films on a semiconductor substrate. In this paper, we review our recent research activity on magneto-optical oxide thin films toward the goal of monolithic integration of nonreciprocal photonic devices on silicon. We demonstrate high Faraday rotation at telecommunication wavelengths in several novel magnetooptical oxide thin films including Co substituted CeO2−δ, Co- or Fe-substituted SrTiO3−δ, as well as polycrystalline garnets on silicon. Figures of merit of 3~4 deg/dB and 21 deg/dB are achieved in epitaxial Sr(Ti0.2Ga0.4Fe0.4)O3−δ and polycrystalline (CeY2)Fe5O12 films, respectively. We also demonstrate an optical isolator on silicon, based on a racetrack resonator using polycrystalline (CeY2)Fe5O12/silicon strip-loaded waveguides. Our work demonstrates that physical vapor deposited magneto-optical oxide thin films on silicon can achieve high Faraday rotation, low optical loss and high magneto-optical figure of merit, therefore enabling novel high-performance non-reciprocal photonic devices monolithically integrated on semiconductor substrates. PMID:28788379

  3. Effect of growth rate on crystallization of HfO{sub 2} thin films deposited by RF magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhanunjaya, M.; Manikanthababu, N.; Pathak, A. P.

    2016-05-23

    Hafnium oxide (HfO{sub 2}) is the potentially useful dielectric material in both; electronics to replace the conventional SiO{sub 2} as gate dielectric and in Optics as anti-reflection coating material. In this present work we have synthesized polycrystalline HfO{sub 2} thin films by RF magnetron sputtering deposition technique with varying target to substrate distance. The deposited films were characterized by X-ray Diffraction, Rutherford Backscattering Spectrometry (RBS) and transmission and Reflection (T&R) measurements to study the growth behavior, microstructure and optical properties. XRD measurement shows that the samples having mixed phase of monoclinic, cubic and tetragonal crystal structure. RBS measurements suggest themore » formation of Inter Layer (IL) in between Substrate and film.« less

  4. Effect of carbon ion irradiation on Ag diffusion in SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leng, Bin; Ko, Hyunseok; Gerczak, Tyler J.

    Transport of Ag fission product through the silicon-carbide (SiC) diffusion barrier layer in TRISO fuel particles is of considerable interest given the application of this fuel type in high temperature gas-cooled reactor (HTGR) and other future reactor concepts. The reactor experiments indicate that radiation may play an important role in release of Ag; however so far the isolated effect of radiation on Ag diffusion has not been investigated in controlled laboratory experiments. In this study, we investigate the diffusion couples of Ag and polycrystalline 3C–SiC, as well as Ag and single crystalline 4H–SiC samples before and after irradiation with Cmore » 2+ ions. The diffusion couple samples were exposed to temperatures of 1500 °C, 1535 °C, and 1569 °C, and the ensuing diffusion profiles were analyzed by secondary ion mass spectrometry (SIMS). We found that diffusion coefficients calculated from these measurements indicate that Ag diffusion was greatly enhanced by carbon irradiation due to a combined effect of radiation damage on diffusion and the presence of grain boundaries in polycrystalline SiC samples.« less

  5. Effect of carbon ion irradiation on Ag diffusion in SiC

    DOE PAGES

    Leng, Bin; Ko, Hyunseok; Gerczak, Tyler J.; ...

    2015-11-14

    Transport of Ag fission product through the silicon-carbide (SiC) diffusion barrier layer in TRISO fuel particles is of considerable interest given the application of this fuel type in high temperature gas-cooled reactor (HTGR) and other future reactor concepts. The reactor experiments indicate that radiation may play an important role in release of Ag; however so far the isolated effect of radiation on Ag diffusion has not been investigated in controlled laboratory experiments. In this study, we investigate the diffusion couples of Ag and polycrystalline 3C–SiC, as well as Ag and single crystalline 4H–SiC samples before and after irradiation with Cmore » 2+ ions. The diffusion couple samples were exposed to temperatures of 1500 °C, 1535 °C, and 1569 °C, and the ensuing diffusion profiles were analyzed by secondary ion mass spectrometry (SIMS). We found that diffusion coefficients calculated from these measurements indicate that Ag diffusion was greatly enhanced by carbon irradiation due to a combined effect of radiation damage on diffusion and the presence of grain boundaries in polycrystalline SiC samples.« less

  6. Statistical analysis of polarization interference images of biological fluids polycrystalline films in the tasks of optical anisotropy weak changes differentiation

    NASA Astrophysics Data System (ADS)

    Ushenko, Yu. O.; Dubolazov, O. V.; Ushenko, V. O.; Zhytaryuk, V. G.; Prydiy, O. G.; Pavlyukovich, N.; Pavlyukovich, O.

    2018-01-01

    In this paper, we present the results of a statistical analysis of polarization-interference images of optically thin histological sections of biological tissues and polycrystalline films of biological fluids of human organs. A new analytical parameter is introduced-the local contrast of the interference pattern in the plane of a polarizationinhomogeneous microscopic image of a biological preparation. The coordinate distributions of the given parameter and the sets of statistical moments of the first-fourth order that characterize these distributions are determined. On this basis, the differentiation of degenerative-dystrophic changes in the myocardium and the polycrystalline structure of the synovial fluid of the human knee with different pathologies is realized.

  7. The Role of the Substrate on Photophysical Properties of Highly Ordered 15R-SiC Thin Films

    NASA Astrophysics Data System (ADS)

    Mourya, Satyendra; Jaiswal, Jyoti; Malik, Gaurav; Kumar, Brijesh; Chandra, Ramesh

    2018-06-01

    We report on the structural optimization and photophysical properties of in situ RF-sputtered single crystalline 15R-SiC thin films deposited on various substrates (ZrO2, MgO, SiC, and Si). The role of the substrates on the structural, electronic, and photodynamic behavior of the grown films have been demonstrated using x-ray diffraction, photoluminescence (PL) and time-resolved photoluminescence spectroscopy. The appropriate bonding order and the presence of native oxide on the surface of the grown samples are confirmed by x-ray photoelectron spectroscopy measurement. A deep-blue PL emission has been observed corresponding to the Si-centered defects occurring in the native oxide. Deconvolution of the PL spectra manifested two decay mechanisms corresponding to the radiative recombination. The PL intensity and carrier lifetime were found to be substrate- dependent which may be ascribed to the variation in the trap-density of the films grown on different substrates.

  8. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  9. Nanomechanical properties of platinum thin films synthesized by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamun, M.A.; Gu, D.; Baumgart, H.

    2015-03-01

    The nanomechanical properties of Pt thin films grown on Si (100) using atomic layer deposition (ALD) were investigated using nanoindentation. Recently, atomic layer deposition (ALD) has successfully demonstrated the capability to deposit ultra-thin films of platinum (Pt). Using (methylcyclopentadienyl) trimethylplatinum (MeCpPtMe3) as chemical platinum precursor and oxygen (O2) as the oxidizing agent, the ALD synthesis of Pt can be achieved with high conformity and excellent film uniformity. The ALD process window for Pt films was experimentally established in the temperature range between 270 °C and 320 °C, where the sheet conductance was constant over that temperature range, indicating stable ALDmore » Pt film growth rate. ALD growth of Pt films exhibits very poor nucleation and adhesion characteristics on bare Si surfaces when the native oxide was removed by 2% HF etch. Pt adhesion improves for thermally oxidized Si wafers and for Si wafers covered with native oxide. Three ALD Pt films deposited at 800, 900, and 1000 ALD deposition cycles were tested for the structural and mechanical properties. Additionally, the sample with 900 ALD deposition cycles was further annealed in forming gas (95% N2 and 5% H2) at 450 °C for 30 min in order to passivate dangling bonds in the grain boundaries of the polycrystalline Pt film. Cross-sectional transmission electron microscopy (TEM), X-ray diffraction (XRD), atomic force microscopy (AFM), and scanning electron microscope (SEM) were employed to characterize the films' surface structure and morphology. Nanoindentation technique was used to evaluate the hardness and modulus of the ALD Pt films of various film thicknesses. The results indicate that the films depict comparable hardness and modulus results; however, the 800 and 1000 ALD deposition cycles films without forming gas annealing experienced significant amount of pileup, whereas the 900 ALD deposition cycles sample annealed in forming gas resulted in a smaller

  10. Annealing influence on the magnetostructural transition in Gd 5Si 1.3Ge 2.7 thin films

    DOE PAGES

    Pires, A. L.; Belo, J. H.; Gomes, I. T.; ...

    2015-05-19

    Due to the emerging cooling possibilities at the micro and nanoscale, such as the fast heat exchange rate, the effort to synthesize and optimize the magnetocaloric materials at these scales is rapidly growing. Here, we report the effect of different thermal treatments on Gd 5Si 1.3Ge 2.7 thin film in order to evaluate the correlation between the crystal structure, magnetic phase transition and magnetocaloric effect. For annealing temperatures higher than 500ºC, the samples showed a typical paramagnetic behavior. On the other hand, thermal treatments below 500ºC promoted the suppression of the magnetostructural transition at 190 K, while the magnetic transitionmore » around 249 K is not affected. This magnetostructural transition extinction was reflected in the magnetocaloric behavior and resulted in a drastic decrease in the entropy change peak value (of about 68%). An increase in T C was reported, proving that at the nanoscale, heat treatments may be a useful tool to optimize the magnetocaloric properties in Gd 5(Si xGe 1-x) 4 thin films.« less

  11. Effect of O 2 gas partial pressure on structures and dielectric characteristics of rf sputtered ZrO 2 thin films

    NASA Astrophysics Data System (ADS)

    Ma, C. Y.; Lapostolle, F.; Briois, P.; Zhang, Q. Y.

    2007-08-01

    Amorphous and polycrystalline zirconium oxide thin films have been deposited by reactive rf magnetron sputtering in a mixed argon/oxygen or pure oxygen atmosphere with no intentional heating of the substrate. The films were characterized by high-resolution transmission electron microscopy (HR-TEM), atomic force microscopy (AFM), spectroscopic ellipsometry (SE), and capacitance versus voltage ( C- V) measurements to investigate the variation of structure, surface morphology, thickness of SiO 2-like interfacial layer as well as dielectric characteristics with different oxygen partial pressures. The films deposited at low oxygen partial pressures (less than 15%) are amorphous and dense with a smooth surface. In contrast, the films prepared at an oxygen partial pressure higher than 73% are crystallized with the microstructure changing from the mixture of monoclinic and tetragonal phases to a single monoclinic structure. The film structural transition is believed to be consequences of decrease in the oxygen vacancy concentration in the film and of increase of the energetically neutral particles in the plasma due to an increased oxygen partial pressure. SE measurements showed that significant interfacial SiO 2 growth has taken place above approximately 51%. The best C- V results in terms of relative dielectric constant values are obtained for thin films prepared at an oxygen partial pressure of 15%.

  12. Effects of ion irradiation on the mechanical properties of SiNa wO xC yH z sol-gel derived thin films

    NASA Astrophysics Data System (ADS)

    Lucca, D. A.; Qi, Y.; Harriman, T. A.; Prenzel, T.; Wang, Y. Q.; Nastasi, M.; Dong, J.; Mehner, A.

    2010-10-01

    A study of the effects of ion irradiation of hybrid organic/inorganic modified silicate thin films on their mechanical properties is presented. NaOH catalyzed SiNa wO xC yH z thin films were synthesized by sol-gel processing from tetraethylorthosilicate (TEOS) and methyltriethoxysilane (MTES) precursors and spin-coated onto Si substrates. After drying at 300 °C, the films were irradiated with 125 keV H + or 250 keV N 2+ at fluences ranging from 1 × 10 14 to 2.5 × 10 16 ions/cm 2. Nanoindentation was used to characterize the films. Changes in hardness and reduced elastic modulus were examined as a function of ion fluence and irradiating species. The resulting increases in hardness and reduced elastic modulus are compared to similarly processed acid catalyzed silicate thin films.

  13. Effects of ultra-thin Si-fin body widths upon SOI PMOS FinFETs

    NASA Astrophysics Data System (ADS)

    Liaw, Yue-Gie; Chen, Chii-Wen; Liao, Wen-Shiang; Wang, Mu-Chun; Zou, Xuecheng

    2018-05-01

    Nano-node tri-gate FinFET devices have been developed after integrating a 14 Å nitrided gate oxide upon the silicon-on-insulator (SOI) wafers established on an advanced CMOS logic platform. These vertical double gate (FinFET) devices with ultra-thin silicon fin (Si-fin) widths ranging from 27 nm to 17 nm and gate length down to 30 nm have been successfully developed with a 193 nm scanner lithography tool. Combining the cobalt fully silicidation and the CESL strain technology beneficial for PMOS FinFETs was incorporated into this work. Detailed analyses of Id-Vg characteristics, threshold voltage (Vt), and drain-induced barrier lowering (DIBL) illustrate that the thinnest 17 nm Si-fin width FinFET exhibits the best gate controllability due to its better suppression of short channel effect (SCE). However, higher source/drain resistance (RSD), channel mobility degradation due to dry etch steps, or “current crowding effect” will slightly limit its transconductance (Gm) and drive current.

  14. Necessary conditions for superior thermoelectric power of Si/Au artificial superlattice thin-film

    NASA Astrophysics Data System (ADS)

    Okamoto, Yoichi; Watanabe, Shin; Miyazaki, Hisashi; Morimoto, Jun

    2018-03-01

    The Si-Ge-Au ternary artificial superlattice thin-films showed superior thermoelectric power with low reproducibility. Superior thermoelectric power was only generated, when nanocrystals existed. Therefore, the origin of superior thermoelectric power was considered to be the quantum size effect of nanocrystals. However, even with the presence of nanocrystals, superior thermoelectric power was often not generated. In order to investigate the generation conditions of superior thermoelectric power in more detail, the samples were simplified to Si-Au binary artificial superlattice samples. Furthermore, annealings were carried out under conditions where nanocrystals were likely to be formed. From the results of Raman scattering spectroscopy and X-ray diffraction (XRD) analysis, the diameter of nanocrystals and the spacing between nanocrystals were calculated with an isotropic three-dimensional mosaic model. It was found that superior thermoelectric power was generated only when the diameter of nanocrystals was 11 nm or less and the spacing between nanocrystals was 3 nm or less.

  15. Influence of coil current modulation on polycrystalline diamond film deposition by irradiation of Ar/CH4/H2 inductively coupled thermal plasmas

    NASA Astrophysics Data System (ADS)

    Betsuin, Toshiki; Tanaka, Yasunori; Arai, T.; Uesugi, Y.; Ishijima, T.

    2018-03-01

    This paper describes the application of an Ar/CH4/H2 inductively coupled thermal plasma with and without coil current modulation to synthesise diamond films. Induction thermal plasma with coil current modulation is referred to as modulated induction thermal plasma (M-ITP), while that without modulation is referred to as non-modulated ITP (NM-ITP). First, spectroscopic observations of NM-ITP and M-ITP with different modulation waveforms were made to estimate the composition in flux from the thermal plasma by measuring the time evolution in the spectral intensity from the species. Secondly, we studied polycrystalline diamond film deposition tests on a Si substrate, and we studied monocrystalline diamond film growth tests using the irradiation of NM-ITP and M-ITP. From these tests, diamond nucleation effects by M-ITP were found. Finally, following the irradiation results, we attempted to use a time-series irradiation of M-ITP and NM-ITP for polycrystalline diamond film deposition on a Si substrate. The results indicated that numerous larger diamond particles were deposited with a high population density on the Si substrate by time-series irradiation.

  16. Performance of RF sputtered p-Si/n-ZnO nanoparticle thin film heterojunction diodes in high temperature environment

    NASA Astrophysics Data System (ADS)

    Singh, Satyendra Kumar; Hazra, Purnima

    2017-04-01

    In this article, temperature-dependent current-voltage characteristics of n-ZnO/p-Si nanoparticle thin film heterojunction diode grown by RF sputtering technique are analyzed in the temperature range of 300-433 k to investigate the performance of the device in high temperature environment. The microstructural, morphological, optical and temptrature dependent electrical properties of as-grown nanoparticle thin film were characterized by X-ray diffractometer (XRD), atomic force microscopy (AFM), field emmision scanning electron microscopy (FESEM), energy-dispersive X-ray spectroscopy (EDX), variable angle ellipsometer and semiconductor device analyzer. XRD spectra of as-grown ZnO films are exhibited that highly c-axis oriented ZnO nanostructures are grown on p- Si〈100〉 substrate whereas AFM and FESEM images confirm the homogeneous deposition of ZnO nanoparticles on surface of Si substratewith minimum roughness.The optical propertiesof as-grown ZnO nanoparticles have been measured in the spectral range of 300-800 nm using variable angle ellipsometer.To measure electrical parameters of the device prototype in the temperature range of room temperature (300 K) to 433 K, large area ohmic contacts were fabricated on both side of the ZnO/Si heterostructure. From the current-voltage charcteristics of ZnO/Si heterojunction device, it is observed that the device exhibits rectifing nature at room temperature. However, with increase in temperature, reverse saturation current and barrier height are found to increase, whereas ideality factor is started decreasing. This phenomenon confirms that barrier inhomogeneities are present at the interface of ZnO/Si heterojunction, as a result of lattice constant and thermal coefficient mismatch between Si and ZnO. Therefore, a modified value of Richardson constant [33.06 Acm-2K-2] has been extracted from the temperature-dependent electrical characteristics after assuming the Gaussian distribution of special barrier height

  17. Role of HfO 2/SiO 2 thin-film interfaces in near-ultraviolet absorption and pulsed laser damage

    DOE PAGES

    Papernov, Semyon; Kozlov, Alexei A.; Oliver, James B.; ...

    2016-07-15

    Here, the role of thin-film interfaces in the near-ultraviolet (near-UV) absorption and pulsed laser-induced damage was studied for ion-beam-sputtered and electron-beam-evaporated coatings comprised from HfO 2 and SiO 2 thin-film pairs. To separate contributions from the bulk of the film and from interfacial areas, absorption and damage threshold measurements were performed for a one-wave (355-nm wavelength) thick, HfO 2 single-layer film and for a film containing seven narrow HfO 2 layers separated by SiO 2 layers. The seven-layer film was designed to have a total optical thickness of HfO 2 layers, equal to one wave at 355 nm and anmore » E-field peak and average intensity similar to a single-layer HfO 2 film. Absorption in both types of films was measured using laser calorimetry and photothermal heterodyne imaging. The results showed a small contribution to total absorption from thin-film interfaces as compared to HfO 2 film material. The relevance of obtained absorption data to coating near-UV, nanosecond-pulse laser damage was verified by measuring the damage threshold and characterizing damage morphology. The results of this study revealed a higher damage resistance in the seven-layer coating as compared to the single-layer HfO 2 film in both sputtered and evaporated coatings. The results are explained through the similarity of interfacial film structure with structure formed during the codeposition of HfO 2 and SiO 2 materials.« less

  18. Low-temperature silicon thin films for large-area electronics: Device fabrication using soft lithography and laser-crystallization by sequential lateral solidification

    NASA Astrophysics Data System (ADS)

    Jin, Hyun-Chul

    This work demonstrates possible routes for fabricating large-area electronic devices on glass or plastic substrates using low-temperature materials deposition and soft lithographic device patterning. Hydrogenated amorphous silicon (a-Si:H) and polycrystalline silicon (poly-Si) have been extensively studied as the semiconducting material for flat panel displays and solar cells. On glass substrates, we have deposited a-Si:H films at a temperature lower than 125°C, and we have used pulsed excimer laser crystallization in the sequential lateral solidification (SLS) regime to fabricate poly-Si films. We use micromolding in capillaries (MIMIC), a form of soft lithography involving micrometer-scale polymer molding, as a means to fabricate amorphous silicon thin-film transistors (TFTs), and photoconductive sensor arrays on both planar and curved substrates. The use of non-planar substrates has captured considerable attention in the field because it would open up new applications and new designs. Field-effect transistors made by SLS poly-Si show excellent mobility and on/off current ratio; however, the microstructure of the material had never been well documented. We determined the microtexture using electron backscattering diffraction (EBSD): the first crystallites formed in the a-Si layer are random; along the direction of the solidification, a strong <100> in-plane orientation quickly develops due to competitive growth and occlusion. The misorientation angle between neighboring grains is also analyzed. A large fraction of the boundaries within the material are low-angle and coincidence site lattice (CSL) types. We discuss the implications of the findings on the defect generation mechanism and on the electrical properties of the films. We have analyzed the electrical properties of SLS poly-Si films on oxidized Si wafer using the pseudo-MOSFET geometry; the majority carrier mobility is extracted from the transconductance. However, the data are non-ideal due to large

  19. Phase formation and morphological stability of ultrathin Ni-Co-Pt silicide films formed on Si(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Peng; Wu, Dongping, E-mail: dongpingwu@fudan.edu.cn; Kubart, Tomas

    Ultrathin Ni, Co, and Pt films, each no more than 4 nm in thickness, as well as their various combinations are employed to investigate the competing growth of epitaxial Co{sub 1-y}Ni{sub y}Si{sub 2} films against polycrystalline Pt{sub 1-z}Ni{sub z}Si. The phase formation critically affects the morphological stability of the resulting silicide films, with the epitaxial films being superior to the polycrystalline ones. Any combination of those metals improves the morphological stability with reference to their parent individual metal silicide films. When Ni, Co, and Pt are all included, the precise initial location of Pt does little to affect the final phasemore » formation in the silicide films and the epitaxial growth of Co{sub 1-x}Ni{sub x}Si{sub 2} films is always perturbed, in accordance to thermodynamics that shows a preferential formation of Pt{sub 1-z}Ni{sub z}Si over that of Co{sub 1-y}Ni{sub y}Si{sub 2}.« less

  20. Development and evaluation of polycrystalline cadmium telluride dosimeters for accurate quality assurance in radiation therapy

    NASA Astrophysics Data System (ADS)

    Oh, K.; Han, M.; Kim, K.; Heo, Y.; Moon, C.; Park, S.; Nam, S.

    2016-02-01

    For quality assurance in radiation therapy, several types of dosimeters are used such as ionization chambers, radiographic films, thermo-luminescent dosimeter (TLD), and semiconductor dosimeters. Among them, semiconductor dosimeters are particularly useful for in vivo dosimeters or high dose gradient area such as the penumbra region because they are more sensitive and smaller in size compared to typical dosimeters. In this study, we developed and evaluated Cadmium Telluride (CdTe) dosimeters, one of the most promising semiconductor dosimeters due to their high quantum efficiency and charge collection efficiency. Such CdTe dosimeters include single crystal form and polycrystalline form depending upon the fabrication process. Both types of CdTe dosimeters are commercially available, but only the polycrystalline form is suitable for radiation dosimeters, since it is less affected by volumetric effect and energy dependence. To develop and evaluate polycrystalline CdTe dosimeters, polycrystalline CdTe films were prepared by thermal evaporation. After that, CdTeO3 layer, thin oxide layer, was deposited on top of the CdTe film by RF sputtering to improve charge carrier transport properties and to reduce leakage current. Also, the CdTeO3 layer which acts as a passivation layer help the dosimeter to reduce their sensitivity changes with repeated use due to radiation damage. Finally, the top and bottom electrodes, In/Ti and Pt, were used to have Schottky contact. Subsequently, the electrical properties under high energy photon beams from linear accelerator (LINAC), such as response coincidence, dose linearity, dose rate dependence, reproducibility, and percentage depth dose, were measured to evaluate polycrystalline CdTe dosimeters. In addition, we compared the experimental data of the dosimeter fabricated in this study with those of the silicon diode dosimeter and Thimble ionization chamber which widely used in routine dosimetry system and dose measurements for radiation

  1. Recrystallization of polycrystalline silicon

    NASA Technical Reports Server (NTRS)

    Lall, C.; Kulkarni, S. B.; Graham, C. D., Jr.; Pope, D. P.

    1981-01-01

    Optical metallography is used to investigate the recrystallization properties of polycrystalline semiconductor-grade silicon. It is found that polycrystalline silicon recrystallizes at 1380 C in relatively short times, provided that the prior deformation is greater than 30%. For a prior deformation of about 40%, the recrystallization process is essentially complete in about 30 minutes. Silicon recrystallizes at a substantially slower rate than metals at equivalent homologous temperatures. The recrystallized grain size is insensitive to the amount of prestrain for strains in the range of 10-50%.

  2. Advanced Si solid phase crystallization for vertical channel in vertical NANDs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sangsoo; Son, Yong-Hoon; Semiconductor R and D Center, Samsung Electronics Co., Ltd., Hwasung 445-701

    The advanced solid phase crystallization (SPC) method using the SiGe/Si bi-layer structure is proposed to obtain high-mobility poly-Si thin-film transistors in next generation vertical NAND (VNAND) devices. During the SPC process, the top SiGe thin film acts as a selective nucleation layer to induce surface nucleation and equiaxial microstructure. Subsequently, this SiGe thin film microstructure is propagated to the underlying Si thin film by epitaxy-like growth. The initial nucleation at the SiGe surface was clearly observed by in situ transmission electron microscopy (TEM) when heating up to 600 °C. The equiaxial microstructures of both SiGe nucleation and Si channel layers weremore » shown in the crystallized bi-layer plan-view TEM measurements. Based on these experimental results, the large-grained and less-defective Si microstructure is expected to form near the channel region of each VNAND cell transistor, which may improve the electrical characteristics.« less

  3. Structural and Electromagnetic Properties of Ni-Mn-Ga Thin Films Deposited on Si Substrates

    NASA Astrophysics Data System (ADS)

    Pereira, M. J.; Lourenço, A. A. C. S.; Amaral, V. S.

    2014-07-01

    Ni2MnGa thin films raise great interest due to their properties, which provide them with strong potential for technological applications. Ni2MnGa thin films were prepared by r.f. sputtering deposition on Si substrates at low temperature (400 ºC). Film thicknesses in the range 10-120 nm were obtained. A study of the structural, magnetic and electrical properties of the films is presented. We find that the deposited films show some degree of crystallinity, with coexisting cubic and tetragonal structural phases, the first one being preponderant over the latter, particularly in the thinner films. The films possess soft magnetic properties and their coercivity is thickness dependent in the range 15-200 Oe at 300K. Electrical resistivity measurements signal the structural transition and suggest the occurrence of avalanche and return-point memory effects, in temperature cycling through the magnetic/structural transition range.

  4. On the temperature dependence of Na migration in thin SiO 2 films during ToF-SIMS O 2+ depth profiling

    NASA Astrophysics Data System (ADS)

    Krivec, Stefan; Detzel, Thomas; Buchmayr, Michael; Hutter, Herbert

    2010-10-01

    The detection of Na in insulating samples by means of time of flight-secondary ion mass spectrometry (ToF-SIMS) depth profiling has always been a challenge. In particular the use of O 2+ as sputter species causes a severe artifact in the Na depth distribution due to Na migration under the influence of an internal electrical filed. In this paper we address the influence of the sample temperature on this artifact. It is shown that the transport of Na is a dynamic process in concordance with the proceeding sputter front. Low temperatures mitigated the migration process by reducing the Na mobility in the target. In the course of this work two sample types have been investigated: (i) A Na doped PMMA layer, deposited on a thin SiO 2 film. Here, the incorporation behavior of Na into SiO 2 during depth profiling is demonstrated. (ii) Na implanted into a thin SiO 2 film. By this sample type the migration behavior could be examined when defects, originating from the implantation process, are present in the SiO 2 target. In addition, we propose an approach for the evaluation of an implanted Na profile, which is unaffected by the migration process.

  5. Analysis of optical and magnetooptical spectra of Fe{sub 5}Si{sub 3} and Fe{sub 3}Si magnetic silicides using spectral magnetoellipsometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lyashchenko, S. A., E-mail: lsa@iph.krasn.ru; Popov, Z. I.; Varnakov, S. N.

    The optical, magnetooptical, and magnetic properties of polycrystalline (Fe{sub 5}Si{sub 3}/SiO{sub 2}/Si(100)) and epitaxial Fe{sub 3}Si/Si(111) films are investigated by spectral magnetoellipsometry. The dispersion of the complex refractive index of Fe{sub 5}Si{sub 3} is measured using multiangle spectral ellipsometry in the range of 250–1000 nm. The dispersion of complex Voigt magnetooptical parameters Q is determined for Fe{sub 5}Si{sub 3} and Fe{sub 3}Si in the range of 1.6–4.9 eV. The spectral dependence of magnetic circular dichroism for both silicides has revealed a series of resonance peaks. The energies of the detected peaks correspond to interband electron transitions for spin-polarized densities ofmore » electron states (DOS) calculated from first principles for bulk Fe{sub 5}Si{sub 3} and Fe{sub 3}Si crystals.« less

  6. Band gap tuning of epitaxial SrTiO{sub 3-δ}/Si(001) thin films through strain engineering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cottier, Ryan J.; Steinle, Nathan A.; Currie, Daniel A.

    2015-11-30

    We investigate the effect of strain and oxygen vacancies (V{sub O}) on the crystal and optical properties of oxygen deficient, ultra-thin (4–30 nm) films of SrTiO{sub 3-δ} (STO) grown heteroepitaxially on p-Si(001) substrates by molecular beam epitaxy. We demonstrate that STO band gap tuning can be achieved through strain engineering and show that the energy shift of the direct energy gap transition of SrTiO{sub 3-δ}/Si films has a quantifiable dimensional and doping dependence that correlates well with the changes in crystal structure.

  7. Structural and optical characteristics of in-situ sputtered highly oriented 15R-SiC thin films on different substrates

    NASA Astrophysics Data System (ADS)

    Mourya, Satyendra; Jaiswal, Jyoti; Malik, Gaurav; Kumar, Brijesh; Chandra, Ramesh

    2018-01-01

    In this work, we have reported the in-situ fabrication of nanocrystalline rhombohedral silicon carbide (15R-SiC) thin films by RF-magnetron sputtering at 800 °C substrate temperature. The structural and optical properties were investigated for the films grown on four different substrates (ZrO2, MgO, SiC, and Si). The contact angle measurement was performed on all the substrates to investigate the role of interfacial surface energy in nucleation and growth of the films. The XRD measurement revealed the growth of (1 0 10) orientation for all the samples and demonstrated better crystallinity on Si substrate, which was further corroborated by the TEM results. The Raman spectroscopy confirmed the growth of rhombohedral phase with 15R polytype. Surface characteristics of the films have been investigated by energy dispersive x-ray spectroscopy, FTIR, and atomic force microscope (AFM) to account for chemical composition, bonding, and root mean square surface roughness (δrms). The optical dispersion behavior of 15R-SiC thin films was examined by variable angle spectroscopic ellipsometry in the wide spectral range (246-1688 nm), including the surface characteristics in the optical model. The non-linear optical parameters (χ3 and n2) of the samples have been calculated by the Tichy and Ticha relation using a single effective oscillator model of Wemple and Didomenico. Additionally, our optical results provided an alternative way to measure the ratio of carrier concentration to the effective mass (N/m*). These investigated optical parameters allow one to design and fabricate optoelectronic, photonic, and telecommunication devices for deployment in extreme environment.

  8. Modeling Creep Effects within SiC/SiC Turbine Components

    NASA Technical Reports Server (NTRS)

    DiCarlo, J. A.; Lang, J.

    2008-01-01

    Anticipating the implementation of advanced SiC/SiC ceramic composites into the hot section components of future gas turbine engines, the primary objective of this on-going study is to develop physics-based analytical and finite-element modeling tools to predict the effects of constituent creep on SiC/SiC component service life. A second objective is to understand how to possibly select and manipulate constituent materials, processes, and geometries in order to minimize these effects. In initial studies aimed at SiC/SiC components experiencing through-thickness stress gradients, creep models were developed that allowed an understanding of detrimental residual stress effects that can develop globally within the component walls. It was assumed that the SiC/SiC composites behaved as isotropic visco-elastic materials with temperature-dependent creep behavior as experimentally measured in-plane in the fiber direction of advanced thin-walled 2D SiC/SiC panels. The creep models and their key results are discussed assuming state-of-the-art SiC/SiC materials within a simple cylindrical thin-walled tubular structure, which is currently being employed to model creep-related effects for turbine airfoil leading edges subjected to through-thickness thermal stress gradients. Improvements in the creep models are also presented which focus on constituent behavior with more realistic non-linear stress dependencies in order to predict such key creep-related SiC/SiC properties as time-dependent matrix stress, constituent creep and content effects on composite creep rates and rupture times, and stresses on fiber and matrix during and after creep.

  9. Simulation of Current Transport in Polycrystalline CdTe Solar Cells

    NASA Astrophysics Data System (ADS)

    Troni, F.; Menozzi, R.; Colegrove, E.; Buurma, C.

    2013-11-01

    Polycrystalline thin-film CdTe solar cells have demonstrated laboratory efficiency exceeding 17% and are nowadays a commercial technology (albeit with somewhat lower efficiencies). The standard process features a poorly understood recrystallization step, obtained by annealing with a source of chlorine. This study uses two-dimensional numerical modeling to investigate current transport inside the polycrystalline CdTe absorber with and without recrystallization effects [increase of grain size and donor ClTe states at grain boundaries (GBs)]. Solving the Poisson equation and the drift-diffusion model for transport with Fermi statistics, while treating the optical problem by the one-dimensional transfer matrix method and complex refractive indexes, this study shows that: (i) in a columnar absorber (i.e., one where only vertical GBs exist), the presence of ClTe donor traps at GBs results in a dip in the band profiles that effectively serves as an electron collector, significantly increasing the short-circuit current and efficiency compared with nondecorated GBs; (ii) while the same dip acts as a hole barrier and thus can be expected to block holes from flowing when horizontal GBs are present, under illuminated conditions electron collection at GBs reduces the dip enough to allow substantial hole flow, and the cell performance is only moderately affected.

  10. Progress toward thin-film GaAs solar cells using a single-crystal Si substrate with a Ge interlayer

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Wang, K. L.; Zwerdling, S.

    1982-01-01

    Development of a technology for fabricating light-weight, high-efficiency, radiation-resistant solar cells for space applications is reported. The approaches currently adopted are to fabricate shallow homojunction n(+)/p as well as p/n AlGaAs-heteroface GaAs solar cells by organometallic chemical vapor deposition (OM-CVD) on single-crystal Si substrates using in each case, a thin Ge epi-interlayer first grown by CVD. This approach maintains the advantages of the low specific gravity of Si as well as the high efficiency and radiation-resistant properties of the GaAs solar cell which can lead to greatly improved specific power for a solar array. The growth of single-crystal GaAs epilayers on Ge epi-interlayers on Si substrates is investigated. Related solar cell fabrication is reviewed.

  11. A Thermoelectric Generator Using Porous Si Thermal Isolation

    PubMed Central

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2013-01-01

    In this paper we report on a thermoelectric generator (TEG) using thermal isolation provided by a thick porous Si layer locally formed on the Si wafer and thermocouples composed of p-doped polycrystalline Si/Al. The “hot” contacts of the thermocouples lie on the porous Si layer, while the “cold” contacts lie on bulk crystalline Si. A housing was also designed and fabricated in order to transfer any external temperature change on the “hot” contacts of the thermocouples, the “cold” contacts being isolated from the “hot” contacts by a thick resist layer. The fabrication of the sensing element (Si die) is fully compatible with batch Si processing. The output power of the thermoelectric generator depends on the porous Si isolation layer thickness, porosity, structure and morphology. For a mesoporous Si layer of 60% porosity and a macroscopic temperature differential of 10 K, an output power of 0.39 μW/cm2 was measured for a 50 μm thick porous Si layer. PMID:24152923

  12. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  13. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE PAGES

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.; ...

    2015-08-12

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  14. Growth dependent magnetization reversal in Co2MnAl full Heusler alloy thin films

    NASA Astrophysics Data System (ADS)

    Barwal, Vineet; Husain, Sajid; Behera, Nilamani; Goyat, Ekta; Chaudhary, Sujeet

    2018-02-01

    Angular dependent magnetization reversal has been investigated in Co2MnAl (CMA) full Heusler alloy thin films grown on Si(100) at different growth temperatures (Ts) by DC-magnetron sputtering. An M -shaped curve is observed in the in-plane angular (0°-360°) dependent coercivity (ADC) by magneto-optical Kerr effect measurements. The dependence of the magnetization reversal on Ts is investigated in detail to bring out the structure-property correlation with regards to ADC in these polycrystalline CMA thin films. This magnetization reversal ( M -shaped ADC behavior) is well described by the two-phase model, which is a combination of Kondorsky (domain wall motion) and Stoner Wohlfarth (coherent rotation) models. In this model, magnetization reversal starts with depinning of domain walls, with their gradual displacement explained by the Kondorsky model, and at a higher field (when the domain walls merge), the system follows coherent rotation before reaching its saturation following the Stoner Wohlfarth model. Further, the analysis of angular dependent squareness ratio (Mr/Ms) indicates that our films clearly exhibited twofold uniaxial anisotropy, which is related to self-steering effect arising due to the obliquely incident flux during the film-growth.

  15. The state of the art of thin-film photovoltaics

    NASA Astrophysics Data System (ADS)

    Surek, T.

    1993-10-01

    Thin-film photovoltaic technologies, based on materials such as amorphous or polycrystalline silicon, copper indium diselenide, cadmium telluride, and gallium arsenide, offer the potential for significantly reducing the cost of electricity generated by photovoltaics. The significant progress in the technologies, from the laboratory to the marketplace, is reviewed. The common concerns and questions raised about thin films are addressed. Based on the progress to date and the potential of these technologies, along with continuing investments by the private sector to commercialize the technologies, one can conclude that thin-film PV will provide a competitive alternative for large-scale power generation in the future.

  16. Poly-crystalline silicon-oxide films as carrier-selective passivating contacts for c-Si solar cells

    NASA Astrophysics Data System (ADS)

    Yang, Guangtao; Guo, Peiqing; Procel, Paul; Weeber, Arthur; Isabella, Olindo; Zeman, Miro

    2018-05-01

    The poly-Si carrier-selective passivating contacts (CSPCs) parasitically absorb a substantial amount of light, especially in the form of free carrier absorption. To minimize these losses, we developed CSPCs based on oxygen-alloyed poly-Si (poly-SiOx) and deployed them in c-Si solar cells. Transmission electron microscopy analysis indicates the presence of nanometer-scale silicon crystals within such poly-SiOx layers. By varying the O content during material deposition, we can manipulate the crystallinity of the poly-SiOx material and its absorption coefficient. Also, depending on the O content, the bandgap of the poly-SiOx material can be widened, making it transparent for longer wavelength light. Thus, we optimized the O alloying, doping, annealing, and hydrogenation conditions. As a result, an extremely high passivation quality for both n-type poly-SiOx (J0 = 3.0 fA/cm2 and iVoc = 740 mV) and p-type poly-SiOx (J0 = 17.0 fA/cm2 and iVoc = 700 mV) is obtained. A fill factor of 83.5% is measured in front/back-contacted solar cells with both polarities made up of poly-SiOx. This indicates that the carrier transport through the junction between poly-SiOx and c-Si is sufficiently efficient. To demonstrate the merit of poly-SiOx layers' high transparency at long wavelengths, they are deployed at the back side of interdigitated back-contacted (IBC) solar cells. A preliminary cell efficiency of 19.7% is obtained with much room for further improvement. Compared to an IBC solar cell with poly-Si CSPCs, a higher internal quantum efficiency at long wavelengths is observed for the IBC solar cell with poly-SiOx CSPCs, thus demonstrating the potential of poly-SiOx in enabling higher JSC.

  17. Polycrystalline silicon carbide dopant profiles obtained through a scanning nano-Schottky contact

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Golt, M. C.; Strawhecker, K. E.; Bratcher, M. S.

    2016-07-14

    The unique thermo-electro-mechanical properties of polycrystalline silicon carbide (poly-SiC) make it a desirable candidate for structural and electronic materials for operation in extreme environments. Necessitated by the need to understand how processing additives influence poly-SiC structure and electrical properties, the distribution of lattice defects and impurities across a specimen of hot-pressed 6H poly-SiC processed with p-type additives was visualized with high spatial resolution using a conductive atomic force microscopy approach in which a contact forming a nano-Schottky interface is scanned across the sample. The results reveal very intricate structures within poly-SiC, with each grain having a complex core-rim structure. Thismore » complexity results from the influence the additives have on the evolution of the microstructure during processing. It was found that the highest conductivities localized at rims as well as at the interface between the rim and the core. The conductivity of the cores is less than the conductivity of the rims due to a lower concentration of dopant. Analysis of the observed conductivities and current-voltage curves is presented in the context of nano-Schottky contact regimes where the conventional understanding of charge transport to diode operation is no longer valid.« less

  18. Polycrystalline silicon carbide dopant profiles obtained through a scanning nano-Schottky contact

    NASA Astrophysics Data System (ADS)

    Golt, M. C.; Strawhecker, K. E.; Bratcher, M. S.; Shanholtz, E. R.

    2016-07-01

    The unique thermo-electro-mechanical properties of polycrystalline silicon carbide (poly-SiC) make it a desirable candidate for structural and electronic materials for operation in extreme environments. Necessitated by the need to understand how processing additives influence poly-SiC structure and electrical properties, the distribution of lattice defects and impurities across a specimen of hot-pressed 6H poly-SiC processed with p-type additives was visualized with high spatial resolution using a conductive atomic force microscopy approach in which a contact forming a nano-Schottky interface is scanned across the sample. The results reveal very intricate structures within poly-SiC, with each grain having a complex core-rim structure. This complexity results from the influence the additives have on the evolution of the microstructure during processing. It was found that the highest conductivities localized at rims as well as at the interface between the rim and the core. The conductivity of the cores is less than the conductivity of the rims due to a lower concentration of dopant. Analysis of the observed conductivities and current-voltage curves is presented in the context of nano-Schottky contact regimes where the conventional understanding of charge transport to diode operation is no longer valid.

  19. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  20. Photo-thermal processing of semiconductor fibers and thin films

    NASA Astrophysics Data System (ADS)

    Gupta, Nishant

    Furnace processing and rapid thermal processing (RTP) have been an integral part of several processing steps in semiconductor manufacturing. The performance of RTP techniques can be improved many times by exploiting quantum photo-effects of UV and vacuum ultraviolet (VUV) photons in thermal processing and this technique is known as rapid photo-thermal processing (RPP). As compared to furnace processing and RTP, RPP provides higher diffusion coefficient, lower stress and lower microscopic defects. In this work, a custom designed automated photo assisted processing system was built from individual parts and an incoherent light source. This photo-assisted processing system is used to anneal silica clad silicon fibers and deposit thin-films. To the best of our knowledge, incoherent light source based rapid photo-thermal processing (RPP) was used for the first time to anneal glass-clad silicon core optical fibers. X-ray diffraction examination, Raman spectroscopy and electrical measurements showed a considerable enhancement of structural and crystalline properties of RPP treated silicon fibers. Photons in UV and vacuum ultraviolet (VUV) regions play a very important role in improving the bulk and carrier transport properties of RPP-treated silicon optical fibers, and the resultant annealing permits a path forward to in situ enhancement of the structure and properties of these new crystalline core optical fibers. To explore further applications of RPP, thin-films of Calcium Copper Titanate (CaCu3Ti4O12) or CCTO and Copper (I) Oxide (Cu2O) were also deposited using photo-assisted metal-organic chemical vapor deposition (MOCVD) on Si/SiO2 and n-Si substrate respectively. CCTO is one of the most researched giant dielectric constant materials in recent years. The given photo-assisted MOCVD approach provided polycrystalline CCTO growth on a SiO2 surface with grain sizes as large as 410 nm. Copper (I) oxide (Cu2O) is a direct band gap semiconductor with p-type conductivity and

  1. Synchrotron Radial X-ray Diffraction Studies of Deformation of Polycrystalline MgO

    NASA Astrophysics Data System (ADS)

    Girard, J.; Tsujino, N.; Mohiuddin, A.; Karato, S. I.

    2016-12-01

    X-ray diffraction analyses have been used for decades to study mechanical properties of polycrystalline samples during in-situ high-pressure deformation. When polycrystalline materials are deformed, stresses develop in grains and lead to lattice distortion. Using X-ray diffraction we can estimate the lattice strain for each (hkl) diffraction plans and calculate the applied stress for each (hkl), using [Singh, 1993] relation. However, this method doesn't take into account plastic anisotropy. As a results of plastic anisotropy present in the material, stress estimated from this method can be largely differ depending on (hkl) diffraction planes [Karato, 2009]. Studying the stress estimate for each (hkl) plane, might help us distinguish dominant deformation mechanisms activated during deformation such as diffusion (we will observe small stress variation as a function of (hkl) diffraction planes) or dislocation creep (we will observe a stress variation as a function of (hkl) diffraction planes that could also give us clues on potential slip system activity). In this study we observed stress evolution in MgO polycrystalline samples deformed under mantle pressure and temperature for (200) and (220) diffraction planes. Using a range MgO grain sizes we were able to control the active deformation mechanism (for e.g. diffusion creep or dislocation creep). For coarse-grained specimens, we observed strong (hkl) dependence of radial strain indicating the operation of dislocation creep. The observed (hkl) dependence changes with pressure suggesting a change in the slip system: at pressures higher than 27 GPa, (200) shows larger stress estimate than (220). In contrast, at lower pressures, (220) shows larger stress estimate than (200). This might indicate a slip system transition in MgO occurring under lower mantle conditions. From {110} plane to {100} plane. This is in good agreement with theoretical predictions and numerical calculation [Amodeo et al., 2012] and has an important

  2. Metal-oxide thin-film transistor-based pH sensor with a silver nanowire top gate electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Tae-Hee; Sang, Byoung-In; Wang, Byung-Yong; Lim, Dae-Soon; Kang, Hyun Wook; Choi, Won Kook; Lee, Young Tack; Oh, Young-Jei; Hwang, Do Kyung

    2016-04-01

    Amorphous InGaZnO (IGZO) metal-oxide-semiconductor thin-film transistors (TFTs) are one of the most promising technologies to replace amorphous and polycrystalline Si TFTs. Recently, TFT-based sensing platforms have been gaining significant interests. Here, we report on IGZO transistor-based pH sensors in aqueous medium. In order to achieve stable operation in aqueous environment and enhance sensitivity, we used Al2O3 grown by using atomic layer deposition (ALD) and a porous Ag nanowire (NW) mesh as the top gate dielectric and electrode layers, respectively. Such devices with a Ag NW mesh at the top gate electrode rapidly respond to the pH of solutions by shifting the turn-on voltage. Furthermore, the output voltage signals induced by the voltage shifts can be directly extracted by implantation of a resistive load inverter.

  3. Magnetic properties of epitaxial β-Nb2N thin film on SiC substrate

    NASA Astrophysics Data System (ADS)

    Yang, Zihao; Myers, Roberto; Katzer, D. Scott; Nepal, Neeraj; Meyer, David J.

    Previously superconductivity in Nb2N was studied in thin films synthesized by reactive magnetron sputtering or pulsed laser deposition. Recently, Nb2N was synthesized by molecular beam epitaxy (MBE). Here, we report on the magnetic properties of MBE grown Nb2N measured by SQUID magnetometry. The single hexagonal β phase Nb2N is grown on a semi-insulating Si-face 4H SiC (0001) substrate in nitrogen rich conditions at a substrate temperature of 850 °C. In-plane magnetization as a function of magnetic field measured at 5 K shows type-II superconductivity with critical fields Hc1 and Hc2 of 300 Oe and 10 kOe, respectively. In-plane field-cooled and zero-field-cooled a critical temperature (Tc) of 11.5 K, higher than in sputtered Nb2N films. This work was supported by Army Research Office and the Office of Naval Research.

  4. Growth of magnesium diboride thin films on boron buffered Si and silicon-on-insulator substrates by hybrid physical chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Wenura K.; Penmatsa, Sashank V.; Acharya, Narendra; Melbourne, Thomas; Cunnane, D.; Karasik, B. S.; Xi, X. X.

    2018-07-01

    We report on the growth of high quality MgB2 thin films on silicon and silicon-on-insulator substrates by hybrid physical chemical vapor deposition. A boron buffer layer was deposited on all sides of the Si substrate to prevent the reaction of Mg vapor and Si. Ar ion milling at a low angle of 1° was used to reduce the roughness of the boron buffer layer before the MgB2 growth. An Ar ion milling at low angle of 1° was also applied to the MgB2 surface to reduce its roughness. The resultant MgB2 films showed excellent superconducting properties and a smooth surface. The process produces thin MgB2 films suitable for waveguide-based superconducting hot electron bolometers and other MgB2-based electronic devices.

  5. Analysis of twelve-month degradation in three polycrystalline photovoltaic modules

    NASA Astrophysics Data System (ADS)

    Lai, T.; Potter, B. G.; Simmons-Potter, K.

    2016-09-01

    Polycrystalline silicon photovoltaic (PV) modules have the advantage of lower manufacturing cost as compared to their monocrystalline counterparts, but generally exhibit both lower initial module efficiencies and more significant early-stage efficiency degradation than do similar monocrystalline PV modules. For both technologies, noticeable deterioration in power conversion efficiency typically occurs over the first two years of usage. Estimating PV lifetime by examining the performance degradation behavior under given environmental conditions is, therefore, one of continual goals for experimental research and economic analysis. In the present work, accelerated lifecycle testing (ALT) on three polycrystalline PV technologies was performed in a full-scale, industrial-standard environmental chamber equipped with single-sun irradiance capability, providing an illumination uniformity of 98% over a 2 x 1.6m area. In order to investigate environmental aging effects, timedependent PV performance (I-V characteristic) was evaluated over a recurring, compressed day-night cycle, which simulated local daily solar insolation for the southwestern United States, followed by dark (night) periods. During a total test time of just under 4 months that corresponded to a year equivalent exposure on a fielded module, the temperature and humidity varied in ranges from 3°C to 40°C and 5% to 85% based on annual weather profiles for Tucson, AZ. Removing the temperature de-rating effect that was clearly seen in the data enabled the computation of normalized efficiency degradation with time and environmental exposure. Results confirm the impact of environmental conditions on the module long-term performance. Overall, more than 2% efficiency degradation in the first year of usage was observed for all thee polycrystalline Si solar modules. The average 5-year degradation of each PV technology was estimated based on their determined degradation rates.

  6. Effect of Size-Dependent Thermal Instability on Synthesis of Zn2 SiO4-SiOx Core–Shell Nanotube Arrays and Their Cathodoluminescence Properties

    PubMed Central

    2010-01-01

    Vertically aligned Zn2SiO4-SiOx(x < 2) core–shell nanotube arrays consisting of Zn2SiO4-nanoparticle chains encapsulated into SiOx nanotubes and SiOx-coated Zn2SiO4 coaxial nanotubes were synthesized via one-step thermal annealing process using ZnO nanowire (ZNW) arrays as templates. The appearance of different nanotube morphologies was due to size-dependent thermal instability and specific melting of ZNWs. With an increase in ZNW diameter, the formation mechanism changed from decomposition of “etching” to Rayleigh instability and then to Kirkendall effect, consequently resulting in polycrystalline Zn2SiO4-SiOx coaxial nanotubes, single-crystalline Zn2SiO4-nanoparticle-chain-embedded SiOx nanotubes, and single-crystalline Zn2SiO4-SiOx coaxial nanotubes. The difference in spatially resolved optical properties related to a particular morphology was efficiently documented by means of cathodoluminescence (CL) spectroscopy using a middle-ultraviolet emission at 310 nm from the Zn2SiO4 phase. PMID:20672064

  7. Growth of multilayered polycrystalline reaction rims in the MgO-SiO2 system, part I: experiments

    NASA Astrophysics Data System (ADS)

    Gardés, E.; Wunder, B.; Wirth, R.; Heinrich, W.

    2011-01-01

    Growth of transport-controlled reaction layers between single crystals of periclase and quartz, and forsterite and quartz was investigated experimentally at 1.5 GPa, 1100°C to 1400°C, 5 min to 72 h under dry and melt-free conditions using a piston-cylinder apparatus. Starting assemblies consisting of Per | Qtz | Fo sandwiches produced polycrystalline double layers of forsterite and enstatite between periclase and quartz, and enstatite single layers between forsterite and quartz. The position of inert Pt-markers initially deposited at the interface of the reactants and inspection of mass balance confirmed that both layer-producing reactions are controlled by MgO diffusion, while SiO2 is relatively immobile. BSE and TEM imaging revealed thicknesses from 0.6 μm to 14 μm for double layers and from 0 to 6.8 μm for single layers. Both single and double layers displayed non-parabolic growth together with pronounced grain coarsening. Textural evolution and growth rates for each reaction are directly comparable. Forsterite-enstatite double layers are always wider than enstatite single layers, and the growth of enstatite in the double layer is slower than that in the single layer. In double layers, the enstatite/forsterite layer thickness ratio significantly increases with temperature, reflecting different MgO mobilities as temperature varies. Thus, thickness ratios in multilayered reaction zones may contain a record of temperature, but also that of any physico-chemical parameter that modifies the mobilities of the chemical components between the various layers. This potential is largely unexplored in geologically relevant systems, which calls for further experimental studies of multilayered reaction zones.

  8. Method of casting silicon into thin sheets

    DOEpatents

    Sanjurjo, Angel; Rowcliffe, David J.; Bartlett, Robert W.

    1982-10-26

    Silicon (Si) is cast into thin shapes within a flat-bottomed graphite crucible by providing a melt of molten Si along with a relatively small amount of a molten salt, preferably NaF. The Si in the resulting melt forms a spherical pool which sinks into and is wetted by the molten salt. Under these conditions the Si will not react with any graphite to form SiC. The melt in the crucible is pressed to the desired thinness with a graphite tool at which point the tool is held until the mass in the crucible has been cooled to temperatures below the Si melting point, at which point the Si shape can be removed.

  9. Thin film processing of photorefractive BaTiO3

    NASA Technical Reports Server (NTRS)

    Schuster, Paul R.; Potember, Richard S.

    1991-01-01

    The principle objectives of this ongoing research involve the preparation and characterization of polycrystalline single-domain thin films of BaTiO3 for photorefractive applications. These films must be continuous, free of cracks, and of high optical quality. The two methods proposed are sputtering and sol-gel related processing.

  10. High-Throughput Synthesis and Characterization of Eu Doped Ba xSr2- xSiO4 Thin Film Phosphors.

    PubMed

    Frost, Sara; Guérin, Samuel; Hayden, Brian E; Soulié, Jean-Philippe; Vian, Chris

    2018-06-20

    High-throughput techniques have been employed for the synthesis and characterization of thin film phosphors of Eu-doped Ba x Sr 2- x SiO 4 . Direct synthesis from evaporation of the constituent elements under a flux of atomic oxygen on a sapphire substrate at 850 °C was used to directly produce thin film libraries (415 nm thickness) of the crystalline orthosilicate phase with the desired compositional variation (0.24 > x > 1.86). The orthosilicate phase could be synthesized as a pure, or predominantly pure, phase. Annealing the as synthesized library in a reducing atmosphere resulted in the reduction of the Eu while retaining the orthosilicate phase, and resulted in a materials thin film library where fluorescence excited by blue light (450 nm) was observable by the naked eye. Parallel screening of the fluorescence from the combinatorial libraries of Eu doped Ba x Sr 2- x SiO 4 has been implemented by imaging the fluorescent radiation over the library using a monochrome digital camera using a series of color filters. Informatics tools have been developed to allow the 1931 CIE color coordinates and the relative quantum efficiencies of the materials library to be rapidly assessed and mapped against composition, crystal structure and phase purity. The range of compositions gave values of CIE x between 0.17 and 0.52 and CIE y between 0.48 and 0.69 with relative efficiencies in the range 2.0 × 10 -4 -7.6 × 10 -4 . Good agreement was obtained between the thin film phosphors and the fluorescence characteristics of a number of corresponding bulk phosphor powders. The thermal quenching of fluorescence in the thin film libraries was also measured in the temperature range 25-130 °C: The phase purity of the thin film was found to significantly influence both the relative quantum efficiency and the thermal quenching of the fluorescence.

  11. Residual stresses of thin, short rectangular plates

    NASA Technical Reports Server (NTRS)

    Andonian, A. T.; Danyluk, S.

    1985-01-01

    The analysis of the residual stresses in thin, short rectangular plates is presented. The analysis is used in conjunction with a shadow moire interferometry technique by which residual stresses are obtained over a large spatial area from a strain measurement. The technique and analysis are applied to a residual stress measurement of polycrystalline silicon sheet grown by the edge-defined film growth technique.

  12. Modelling heat conduction in polycrystalline hexagonal boron-nitride films

    PubMed Central

    Mortazavi, Bohayra; Pereira, Luiz Felipe C.; Jiang, Jin-Wu; Rabczuk, Timon

    2015-01-01

    We conducted extensive molecular dynamics simulations to investigate the thermal conductivity of polycrystalline hexagonal boron-nitride (h-BN) films. To this aim, we constructed large atomistic models of polycrystalline h-BN sheets with random and uniform grain configuration. By performing equilibrium molecular dynamics (EMD) simulations, we investigated the influence of the average grain size on the thermal conductivity of polycrystalline h-BN films at various temperatures. Using the EMD results, we constructed finite element models of polycrystalline h-BN sheets to probe the thermal conductivity of samples with larger grain sizes. Our multiscale investigations not only provide a general viewpoint regarding the heat conduction in h-BN films but also propose that polycrystalline h-BN sheets present high thermal conductivity comparable to monocrystalline sheets. PMID:26286820

  13. Advances in thin-film solar cells for lightweight space photovoltaic power

    NASA Technical Reports Server (NTRS)

    Landis, Geoffrey A.; Bailey, Sheila G.; Flood, Dennis J.

    1989-01-01

    The present stature and current research directions of photovoltaic arrays as primary power systems for space are reviewed. There have recently been great advances in the technology of thin-film solar cells for terrestrial applications. In a thin-film solar cell the thickness of the active element is only a few microns; transfer of this technology to space arrays could result in ultralow-weight solar arrays with potentially large gains in specific power. Recent advances in thin-film solar cells are reviewed, including polycrystalline copper-indium selenide (CuInSe2) and related I-III-VI2 compounds, polycrystalline cadmium telluride and related II-VI compounds, and amorphous silicon:hydrogen and alloys. The best experimental efficiency on thin-film solar cells to date is 12 percent AMO for CuIn Se2. This efficiency is likely to be increased in the next few years. The radiation tolerance of thin-film materials is far greater than that of single-crystal materials. CuIn Se2 shows no degradation when exposed to 1 MeV electrons. Experimental evidence also suggests that most of all of the radiation damage on thin-films can be removed by a low temperature anneal. The possibility of thin-film multibandgap cascade solar cells is discussed, including the tradeoffs between monolithic and mechanically stacked cells. The best current efficiency for a cascade is 12.5 percent AMO for an amorphous silicon on CuInSe2 multibandgap combination. Higher efficiencies are expected in the future. For several missions, including solar-electric propulsion, a manned Mars mission, and lunar exploration and manufacturing, thin-film photovolatic arrays may be a mission-enabling technology.

  14. Real-time x-ray diffraction measurements of shocked polycrystalline tin and aluminum.

    PubMed

    Morgan, Dane V; Macy, Don; Stevens, Gerald

    2008-11-01

    A new, fast, single-pulse x-ray diffraction (XRD) diagnostic for determining phase transitions in shocked polycrystalline materials has been developed. The diagnostic consists of a 37-stage Marx bank high-voltage pulse generator coupled to a needle-and-washer electron beam diode via coaxial cable, producing line and bremsstrahlung x-ray emission in a 35 ns pulse. The characteristic K(alpha) lines from the selected anodes of silver and molybdenum are used to produce the diffraction patterns, with thin foil filters employed to remove the characteristic K(beta) line emission. The x-ray beam passes through a pinhole collimator and is incident on the sample with an approximately 3 x 6 mm(2) spot and 1 degrees full width half maximum angular divergence in a Bragg-reflecting geometry. For the experiments described in this report, the angle between the incident beam and the sample surface was 8.5 degrees . A Debye-Scherrer diffraction image was produced on a phosphor located 76 mm from the polycrystalline sample surface. The phosphor image was coupled to a charge-coupled device camera through a coherent fiber-optic bundle. Dynamic single-pulse XRD experiments were conducted with thin foil samples of tin, shock loaded with a 1 mm vitreous carbon back window. Detasheet high explosive with a 2-mm-thick aluminum buffer was used to shock the sample. Analysis of the dynamic shock-loaded tin XRD images revealed a phase transformation of the tin beta phase into an amorphous or liquid state. Identical experiments with shock-loaded aluminum indicated compression of the face-centered-cubic aluminum lattice with no phase transformation.

  15. Analysis of Hard Thin Film Coating

    NASA Technical Reports Server (NTRS)

    Shen, Dashen

    1998-01-01

    Marshall Space Flight Center (MSFC) is interested in developing hard thin film coating for bearings. The wearing of the bearing is an important problem for space flight engine. Hard thin film coating can drastically improve the surface of the bearing and improve the wear-endurance of the bearing. However, many fundamental problems in surface physics, plasma deposition, etc, need further research. The approach is using Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) to deposit hard thin film on stainless steel bearing. The thin films in consideration include SiC, SiN and other materials. An ECRCVD deposition system is being assembled at MSFC.

  16. Analysis of Hard Thin Film Coating

    NASA Technical Reports Server (NTRS)

    Shen, Dashen

    1998-01-01

    MSFC is interested in developing hard thin film coating for bearings. The wearing of the bearing is an important problem for space flight engine. Hard thin film coating can drastically improve the surface of the bearing and improve the wear-endurance of the bearing. However, many fundamental problems in surface physics, plasma deposition, etc, need further research. The approach is using electron cyclotron resonance chemical vapor deposition (ECRCVD) to deposit hard thin film an stainless steel bearing. The thin films in consideration include SiC, SiN and other materials. An ECRCVD deposition system is being assembled at MSFC.

  17. Three-dimensional imaging for precise structural control of Si quantum dot networks for all-Si solar cells

    NASA Astrophysics Data System (ADS)

    Kourkoutis, Lena F.; Hao, Xiaojing; Huang, Shujuan; Puthen-Veettil, Binesh; Conibeer, Gavin; Green, Martin A.; Perez-Wurfl, Ivan

    2013-07-01

    All-Si tandem solar cells based on Si quantum dots (QDs) are a promising approach to future high-performance, thin film solar cells using abundant, stable and non-toxic materials. An important prerequisite to achieve a high conversion efficiency in such cells is the ability to control the geometry of the Si QD network. This includes the ability to control both, the size and arrangement of Si QDs embedded in a higher bandgap matrix. Using plasmon tomography we show the size, shape and density of Si QDs, that form in Si rich oxide (SRO)/SiO2 multilayers upon annealing, can be controlled by varying the SRO stoichiometry. Smaller, more spherical QDs of higher densities are obtained at lower Si concentrations. In richer SRO layers ellipsoidal QDs tend to form. Using electronic structure calculations within the effective mass approximation we show that ellipsoidal QDs give rise to reduced inter-QD coupling in the layer. Efficient carrier transport via mini-bands is in this case more likely across the multilayers provided the SiO2 spacer layer is thin enough to allow coupling in the vertical direction.All-Si tandem solar cells based on Si quantum dots (QDs) are a promising approach to future high-performance, thin film solar cells using abundant, stable and non-toxic materials. An important prerequisite to achieve a high conversion efficiency in such cells is the ability to control the geometry of the Si QD network. This includes the ability to control both, the size and arrangement of Si QDs embedded in a higher bandgap matrix. Using plasmon tomography we show the size, shape and density of Si QDs, that form in Si rich oxide (SRO)/SiO2 multilayers upon annealing, can be controlled by varying the SRO stoichiometry. Smaller, more spherical QDs of higher densities are obtained at lower Si concentrations. In richer SRO layers ellipsoidal QDs tend to form. Using electronic structure calculations within the effective mass approximation we show that ellipsoidal QDs give rise to

  18. Process Research on Polycrystalline Silicon Material (PROPSM)

    NASA Technical Reports Server (NTRS)

    Culik, J. S.

    1983-01-01

    The performance limiting mechanisms in large grain (greater than 1-2 mm in diameter) polycrystalline silicon was investigated by measuring the illuminated current voltage (I-V) characteristics of the minicell wafer set. The average short circuit current on different wafers is 3 to 14 percent lower than that of single crystal Czochralski silicon. The scatter was typically less than 3 percent. The average open circuit voltage is 20 to 60 mV less than that of single crystal silicon. The scatter in the open circuit voltage of most of the polycrystalline silicon wafers was 15 to 20 mV, although two wafers had significantly greater scatter than this value. The fill factor of both polycrystalline and single crystal silicon cells was typically in the range of 60 to 70 percent; however several polycrystalline silicon wafers have fill factor averages which are somewhat lower and have a significantly larger degree of scatter.

  19. Photovoltaic Cell Having A P-Type Polycrystalline Layer With Large Crystals

    DOEpatents

    Albright, Scot P.; Chamberlin, Rhodes R.

    1996-03-26

    A photovoltaic cell has an n-type polycrystalline layer and a p-type polycrystalline layer adjoining the n-type polycrystalline layer to form a photovoltaic junction. The p-type polycrystalline layer comprises a substantially planar layer portion having relatively large crystals adjoining the n-type polycrystalline layer. The planar layer portion includes oxidized impurities which contribute to obtainment of p-type electrical properties in the planar layer portion.

  20. Determination of elastic properties of polycrystalline U 3Si 2 using resonant ultrasound spectroscopy

    DOE PAGES

    Carvajal-Nunez, Ursula; Saleh, Tarik A.; White, Joshua Taylor; ...

    2017-11-10

    For this research, the elastic properties of U 3Si 2 at room temperature have been measured via resonant ultrasound spectroscopy. Results show that the average value of Young's and the bulk modulus for U 3Si 2 are 130.4±0.5 and 68.3±0.5 GPa, respectively. Further, a numerical model to assess thermal stress in an operating fuel is evaluated. Lastly, the thermal stress evolved in U 3Si 2 is compared to UO 2 to facilitate an estimation of the probability of crack formation in U 3Si 2 under representative light water reactor operating conditions.

  1. Determination of elastic properties of polycrystalline U 3Si 2 using resonant ultrasound spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carvajal-Nunez, Ursula; Saleh, Tarik A.; White, Joshua Taylor

    For this research, the elastic properties of U 3Si 2 at room temperature have been measured via resonant ultrasound spectroscopy. Results show that the average value of Young's and the bulk modulus for U 3Si 2 are 130.4±0.5 and 68.3±0.5 GPa, respectively. Further, a numerical model to assess thermal stress in an operating fuel is evaluated. Lastly, the thermal stress evolved in U 3Si 2 is compared to UO 2 to facilitate an estimation of the probability of crack formation in U 3Si 2 under representative light water reactor operating conditions.

  2. Performance of In-Pixel Circuits for Photon Counting Arrays (PCAs) Based on Polycrystalline Silicon TFTs

    PubMed Central

    Liang, Albert K.; Koniczek, Martin; Antonuk, Larry E.; El-Mohri, Youcef; Zhao, Qihua; Street, Robert A.; Lu, Jeng Ping

    2017-01-01

    Photon counting arrays (PCAs), defined as pixelated imagers which measure the absorbed energy of x-ray photons individually and record this information digitally, are of increasing clinical interest. A number of PCA prototypes with a 1 mm pixel-to-pixel pitch have recently been fabricated with polycrystalline silicon (poly-Si) — a thin-film technology capable of creating monolithic imagers of a size commensurate with human anatomy. In this study, analog and digital simulation frameworks were developed to provide insight into the influence of individual poly-Si transistors on pixel circuit performance — information that is not readily available through empirical means. The simulation frameworks were used to characterize the circuit designs employed in the prototypes. The analog framework, which determines the noise produced by individual transistors, was used to estimate energy resolution, as well as to identify which transistors contribute the most noise. The digital framework, which analyzes how well circuits function in the presence of significant variations in transistor properties, was used to estimate how fast a circuit can produce an output (referred to as output count rate). In addition, an algorithm was developed and used to estimate the minimum pixel pitch that could be achieved for the pixel circuits of the current prototypes. The simulation frameworks predict that the analog component of the PCA prototypes could have energy resolution as low as 8.9% FWHM at 70 keV; and the digital components should work well even in the presence of significant TFT variations, with the fastest component having output count rates as high as 3 MHz. Finally, based on conceivable improvements in the underlying fabrication process, the algorithm predicts that the 1 mm pitch of the current PCA prototypes could be reduced significantly, potentially to between ~240 and 290 μm. PMID:26878107

  3. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    PubMed

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  4. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  5. High temperature deformation of hot-pressed polycrystalline orthoenstatite. Ph.D. Thesis

    NASA Technical Reports Server (NTRS)

    Dehghan-Banadaki, A.

    1983-01-01

    Artificial hot pressed polycrystalline samples were prepared from purified powder of Bamble, Norway, orthoenstatite, (Mg0.86Fe0.14)SiO3. The uniaxial creep behavior of the polycrystalline orthoenstatite was studied over stress ranges of 10-180 MPa and temperatures of 1500-1700 K (0.82-0.93 T sub m) under two different oxygen fugacities, namely equilibrium (Mo-MoO2 buffer) and a reducing (graphite heating element) atmosphere, respectively. An intergranular glassy phase of different compositions with a cavitational creep deformation were observed. In the Mo-MoO2 buffer atmosphere with PO2 approx. 10 to the minus 11 power - 10 to the minus 13 power atmospheres, the results of an analytical electron microscopy analysis indicate that the glassy phases are richer in Ca and Al due to the residual impurities after hot pressing. In the reducing atmosphere with an oxygen fugacity of PO2 approx. 10 to the minus 3 power - 10 to the minus 25 power atmospheres, the results of analytical electron microscopy analysis indicate that the glassy phase is almost pure silica with the presence of free iron precipitate on grain facets and at triple junctions due to the reduction of bulk materials.

  6. Morphology selection for cupric oxide thin films by electrodeposition.

    PubMed

    Dhanasekaran, V; Mahalingam, T; Chandramohan, R

    2011-10-01

    Polycrystalline cupric oxide thin films were deposited using alkaline solution bath employing cathodic electrodeposition method. The thin films were electrodeposited at various solution pH. The surface morphology and elemental analyzes of the films were studied using scanning electron microscopy (SEM) and energy dispersive X-ray analysis, respectively. SEM studies revealed that the surface morphology could be tailored suitably by adjusting the pH value during deposition. Mesh average on multiple lattice mode atomic force microscopy image was obtained and reported. Copyright © 2011 Wiley-Liss, Inc.

  7. Opto-electronic properties of P-doped nc-Si–QD/a-SiC:H thin films as foundation layer for all-Si solar cells in superstrate configuration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kar, Debjit; Das, Debajyoti, E-mail: erdd@iacs.res.in

    2016-07-14

    With the advent of nc-Si solar cells having improved stability, the efficient growth of nc-Si i-layer of the top cell of an efficient all-Si solar cell in the superstrate configuration prefers nc-Si n-layer as its substrate. Accordingly, a wide band gap and high conducting nc-Si alloy material is a basic requirement at the n-layer. Present investigation deals with the development of phosphorous doped n-type nanocrystalline silicon quantum dots embedded in hydrogenated amorphous silicon carbide (nc-Si–QD/a-SiC:H) hetero-structure films, wherein the optical band gap can be widened by the presence of Si–C bonds in the amorphous matrix and the embedded high densitymore » tiny nc-Si–QDs could provide high electrical conductivity, particularly in P-doped condition. The nc-Si–QDs simultaneously facilitate further widening of the optical band gap by virtue of the associated quantum confinement effect. A complete investigation has been made on the electrical transport phenomena involving charge transfer by tunneling and thermionic emission prevailing in n-type nc-Si–QD/a-SiC:H thin films. Their correlation with different phases of the specific heterostructure has been carried out for detailed understanding of the material, in order to improve its device applicability. The n-type nc-Si–QD/a-SiC:H films exhibit a thermally activated electrical transport above room temperature and multi-phonon hopping (MPH) below room temperature, involving defects in the amorphous phase and the grain-boundary region. The n-type nc-Si–QD/a-SiC:H films grown at ∼300 °C, demonstrating wide optical gap ∼1.86–1.96 eV and corresponding high electrical conductivity ∼4.5 × 10{sup −1}–1.4 × 10{sup −2} S cm{sup −1}, deserve to be an effective foundation layer for the top nc-Si sub-cell of all-Si solar cells in n-i-p structure with superstrate configuration.« less

  8. Space electric field concentrated effect for Zr:SiO2 RRAM devices using porous SiO2 buffer layer

    PubMed Central

    2013-01-01

    To improve the operation current lowing of the Zr:SiO2 RRAM devices, a space electric field concentrated effect established by the porous SiO2 buffer layer was investigated and found in this study. The resistive switching properties of the low-resistance state (LRS) and high-resistance state (HRS) in resistive random access memory (RRAM) devices for the single-layer Zr:SiO2 and bilayer Zr:SiO2/porous SiO2 thin films were analyzed and discussed. In addition, the original space charge limited current (SCLC) conduction mechanism in LRS and HRS of the RRAM devices using bilayer Zr:SiO2/porous SiO2 thin films was found. Finally, a space electric field concentrated effect in the bilayer Zr:SiO2/porous SiO2 RRAM devices was also explained and verified by the COMSOL Multiphysics simulation model. PMID:24330524

  9. Nanoscale thin film growth of Au on Si(111)-7 × 7 surface by pulsed laser deposition method

    NASA Astrophysics Data System (ADS)

    Yokotani, Atsushi; Kameyama, Akihiro; Nakayoshi, Kohei; Matsunaga, Yuta

    2017-03-01

    To obtain important information for fabricating atomic-scale Au thin films that are used for biosensors, we have observed the morphology of Au particles adsorbed on a Si(111)-7 × 7 surface, which is supposed to be the initial stage of Au atomistic thin film formation. Au particles were adsorbed on the clean Si surface using a PLD method, and the adsorbed particles were observed using a scanning tunneling microscope. As the number of laser shots was increased in the PLD method, the size of the adsorbed particle became larger. The larger particles seemed to form clusters, which are aggregations of particles in which each particle is distinguished, so we call this type of cluster a film-shaped cluster. In this work, we have mainly analyzed this type of cluster. As a result the film-shaped clusters were found to have a structure of nearly monoatomic layers. The particles in the clusters were gathered closely in roughly a 3-fold structure with an inter particle distance of 0.864 nm. We propose a model for the cluster structure by modifying Au(111) face so that each observed particle consists of three Au atoms.

  10. Optical and compositional characterization of SiOxNy and SiOx thin films deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Roschuk, T.; Wojcik, J.; Tan, X.; Davies, J. A.; Mascher, P.

    2004-05-01

    Thin silicon oxynitride (SiOxNy) and silicon-rich silicon-oxide (SiOx,x<=2) films of varying composition have been deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition. Films were deposited using various source gas flow rates while maintaining a constant chamber pressure. Thicknesses and refractive indices for these films were determined using ellipsometry. Bonding of the constituent atoms was analyzed using Fourier transform infrared (FTIR) spectroscopy. FTIR spectroscopy also allowed for the detection of bonded species such as hydrogen. Compositional characteristics were determined using various forms of ion beam analysis such as Rutherford backscattering and elastic recoil detection. These analysis techniques were used to determine the values of x and y, the molar fractions of oxygen and nitrogen, respectively, and the total amount of hydrogen present in the films. Using the results obtained from these methods the film characteristics were determined as a function of the deposition conditions. .

  11. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    NASA Astrophysics Data System (ADS)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  12. Strain stabilization and thickness dependence of magnetism in epitaxial transition metal monosilicide thin films on Si(111)

    NASA Astrophysics Data System (ADS)

    Geisler, Benjamin; Kratzer, Peter

    2013-09-01

    We present a comprehensive study of different 3d transition metal monosilicides in their ground state crystal structure (B20), ranging from equilibrium bulk over biaxially strained bulk to epitaxial thin films on Si(111), by means of density functional theory. The magnetic properties of MnSi and FeSi films are found to be considerably modified due to the epitaxial strain induced by the substrate. In MnSi bulk material, which can be seen as a limit of thick films, we find a strain-induced volume expansion, an increase of the magnetic moments, and a significant rise of the energy difference between different spin configurations. The latter can be associated with an increase of the Curie temperature, which is in accordance with recent experimental results. While a ferromagnetic spin alignment is found to be the ground state also for ultrathin films, we show that for films of intermediate thickness a partially compensating magnetic ordering is more favorable; however, the films retain a net magnetic moment. Furthermore, we analyze the orbital structure in FeSi around the band gap, which can be located somewhere in the density of states for all studied B20 transition metal monosilicides, and find that FeSi becomes metallic and ferromagnetic under epitaxial strain. Finally, the influence of on-site electronic correlation and the reliability of ab initio calculations for 3d transition metal monosilicides are discussed.

  13. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  14. Microstructural study of the polymorphic transformation in pentacene thin films.

    PubMed

    Murakami, Yosuke; Tomiya, Shigetaka; Koshitani, Naoki; Kudo, Yoshihiro; Satori, Kotaro; Itabashi, Masao; Kobayashi, Norihito; Nomoto, Kazumasa

    2009-10-02

    We have observed, by high-resolution cross-sectional transmission electron microscopy, the first direct evidence of polymorphic transformation in pentacene thin films deposited on silicon oxide substrates. Polymorphic transformation from the thin-film phase to the bulk phase occurred preferentially near polycrystalline grain boundaries, which exhibit concave surfaces. This process is thought to be driven by compressive stress caused by the grain boundaries. In addition to this stress, lattice mismatch between the two phases also results in structural defect formation.

  15. Ultrasonic Spray Pyrolysis Deposited Copper Sulphide Thin Films for Solar Cell Applications

    PubMed Central

    Firat, Y. E.; Yildirim, H.; Erturk, K.

    2017-01-01

    Polycrystalline copper sulphide (CuxS) thin films were grown by ultrasonic spray pyrolysis method using aqueous solutions of copper chloride and thiourea without any complexing agent at various substrate temperatures of 240, 280, and 320°C. The films were characterized for their structural, optical, and electrical properties by X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive analysis of X-rays (EDAX), atomic force microscopy (AFM), contact angle (CA), optical absorption, and current-voltage (I-V) measurements. The XRD analysis showed that the films had single or mixed phase polycrystalline nature with a hexagonal covellite and cubic digenite structure. The crystalline phase of the films changed depending on the substrate temperature. The optical band gaps (Eg) of thin films were 2.07 eV (CuS), 2.50 eV (Cu1.765S), and 2.28 eV (Cu1.765S–Cu2S). AFM results indicated that the films had spherical nanosized particles well adhered to the substrate. Contact angle measurements showed that the thin films had hydrophobic nature. Hall effect measurements of all the deposited CuxS thin films demonstrated them to be of p-type conductivity, and the current-voltage (I-V) dark curves exhibited linear variation. PMID:29109807

  16. Two-dimensional X-ray diffraction and transmission electron microscopy study on the effect of magnetron sputtering atmosphere on GaN/SiC interface and gallium nitride thin film crystal structure

    NASA Astrophysics Data System (ADS)

    Shen, Huaxiang; Zhu, Guo-Zhen; Botton, Gianluigi A.; Kitai, Adrian

    2015-03-01

    The growth mechanisms of high quality GaN thin films on 6H-SiC by sputtering were investigated by X-ray diffraction (XRD) and scanning transmission electron microscopy (STEM). The XRD θ-2θ scans show that high quality ( 0002 ) oriented GaN was deposited on 6H-SiC by reactive magnetron sputtering. Pole figures obtained by 2D-XRD clarify that GaN thin films are dominated by ( 0002 ) oriented wurtzite GaN and { 111 } oriented zinc-blende GaN. A thin amorphous silicon oxide layer on SiC surfaces observed by STEM plays a critical role in terms of the orientation information transfer from the substrate to the GaN epilayer. The addition of H2 into Ar and/or N2 during sputtering can reduce the thickness of the amorphous layer. Moreover, adding 5% H2 into Ar can facilitate a phase transformation from amorphous to crystalline in the silicon oxide layer and eliminate the unwanted { 3 3 ¯ 02 } orientation in the GaN thin film. Fiber texture GaN thin films can be grown by adding 10% H2 into N2 due to the complex reaction between H2 and N2.

  17. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  18. Highly oriented diamond films on Si: growth, characterization, and devices

    NASA Astrophysics Data System (ADS)

    Stoner, Brian R.; Malta, D. M.; Tessmer, A. J.; Holmes, J.; Dreifus, David L.; Glass, R. C.; Sowers, A.; Nemanich, Robert J.

    1994-04-01

    Highly oriented, (100) textured diamond films have been grown on single-crystal Si substrates via microwave plasma enhanced chemical vapor deposition. A multistep deposition process including bias-enhanced nucleation and textured growth was used to obtain smooth films consisting of epitaxial grains with only low-angle grain boundaries. Boron-doped layers were selectively deposited onto the surface of these oriented films and temperature-dependent Hall effect measurements indicated a 3 to 5 times improvement in hole mobility over polycrystalline films grown under similar conditions. Room temperature hole mobilities between 135 and 278 cm2/V-s were measured for the highly oriented samples as compared to 2 to 50 cm2/V-s for typical polycrystalline films. Grain size effects and a comparison between the transport properties of polycrystalline, highly oriented and homoepitaxial films will be discussed. Metal-oxide- semiconductor field-effect transistors were then fabricated on the highly oriented films and exhibited saturation and pinch-off of the channel current.

  19. Electronic transport properties of nanostructured MnSi-films

    NASA Astrophysics Data System (ADS)

    Schroeter, D.; Steinki, N.; Scarioni, A. Fernández; Schumacher, H. W.; Süllow, S.; Menzel, D.

    2018-05-01

    MnSi, which crystallizes in the cubic B20 structure, shows intriguing magnetic properties involving the existence of skyrmions in the magnetic phase diagram. Bulk MnSi has been intensively investigated and thoroughly characterized, in contrast to MnSi thin film, which exhibits widely varying properties in particular with respect to electronic transport. In this situation, we have set out to reinvestigate the transport properties in MnSi thin films by means of studying nanostructure samples. In particular, Hall geometry nanostructures were produced to determine the intrinsic transport properties.

  20. Si NW network by Ag nanoparticle assisted etching and TiO2/Si NWs as photodetector

    NASA Astrophysics Data System (ADS)

    Bhowmik, Kishan; Mondal, Aniruddha

    2015-03-01

    Glancing angle deposited silver (Ag) nanoparticles (NPs) were employed to fabricate the silicon (Si) nanowire (NW) network on p-type Si substrate. The Si NWs were characterized by X-ray diffraction, which shows the (311) oriented single crystalline nature. The FEG-SEM images show that the nanowire diameters are in the order of 60-180 nm. The photoluminescence emission at 525 nm was recognized from the Si NWs. The Ag-TiO2 contacts exhibit Schottky behavior and higher photoconduction was observed for TiO2-Si NW detector than that of TiO2 Thin film under illumination up to 2.5 V applied potential. A threefold enhanced photodetection for the Silicon nanowire device was observed compared to the TiO2 thin film device, under applied voltages of 0.4-1.5 V. [Figure not available: see fulltext.