Method of using polysilane positive photoresist materials
Harrah, L.A.; Zeigler, J.M.
1986-05-06
New polysilane copolymers comprise recurring units of --Si(X)(Y)-- and Si(A)(B)--, Si(X)(Y) being different from Si(A)(B), wherein X and Y together have 1-13 carbon atoms, and X and Y each independently is hydrogen, alkyl, cycloalkyl, phenyl, alkylphenyl, or phenylalkyl, with the proviso that only one of X and Y contains a phenyl moiety, or together X and Y are an alkylene group forming a ring with the adjoining Si atom, and wherein A and B together have 3-13 carbon atoms, and A and B each independently is alkyl or cycloalkyl, with the proviso (a) that when one of A and B is ethyl, the other is not methyl or ethyl, and (b) that when one of A ad B is n-propyl and the other is methyl, X and Y are not both methyl. Corresponding homopolysilanes are also provided. Upon ultraviolet irradiation, they photodepolymerize to form volatile products. As a result, they represent a new class of photoresists which enable direct formation of a positive image eliminating the heretofore required development step.
Polysilane positive photoresist materials and methods for their use
Harrah, L.A.; Zeigler, J.M.
1984-04-05
New polysilane copolymers comprise recurring units of -Si(X)(Y)- and Si(A)(B)-, Si(X)(Y) being different from Si(A)(B). X and Y together have 1 to 13 carbon atoms, and X and Y each independently is hydrogen, alkyl, cycloalkyl, phenyl, alkylphenyl, or phenylalkyl, with the proviso that only one of X and Y contains a phenyl moiety, or together X and Y are an alkylene group forming a ring with the adjoining Si atom. A and B together have 3 to 13 carbon atoms, and A and B each independently is alkyl or cycloalkyl, with the proviso that when one of A and B is ethyl, the other is not methyl or ethyl, and that when one of A and B is n-propyl and the other is methyl, X and Y are not both methyl. Corresponding homopolysilanes are also provided. Upon ultraviolet irradiation, they photodepolymerize to form volatile products. As a result, they represent a new class of photoresists which enable direct formation of a positive image eliminating the heretofore required development step.
Method of using polysilane positive photoresist materials
Harrah, Larry A.; Zeigler, John M.
1986-01-01
New polysilane copolymers comprise recurring units of --Si(X)(Y)-- and Si(A)(B)--, Si(X)(Y) being different from Si(A)(B), wherein X and Y together have 1-13 carbon atoms, and X and Y each independently is hydrogen, alkyl, cycloalkyl, phenyl, alkylphenyl, or phenylalkyl, with the proviso that only one of X and Y contains a phenyl moiety, or together X and Y are an alkylene group forming a ring with the adjoining Si atom, and wherein A and B together have 3-13 carbon atoms, and A and B each independently is alkyl or cycloalkyl, with the proviso (a) that when one of A and B is ethyl, the other is not methyl or ethyl, and (b) that when one of A ad B is n-propyl and the other is methyl, X and Y are not both methyl. Corresponding homopolysilanes are also provided. Upon ultraviolet irradiation, they photodepolymerize to form volatile products. As a result, they represent a new class of photoresists which enable direct formation of a positive image eliminating the heretofore required development step.
Polysilane positive photoresist materials and methods for their use
Harrah, Larry A.; Zeigler, John M.
1986-01-01
New polysilane copolymers comprise recurring units of --Si(X)(Y)-- and Si(A)(B)--, Si(X)(Y) being different from Si(A)(B), wherein X and Y together have 1-13 carbon atoms, and X and Y each independently is hydrogen, alkyl, cycloalkyl, phenyl, alkylphenyl, or phenylalkyl, with the proviso that only one of X and Y contains a phenyl moiety, or together X and Y are an alkylene group forming a ring with the adjoining Si atom, and wherein A and B together have 3-13 carbon atoms, and A and B each independently is alkyl or cycloalkyl, with the proviso (a) that when one of A and B is ethyl, the other is not methyl or ethyl, and (b) that when one of A and B is n-propyl and the other is methyl, X and Y are not both methyl. Corresponding homopolysilanes are also provided. Upon ultraviolet irradiation, they photodepolymerize to form volatile products. As a result, they represent a new class of photoresists which enable direct formation of a positive image eliminating the heretofore required development step.
Supercritical fluid processing: a new dry technique for photoresist developing
NASA Astrophysics Data System (ADS)
Gallagher-Wetmore, Paula M.; Wallraff, Gregory M.; Allen, Robert D.
1995-06-01
Supercritical fluid (SCF) technology is investigated as a dry technique for photoresist developing. Because of their unique combination of gaseous and liquid-like properties, these fluids offer comparative or improved efficiencies over liquid developers and, particularly carbon dioxide, would have tremendous beneficial impact on the environment and on worker safety. Additionally, SCF technology offers the potential for processing advanced resist systems which are currently under investigation as well as those that may have been abandoned due to problems associated with conventional developers. An investigation of various negative and positive photoresist systems is ongoing. Initially, supercritical carbon dioxide (SC CO2) as a developer for polysilane resists was explored because the exposure products, polysiloxanes, are generally soluble in this fluid. These initial studies demonstrated the viability of the SCF technique with both single layer and bilayer systems. Subsequently, the investigation focused on using SC CO2 to produce negative images with polymers that would typically be considered positive resists. Polymers such as styrenes and methacrylates were chemically modified by fluorination and/or copolymerization to render them soluble in SC CO2. Siloxane copolymers and siloxane-modified methacrylates were examined as well. The preliminary findings reported here indicate the feasibility of using SC CO2 for photoresist developing.
Methods for the synthesis of polysilanes
Zeigler, John M.
1991-01-01
A method of controlling the yield of polysilane of a desired molecular weight and/or polydispersity prepared in a reductive condensation of corresponding silane monomers on a solid catalyst dispersed in an inert solvent for both the monomers and the growing polymer chains, comprises determining the variation of molecular weight and/or polydispersity of the polysilane as a function of the solubility of the polysilane in reaction solvent, determining thereby a chosen optimum solubility of the polysilane in solvent for obtaining a desired yield of polysilane of said desired molecular weight and/or polydispersity, and thereafter carrying out the preparation of the polysilane in a solvent in which the polysilane has said chosen optimum solubility.
Direct laser writing of polymeric nanostructures via optically induced local thermal effect
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tong, Quang Cong; Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet, Cau Giay, 10000 Hanoi; Nguyen, Dam Thuy Trang
We demonstrate the fabrication of desired structures with feature size below the diffraction limit by use of a positive photoresist. The direct laser writing technique employing a continuous-wave laser was used to optically induce a local thermal effect in a positive photoresist, which then allowed the formation of solid nanostructures. This technique enabled us to realize multi-dimensional sub-microstructures by use of a positive photoresist, with a feature size down to 57 nm. This mechanism acting on positive photoresists opens a simple and low-cost way for nanofabrication.
NASA Astrophysics Data System (ADS)
Furukawa, Kazuaki; Ebata, Keisuke
2000-12-01
Electrically active polysilanes of poly(methylphenylsilane) (PMPS) and poly[bis(p-n-butylphenyl)silane] (PBPS), which are, respectively, known as a good hole transporting material and a near-ultraviolet electroluminescent material, are end-grafted directly on a crystalline silicon surface. The single polysilane molecules are clearly distinguished one from the other on the surface by means of atomic force microscopy observations. End-grafted single molecules of PMPS are observed as dots while end-grafted PBPS appear as worms extending for more than 100 nm on the crystalline silicon surface.
Hybrid sol-gel optical materials
Zeigler, J.M.
1993-04-20
Hybrid sol-gel materials comprise silicate sols cross-linked with linear polysilane, polygermane, or poly(silane-germane). The sol-gel materials are useful as optical identifiers in tagging and verification applications and, in a different aspect, as stable, visible light transparent non-linear optical materials. Methyl or phenyl silicones, polyaryl sulfides, polyaryl ethers, and rubbery polysilanes may be used in addition to the linear polysilane. The linear polymers cross-link with the sol to form a matrix having high optical transparency, resistance to thermooxidative aging, adherence to a variety of substrates, brittleness, and a resistance to cracking during thermal cycling.
Hybrid sol-gel optical materials
Zeigler, John M.
1993-01-01
Hybrid sol-gel materials comprise silicate sols cross-linked with linear polysilane, polygermane, or poly(silane-germane). The sol-gel materials are useful as optical identifiers in tagging and verification applications and, in a different aspect, as stable, visible light transparent non-linear optical materials. Methyl or phenyl silicones, polyaryl sulfides, polyaryl ethers, and rubbery polysilanes may be used in addition to the linear polysilane. The linear polymers cross-link with the sol to form a matrix having high optical transparency, resistance to thermooxidative aging, adherence to a variety of substrates, brittleness, and a resistance to cracking during thermal cycling.
Hybrid sol-gel optical materials
Zeigler, John M.
1992-01-01
Hybrid sol-gel materials comprise silicate sols cross-linked with linear polysilane, polygermane, or poly(silane-germane). The sol-gel materials are useful as optical identifiers in tagging and verification applications and, in a different aspect, as stable, visible light transparent non-linear optical materials. Methyl or phenyl silicones, polyaryl sulfides, polyaryl ethers, and rubbery polysilanes may be used in addition to the linear polysilane. The linear polymers cross-link with the sol to form a matrix having high optical transparency, resistance to thermooxidative aging, adherence to a variety of substrates, brittleness, and a resistance to cracking during thermal cycling.
Radiation effects on hole drift mobility in polysilanes
NASA Astrophysics Data System (ADS)
Seki, Shu; Shibata, Hiromi; Yoshida, Yoichi; Ishigure, Kenkichi; Tagawa, Seiichi
1997-03-01
The radiation effects on hole drift mobility in polysilane derivatives were studied in the present paper. The values of hole drift mobility (about 10 -4 cm 2/V·s) obtained by the DC Time-of-Flight (TOF) measurement were improved by ion beam irradiation for poly(methylphenylsilane) (PMPS) and poly(di-n-hexylsilane) (PDHS). The irradiated PMPS showed five times higher values of hole drift mobility than the non irradiated one. Their low photo-induced carrier yield, one of the highest barrier to use polysilanes as photoconductors, was also improved by the irradiation. The mechanism of the mobility improvement will be discussed in relation to the model of changes in the silicon skeleton structure induced by the radiation.
NASA Technical Reports Server (NTRS)
Smith, W. O.; Toft, A. R. (Inventor)
1973-01-01
A method for the production of reticles, particularly those for use in outer space, where the product is a quartz base coated with highly adherent layers of chromium, chromium-silver, and silver vacuum deposited through a mask, and then coated with an electrodeposit of copper from a copper sulfate solution followed by an electrodeposit of black chromium is described. The masks are produced by coating a beryllium-copper alloy substrate with a positive working photoresist, developing the photoresist, according to a pattern to leave a positive mask, plating uncoated areas with gold, removing the photoresist, coating the substrate with a negative working photoresist, developing the negative working photoresist to expose the base metal of the pattern, and chemically etching the unplated side of the pattern to produce the mask.
NASA Astrophysics Data System (ADS)
Grunwald, John J.; Spencer, Allen C.
1986-07-01
The paper describes a new approach to thermally stabilize the already imaged profile of high resolution positive photoresists such as ULTRAMAC" PR-914. ***XD-4000, an aqueous emulsion of a blend of fluorine-bearing compounds is spun on top of the developed, positive photoresist-imaged wafer, and baked. This allows the photoresist to withstand temperatures up to at least 175 deg. C. while essentially maintaining vertical edge profiles. Also, adverse effects of "outgassing" in harsh environments, ie., plasma and ion implant are greatly minimized by allowing the high resolution imaged photoresist to be post-baked at "elevated" temperatures. Another type of product that accomplishes the same effect is ***XD-4005, an aqueous emulsion of a high temperature-resistant polymer. While the exact mechanism is yet to be identified, it is postulated that absorption of the "polymeric" species into the "skin" of the imaged resist forms a temperature resistant "envelope", thereby allowing high resolution photoresists to also serve in a "high temperature" mode, without reticulation, or other adverse effects due to thermal degradation. SEM's are presented showing imaged ULTRAMAC" PR-914 and ULTRAMAC" **EPA-914 geometries coated with XD-4000 or XD-4005 and followed by plasma etched oxide,polysilicon and aluminum. Selectivity ratios are compared with and without the novel treatment and are shown to be significantly better with the treatment. The surface-treated photoresist for thermal resistance remains easily strippable in solvent-based or plasma media, unlike photoresists that have undergone "PRIST" or other gaseous thermal stabilization methods.
NASA Technical Reports Server (NTRS)
Policastro, Steven G. (Inventor); Woo, Dae-Shik (Inventor)
1983-01-01
A self-aligned method of implanting the edges of NMOS/SOS transistors is described. The method entails covering the silicon islands with a thick oxide layer, applying a protective photoresist layer over the thick oxide layer, and exposing the photoresist layer from the underside of the sapphire substrate thereby using the island as an exposure mask. Only the photoresist on the islands' edges will be exposed. The exposed photoresist is then removed and the thick oxide is removed from the islands edges which are then implanted.
New Polymeric Precursors of Silicon Carbide
NASA Technical Reports Server (NTRS)
Litt, M.; Kumar, K.
1987-01-01
Silicon carbide made by pyrolizing polymers. Method conceived for preparation of poly(decamethylcyclohexasilanes) as precursors for preparation of silicon carbide at high yield. Technical potential of polysilanes as precursors of SiC ceramics being explored. Potential limited by intractability of some polysilanes; formation of small, cyclic polycarbosilane fragments during pyrolysis; and overall low char yield and large shrinkage in conversion to ceramics.
Towards Well-Defined Polysilylenes and Polyphosphazenes
1992-05-25
distribution), non - controlled degrees of polymerization and unknown end cyclopentasilanes 2 8 . The anionic intermediates have been observed groups. Some... control in polysilanes will be presented: ring-opening polymerization, and polymer modications.. Block and graft copolymers based on polysilanes will be...34sticks" to the surface of alkali metal and continues to grow to high possible to prepare polymers with controlled molecular weight, with low m"m
Radiation Sensitivity of Soluble Polysilane Derivatives: Science and Applications
1988-08-01
sigma bonded, all substituted silane polymers absorb strongly in the UV-visible region. Their absorption spectra depend to some extent on the nature...of the substituents. In this regard alkyl substituted, atatic, amphorous materials absorb from 300-325 nm with sterically bulky groups producing a...cases, the polysilane is the primary absorber of the incident radiation. Interestingly, when 3, which absorbs at -400 inm, was incorporated into a film
Advanced metal lift-off process using electron-beam flood exposure of single-layer photoresist
NASA Astrophysics Data System (ADS)
Minter, Jason P.; Ross, Matthew F.; Livesay, William R.; Wong, Selmer S.; Narcy, Mark E.; Marlowe, Trey
1999-06-01
In the manufacture of many types of integrated circuit and thin film devices, it is desirable to use a lift-of process for the metallization step to avoid manufacturing problems encountered when creating metal interconnect structures using plasma etch. These problems include both metal adhesion and plasma etch difficulties. Key to the success of the lift-off process is the creation of a retrograde or undercut profile in the photoresists before the metal deposition step. Until now, lift-off processing has relied on costly multi-layer photoresists schemes, image reversal, and non-repeatable photoresist processes to obtain the desired lift-off profiles in patterned photoresist. This paper present a simple, repeatable process for creating robust, user-defined lift-off profiles in single layer photoresist using a non-thermal electron beam flood exposure. For this investigation, lift-off profiles created using electron beam flood exposure of many popular photoresists were evaluated. Results of lift-off profiles created in positive tone AZ7209 and ip3250 are presented here.
Polysilanes with Various Architectures
1994-06-30
copolymers of RSiCI3 with RR’SiCl2 to make polysilane-polysilyne hybrid materials (Ref. 13); second, studies of copolymers of SiCl4 and Me2SiC12; and...to structures with Si atoms bonded to four other Si atoms. Our two strategies are the copolymerization of a tetrafunctional silane, SiCl4 with a...other ratios of SiCl4 to Me2SiCl2 and (SiMe2.,r)4Si will be reported later. 18. When the polarization transfer was set up for observing only the Si(CH3
Chemically amplified i-line positive resist for next-generation flat panel display
NASA Astrophysics Data System (ADS)
Lee, Hsing-Chieh; Lu, Ying-Hao; Huang, Shin-Yih; Lan, Wei-Jen; Hanabata, Makoto
2017-03-01
Traditional diazonaphthoquinone (DNQ) positive photoresists are widely used for TFT-LCD array process. Current LTPS technology has more than 600ppi resolution is required for small or middle-sized TFT liquid crystal display panels. One of the ways to enhance resolution is to apply i-line single exposure system instead of traditional g/h/ibroadband exposure system. We have been developing i-line chemically amplified photoresist ECA 200 series for the next generation flat panel display (FPD). ECA 200 consists of three components: a phenol resin, a photo acid generator and dissolution enhancer. We applied two different types of dissolution enhancers with two different kinds of protected groups to our resist materials. As a result, we achieved higher sensitivity, higher resolution, less footing of the resist profile and reduced standing wave effect compared with traditional DNQ photoresists. In addition, we have found further property of photoresist that does not need post exposure bake (PEB) process. This resist has a great advantage at most of current panel plants without PEB process.
Process for the Production of Star Tracklng [Tracking] Reticles
NASA Technical Reports Server (NTRS)
Smith, Wade O. (Inventor); Toft, Albert R. (Inventor)
1972-01-01
A method for the production of reticles, particularly those for use in outer space, wherein the product is a quartz base coated with highly adherent layers of chromium, chromium-silver, and silver vacuum deposited through a mask, and then coated with an electrodeposit of copper from a copper sulfate solution followed by an electrodeposit of black chromium. The masks are produced by coating a beryllium-copper alloy substrate with a positive working photoresist, developing the photoresist according to a pattern to leave a positive mask, plating uncoated areas with gold, removing the photoresist, coating the substrate with a negative working photoresist, developing the negative working photoresist to expose the base metal of the pattern, and chemically etching the unplated side of the pattern to produce the mask. The mask produced is then used in the vacuum deposition of: (1) chromium metal on the surface of a quartz base to obtain a highly adherent quartz-chromium interface; (2) silver on the chromium deposit, during the final stage of chromium deposit, to produce a silver chromium alloy layer; and (3) silver onto the surface of the alloy layer. The coated quartz base is then coated by electroplating utilizing an acid copper deposit followed by a black chromium electrodeposit to produce the product of the present invention.
NASA Astrophysics Data System (ADS)
Asai, Satoru; Hanyu, Isamu; Nunokawa, Mitsuji; Abe, Masayuki
1991-03-01
We studied the thermal effects in a positive photoresist during post exposure baking (PEB). Infrared analysis and the reduced dissolution rate in the exposed resist suggest that the carboxylic acid is decreased and/or that ECA solvent evaporates. In order to simulate the effects, we assume that the concentration of the alkali-soluble material (carboxylic acid) decreases equivalently. Our model explains PEB and enables its effects to be simulated.
Defect printability for high-exposure dose advanced packaging applications
NASA Astrophysics Data System (ADS)
Mikles, Max; Flack, Warren; Nguyen, Ha-Ai; Schurz, Dan
2003-12-01
Pellicles are used in semiconductor lithography to minimize printable defects and reduce reticle cleaning frequency. However, there are a growing number of microlithography applications, such as advanced packaging and nanotechnology, where it is not clear that pellicles always offer a significant benefit. These applications have relatively large critical dimensions and require ultra thick photoresists with extremely high exposure doses. Given that the lithography is performed in Class 100 cleanroom conditions, it is possible that the risk of defects from contamination is sufficiently low that pellicles would not be required on certain process layer reticles. The elimination of the pellicle requirement would provide a cost reduction by saving the original pellicle cost and eliminating future pellicle replacement and repair costs. This study examines the imaging potential of defects with reticle patterns and processes typical for gold-bump and solder-bump advanced packaging lithography. The test reticle consists of 30 to 90 μm octagonal contact patterns representative of advanced packaging reticles. Programmed defects are added that represent the range of particle sizes (3 to 30 μm) normally protected by the pellicle and that are typical of advanced packaging lithography cleanrooms. The reticle is exposed using an Ultratech Saturn Spectrum 300e2 1X stepper on wafers coated with a variety of ultra thick (30 to 100 μm) positive and negative-acting photoresists commonly used in advanced packaging. The experimental results show that in many cases smaller particles continue to be yield issues for the feature size and density typical of advanced packaging processes. For the two negative photoresists studied it appears that a pellicle is not required for protection from defects smaller than 10 to 15 μm depending on the photoresist thickness. Thus the decision on pellicle usage for these materials would need to be made based on the device fabrication process and the cleanliness of a fabrication facility. For the two positive photoresists studied it appears that a pellicle is required to protect from defects down to 3 μm defects depending on the photoresist thickness. This suggests that a pellicle should always be used for these materials. Since a typical fabrication facility would use both positive and negative photoresists it may be advantageous to use pellicles on all reticles simply to avoid confusion. The cost savings of not using a pellicle could easily be outweighed by the yield benefits of using one.
Origin of Broad Visible Emission from Branched Polysilane and Polygermane Chains
NASA Astrophysics Data System (ADS)
Watanabe, Akira; Sato, Takaaki; Matsuda, Minoru
2001-11-01
The emission properties of branched polysilane and polygermane are studied using time-resolved emission spectroscopy. As branched polymers, the organosilicon cluster (OSI) and organogermanium cluster (OGE) are investigated, which are prepared from tetrachlorosilane and tetrachlorogermane, respectively, and have a hyperbranched structure. The broad visible emissions of OSI and OGE are explained by the energy diagram based on a configuration coordinate model, and the excited states are attributed to a localized state around the branching point. The molecular orbital (MO) calculation suggested the formation of a localized state by the distortion around the branching point in the excited state. The potential barrier for the nonradiative relaxation process was determined from the temperature dependence of the emission lifetime.
Mirror symmetry breaking of silicon polymers--from weak bosons to artificial helix.
Fujiki, Michiya
2009-01-01
From elemental particles to human beings, matter and living worlds in our universe are dissymmetric with respect to mirror symmetry. Since the early 19th century, the origin of biomolecular handedness has been puzzling scientists. Nature's elegant bottom-up preference, however, sheds light on new concepts of generating, amplifying, and switching artificial polymers, supramolecules, liquid crystals, and organic crystals that can exhibit ambidextrous circular dichroism in the UV/Visible region with efficiency in production under milder ambient conditions. In the 1920s, Kipping, who first synthesized polysilanes with phenyl groups, had much interest in the handedness of inorganic and organic substances from 1898 to 1909 in his early research life. Polysilanes--which are soluble Si-Si bonded chain-like near-UV chromophores that carry a rich variety of organic groups--may become a bridge between animate and inanimate polymer systems. The present account focuses on several mirror symmetry breaking phenomena exemplified in polysilanes carrying chiral and/or achiral side groups, which are in isotropic dilute solution, as polymer particles dispersed in solution, and in a double layer film immobilized at the solid surface, and subtle differences in the helix, by dictating ultimately ultraweak chiral forces at subatomic, atomic, and molecular levels. Copyright 2009 The Japan Chemical Journal Forum and Wiley Periodicals, Inc.
NASA Astrophysics Data System (ADS)
Yamada, Shintaro
Concern about using organic solvents in semiconductor manufacturing led us to consider a photoresist system that can be fully processed with aqueous media. A series of new polymers were designed and prepared that demonstrate fully aqueous processable positive tone imaging. Positive tone imaging requires two solubility switches, and this has been accomplished by two different methods. In both cases, a post application baking step was utilized to render the water soluble polymer insoluble in water, and photo-induced acid catalyzed reactions regenerated aqueous solubility only in the exposed areas. The first system is based on the reaction of vinyl ethers. When the film is baked after casting from water, the vinyl ethers incorporated into the photoresist react with acidic hydroxyl groups on the matrix polymer to form acetal cross-linkages. The acetal linkages of the exposed areas are hydrolyzed by photo-acids to create positive tone imaging with pure water development. Although these systems provided positive tone imaging and were successfully cast from and developed with pure water, there are some shortcomings to this design approach such as poor dry etch resistance and short shelf life. The second system was designed to address these shortcomings. Various polystyrene-based polymers bearing ammonium salts of malonic acid monoesters were prepared and studied. The ammonium salts render the styrenic polymers soluble in water. Upon baking, ammonia is volatilized, and the resulting malonic acid monoester undergoes decarboxylation that results in formation of a base insoluble polymer. Studies on the selection of acid labile ester protecting groups, kinetics of decarboxylation and imaging are presented. Lithography with 157 nm exposure is the most promising candidate for post-193 nm lithography, and this technology is expected to provide the resolution required for the next generation of microelectronic devices. Designing photoresists for 157 nm imaging is a challenge because air, water and even the simplest hydrocarbon polymers such as polyethylene absorb strongly at this wavelength. Incorporation of fluorine atoms into matrix polymers is the key to reducing their absorbance at 157 nm. Studies on the metal-catalyzed polymerization of fluorine-containing norbornene derivatives for this application are also presented.
Simple Multi-level Microchannel Fabrication by Pseudo-Grayscale Backside Diffused Light Lithography.
Lai, David; Labuz, Joseph M; Kim, Jiwon; Luker, Gary D; Shikanov, Ariella; Takayama, Shuichi
2013-11-14
Photolithography of multi-level channel features in microfluidics is laborious and/or costly. Grayscale photolithography is mostly used with positive photoresists and conventional front side exposure, but the grayscale masks needed are generally costly and positive photoresists are not commonly used in microfluidic rapid prototyping. Here we introduce a simple and inexpensive alternative that uses pseudo-grayscale (pGS) photomasks in combination with backside diffused light lithography (BDLL) and the commonly used negative photoresist, SU-8. BDLL can produce smooth multi-level channels of gradually changing heights without use of true grayscale masks because of the use of diffused light. Since the exposure is done through a glass slide, the photoresist is cross-linked from the substrate side up enabling well-defined and stable structures to be fabricated from even unspun photoresist layers. In addition to providing unique structures and capabilities, the method is compatible with the "garage microfluidics" concept of creating useful tools at low cost since pGS BDLL can be performed with the use of only hot plates and a UV transilluminator: equipment commonly found in biology labs. Expensive spin coaters or collimated UV aligners are not needed. To demonstrate the applicability of pGS BDLL, a variety of weir-type cell traps were constructed with a single UV exposure to separate cancer cells (MDA-MB-231, 10-15 μm in size) from red blood cells (RBCs, 2-8 μm in size) as well as follicle clusters (40-50 μm in size) from cancer cells (MDA-MB-231, 10-15 μm in size).
Application To Bilayer System With Water-Soluble Contrast Enhancing Material
NASA Astrophysics Data System (ADS)
Yabuta, Mitsuo; Ito, Naoki; Yamazaki, Hiroyuki; Nakayama, Toshimasa
1987-09-01
We have developed ,a water-soluble contrast enhancing material, TAD-436 ( Tokyo Ohka. Anti-Defocus Material ) which is consisted of a water-soluble diazonium salt as bleaching compounds and a water-soluble anion type polymer as binder polymers. Needless to say that water is used as solvent in TAD; therefore, it can be spincoated directly on a positive photoresist layer of a quinonediazide-novolak resin type without causing intermixing and furtheremore the bilayer can be developed without stripping TAD immediately after exposure. TAD shows a satisfactory bleaching characteristics on g-line, increases r-value of underlying photoresist and reduces the thickness loss of photoresist layer in unexposed area. Application to bilayer system with TAD will raise the resolution of underlying photoresist and when the focus depth is changed it will make the change in the resist profile small. As the result of it, the notches in the resist patterns on steps is reduced, making the difference in the linewidth between the top and the bottom of steps small.
Conversion of polymers of methyl- and vinylsilane to Si-C ceramics
NASA Technical Reports Server (NTRS)
Hurwitz, Frances I.; Kacik, Terrance A.; Bu, Xin-Ya; Masnovi, John; Heimann, Paula J.; Beyene, Kassahun
1994-01-01
Poly(methylsilane) and poly(vinylsilane) were synthesized using a titanocene catalyst, and their pyrolytic conversion to ceramics was followed using a combination of thermal analysis and infrared spectroscopy. The two polymers have distinctly different backbone structures, as determined by Si NMR; methylsilane polymerizes to a polysilane, while vinylsilane polymers have predominately polycarbosilane backbone, with some polysilane structure as well. The pyrolysis path and char yield were dependent primarily on backbone structure, with little influence of polymer molecular weight. The majority of the weight loss on conversion occurs below 650 degrees C, although bond rearrangement continues to 1400 degrees C. Poly(vinylsilane) produced a C-rich Si-C ceramic in which the carbon was dispersed on a sufficiently fine level to show resistance to oxidation on heating in air to 1400 degrees C.
Taguchi Method Applied in Optimization of Shipley SJR 5740 Positive Resist Deposition
NASA Technical Reports Server (NTRS)
Hui, A.; Blosiu, J. O.; Wiberg, D. V.
1998-01-01
Taguchi Methods of Robust Design presents a way to optimize output process performance through an organized set of experiments by using orthogonal arrays. Analysis of variance and signal-to-noise ratio is used to evaluate the contribution of each of the process controllable parameters in the realization of the process optimization. In the photoresist deposition process, there are numerous controllable parameters that can affect the surface quality and thickness of the final photoresist layer.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kapon, Omree; Muallem, Merav; Palatnik, Alex
Interference lithography has proven to be a useful technique for generating periodic sub-diffraction limited nanostructures. Interference lithography can be implemented by exposing a photoresist polymer to laser light using a two-beam arrangement or more simply a one beam configuration based on a Lloyd's Mirror Interferometer. For typical photoresist layers, an anti-reflection coating must be deposited on the substrate to prevent adverse reflections from cancelling the holographic pattern of the interfering beams. For silicon substrates, such coatings are typically multilayered and complex in composition. By thinning the photoresist layer to a thickness well below the quarter wavelength of the exposing beam,more » we demonstrate that interference gratings can be generated without an anti-reflection coating on the substrate. We used ammonium dichromate doped polyvinyl alcohol as the positive photoresist because it provides excellent pinhole free layers down to thicknesses of 40 nm, and can be cross-linked by a low-cost single mode 457 nm laser, and can be etched in water. Gratings with a period of 320 nm and depth of 4 nm were realized, as well as a variety of morphologies depending on the photoresist thickness. This simplified interference lithography technique promises to be useful for generating periodic nanostructures with high fidelity and minimal substrate treatments.« less
NASA Astrophysics Data System (ADS)
Oulachgar, El Hassane
As the semiconductors industry is moving toward nanodevices, there is growing need to develop new materials and thin films deposition processes which could enable strict control of the atomic composition and structure of thin film materials in order to achieve precise control on their electrical and optical properties. The accurate control of thin film characteristics will become increasingly important as the miniaturization of semiconductor devices continue. There is no doubt that chemical synthesis of new materials and their self assembly will play a major role in the design and fabrication of next generation semiconductor devices. The objective of this work is to investigate the chemical vapor deposition (CVD) process of thin film using a polymeric precursor as a source material. This process offers many advantages including low deposition cost, hazard free working environment, and most importantly the ability to customize the polymer source material through polymer synthesis and polymer functionalization. The combination between polymer synthesis and CVD process will enable the design of new generation of complex thin film materials with a wide range of improved chemical, mechanical, electrical and optical properties which cannot be easily achieved through conventional CVD processes based on gases and small molecule precursors. In this thesis we mainly focused on polysilanes polymers and more specifically poly(dimethylsilanes). The interest in these polymers is motivated by their distinctive electronic and photonic properties which are attributed to the delocalization of the sigma-electron along the Si-Si backbone chain. These characteristics make polysilane polymers very promising in a broad range of applications as a dielectric, a semiconductor and a conductor. The polymer-based CVD process could be eventually extended to other polymer source materials such as polygermanes, as well as and a variety of other inorganic and hybrid organic-inorganic polymers. This work has demonstrated that a polysilane polymeric source can be used to deposit a wide range of thin film materials exhibiting similar properties with conventional ceramic materials such as silicon carbide (SiC), silicon oxynitride (SiON), silicon oxycarbide (SiOC) silicon dioxide (SiO2) and silicon nitride (Si3N4). The strict control of the deposition process allows precise control of the electrical, optical and chemical properties of polymer-based thin films within a broad range. This work has also demonstrated for the first time that poly(dimethylsilmaes) polymers deposited by CVD can be used to effectively passivate both silicon and gallium arsenide MOS devices. This finding makes polymer-based thin films obtained by CVD very promising for the development of high-kappa dielectric materials for next generation high-mobility CMOS technology. Keywords. Thin films, Polymers, Vapor Phase Deposition, CVD, Nanodielectrics, Organosilanes, Polysilanes, GaAs Passivation, MOSFET, Silicon Oxynitride, Integrated Waveguide, Silicon Carbide, Compound Semiconductors.
Removal of ion-implanted photoresists on GaAs using two organic solvents in sequence
NASA Astrophysics Data System (ADS)
Oh, Eunseok; Na, Jihoon; Lee, Seunghyo; Lim, Sangwoo
2016-07-01
Organic solvents can effectively remove photoresists on III-V channels without damage or etching of the channel material during the process. In this study, a two-step sequential photoresist removal process using two different organic solvents was developed to remove implanted ArF and KrF photoresists at room temperature. The effects of organic solvents with either low molar volumes or high affinities for photoresists were evaluated to find a proper combination that can effectively remove high-dose implanted photoresists without damaging GaAs surfaces. The performance of formamide, acetonitrile, nitromethane, and monoethanolamine for the removal of ion-implanted ArF and KrF photoresists were compared using a two-step sequential photoresist removal process followed by treatment in dimethyl sulfoxide (DMSO). Among the various combinations, the acetonitrile + DMSO two-step sequence exhibited the best removal of photoresists that underwent ion implantation at doses of 5 × 1013-5 × 1015 atoms/cm2 on both flat and trench-structured GaAs surfaces. The ability of the two-step process using organic solvents to remove the photoresists can be explained by considering the affinities of solvents for a polymer and its permeability through the photoresist.
Solution-Based Fabrication of Polycrystalline Si Thin-Film Transistors from Recycled Polysilanes.
Sberna, Paolo M; Trifunovic, Miki; Ishihara, Ryoichi
2017-07-03
Currently, research has been focusing on printing and laser crystallization of cyclosilanes, bringing to life polycrystalline silicon (poly-Si) thin-film transistors (TFTs) with outstanding properties. However, the synthesis of these Si-based inks is generally complex and expensive. Here, we prove that a polysilane ink, obtained as a byproduct of silicon gases and derivatives, can be used successfully for the synthesis of poly-Si by laser annealing, at room temperature, and for n- and p-channel TFTs. The devices, fabricated according to CMOS compatible processes at 350 °C, showed field effect mobilities up to 8 and 2 cm 2 /(V s) for n- and p-type TFTs, respectively. The presented method combines a low-cost coating technique with the usage of recycled material, opening a route to a convenient and sustainable production of large-area, flexible, and even disposable/single-use electronics.
Wu, Jin; Liu, Yayuan; Guo, Yuanyuan; Feng, Shuanglong; Zou, Binghua; Mao, Hui; Yu, Cheng-han; Tian, Danbi; Huang, Wei; Huo, Fengwei
2015-05-05
By coating polydimethylsiloxane (PDMS) relief structures with a layer of opaque metal such as gold, the incident light is strictly allowed to pass through the nanoscopic apertures at the sidewalls of PDMS reliefs to expose underlying photoresist at nanoscale regions, thus producing subwavelength nanopatterns covering centimeter-scale areas. It was found that the sidewalls were a little oblique, which was the key to form the nanoscale apertures. Two-sided and one-sided subwavelength apertures can be constructed by employing vertical and oblique metal evaporation directions, respectively. Consequently, two-line and one-line subwavelength nanopatterns with programmable feature shapes, sizes, and periodicities could be produced using the obtained photomasks. The smallest aperture size and line width of 80 nm were achieved. In contrast to the generation of raised positive photoresist nanopatterns in phase shifting photolithography, the recessed positive photoresist nanopatterns produced in this study provide a convenient route to transfer the resist nanopatterns to metal nanopatterns. This nanolithography methodology possesses the distinctive advantages of simplicity, low cost, high throughput, and nanoscale feature size and shape controllability, making it a potent nanofabrication technique to enable functional nanostructures for various potential applications.
Method and apparatus for micromachining using hard X-rays
Siddons, D.P.; Johnson, E.D.; Guckel, H.; Klein, J.L.
1997-10-21
An X-ray source such as a synchrotron which provides a significant spectral content of hard X-rays is used to expose relatively thick photoresist such that the portions of the photoresist at an exit surface receive at least a threshold dose sufficient to render the photoresist susceptible to a developer, while the entrance surface of the photoresist receives an exposure which does not exceed a power limit at which destructive disruption of the photoresist would occur. The X-ray beam is spectrally shaped to substantially eliminate lower energy photons while allowing a substantial flux of higher energy photons to pass through to the photoresist target. Filters and the substrate of the X-ray mask may be used to spectrally shape the X-ray beam. Machining of photoresists such as polymethylmethacrylate to micron tolerances may be obtained to depths of several centimeters, and multiple targets may be exposed simultaneously. The photoresist target may be rotated and/or translated in the beam to form solids of rotation and other complex three-dimensional structures. 21 figs.
Method and apparatus for micromachining using hard X-rays
Siddons, David Peter; Johnson, Erik D.; Guckel, Henry; Klein, Jonathan L.
1997-10-21
An X-ray source such as a synchrotron which provides a significant spectral content of hard X-rays is used to expose relatively thick photoresist such that the portions of the photoresist at an exit surface receive at least a threshold dose sufficient to render the photoresist susceptible to a developer, while the entrance surface of the photoresist receives an exposure which does not exceed a power limit at which destructive disruption of the photoresist would occur. The X-ray beam is spectrally shaped to substantially eliminate lower energy photons while allowing a substantial flux of higher energy photons to pass through to the photoresist target. Filters and the substrate of the X-ray mask may be used to spectrally shape the X-ray beam. Machining of photoresists such as polymethylmethacrylate to micron tolerances may be obtained to depths of several centimeters, and multiple targets may be exposed simultaneously. The photoresist target may be rotated and/or translated in the beam to form solids of rotation and other complex three-dimensional structures.
Lithographic performance of recent DUV photoresists
NASA Astrophysics Data System (ADS)
Streefkerk, Bob; van Ingen Schenau, Koen; Buijk, Corine
1998-06-01
Commercially available photoresists from the major photoresist vendors are investigated using a PAS 5500/300 wafer stepper, a 31.1 mm diameter field size high throughput wafer stepper with variable NA capability up to 0.63. The critical dimension (CD) investigated is 0.25 micrometers and lower for dense and isolated lines and 0.25 micrometers for dense contact holes. The photoresist process performance is quantified by measuring exposure-defocus windows for a specific resolution using a CD SEM. Photoresists that are comparable with or better than APEX-E with RTC top coat, which is the current base line process for lines and spaces imaging performance, are Clariant AZ-DX1300 and Shin Etsu SEPR-4103PB50. Most recent photoresists have much improved delay performance when compared to APEX without top coat. Improvement, when an organic BARC is applied, depends on the actual photoresist characteristics. The optimal photoresist found for 0.25 micrometers contact holes is TOK DP015 C. This process operates at optimal conditions.
Large-scale fabrication of vertically aligned ZnO nanowire arrays
Wang, Zhong L; Das, Suman; Xu, Sheng; Yuan, Dajun; Guo, Rui; Wei, Yaguang; Wu, Wenzhuo
2013-02-05
In a method for growing a nanowire array, a photoresist layer is placed onto a nanowire growth layer configured for growing nanowires therefrom. The photoresist layer is exposed to a coherent light interference pattern that includes periodically alternately spaced dark bands and light bands along a first orientation. The photoresist layer exposed to the coherent light interference pattern along a second orientation, transverse to the first orientation. The photoresist layer developed so as to remove photoresist from areas corresponding to areas of intersection of the dark bands of the interference pattern along the first orientation and the dark bands of the interference pattern along the second orientation, thereby leaving an ordered array of holes passing through the photoresist layer. The photoresist layer and the nanowire growth layer are placed into a nanowire growth environment, thereby growing nanowires from the nanowire growth layer through the array of holes.
Glove permeation by semiconductor processing mixtures containing glycol-ether derivatives.
Zellers, E T; Ke, H Q; Smigiel, D; Sulewski, R; Patrash, S J; Han, M W; Zhang, G Z
1992-02-01
Results of permeation tests of several glove materials challenged with semiconductor processing formulations containing glycolether derivatives are described. Commercial glove samples of nitrile rubber (Edmont), natural rubber (Edmont and Baxter), butyl rubber (North), PVC Baxter), a natural rubber/neoprene/nitrile blend (Pioneer), and a natural rubber/neoprene blend (Playtex) were tested according to the ASTM F739-85 permeation test method (open-loop configuration). The liquid formulations examined included a positive photoresist thinner containing 2-ethoxyethyl acetate (2-EEA), n-butyl acetate, and xylene; a positive photoresist containing 2-EEA, n-butyl acetate, xylene, polymer resins, and photoactive compounds; a negative photoresist containing 2-methoxyethanol (2-ME), xylene, and cyclized poly(isoprene); and pure 2-methoxyethyl acetate (2-MEA), which is the solvent used in a commercial electron-beam resist. With the exception of the negative photoresist, butyl rubber provided the highest level of protection against the solvent mixtures tested, with no breakthrough observed after 4 hr of continuous exposure at 25 degrees C. Nitrile rubber provided the highest level of protection against the negative photoresist and reasonably good protection against initial exposure to the other solvent mixtures. Gloves consisting of natural rubber or natural rubber blends provided less protection against the mixtures than either nitrile or butyl rubber. For most of the glove samples, permeation of the glycol-ether derivatives contained in the mixtures was faster than that predicted from the permeation of the pure solvents. Increasing the exposure temperature from 25 to 37 degrees C did not significantly affect the performance of the butyl rubber glove. For the other gloves, however, exposures at 37 degrees C resulted in decreases in breakthrough times of 25-75% and increases in steady-state permeation rates of 80-457% relative to values obtained at 25 degrees C. Repeated exposure of nitrile rubber samples resulted in shorter breakthrough times for all mixture components. In fact, exposure for as little as one-half of the nominal breakthrough time followed by air drying overnight resulted in measurable quantities of one or more of the component solvents at the inner surface of the gloves at the beginning of the next exposure. This effect was not observed with the butyl rubber samples. With the exception of the negative photoresist, heating previously exposed nitrile rubber samples at 70 degrees C for 20 hr prior to retesting reduced or eliminated the effects of residual solvents, permitting reuse of the gloves. The use of thin PVC or natural rubber gloves adjacent to the nitrile gloves provided moderate increases in permeation resistance.(ABSTRACT TRUNCATED AT 400 WORDS)
Renschler, C.L.
1986-11-25
Photoresist techniques and compositions are provided employing curcumin as an absorptive dye for the purpose of reducing linewidth non-uniformity caused by scattered and reflective light from the substrate-resist interface. The photoresist compositions containing curcumin as the absorptive dye are used in the production of microelectronic circuitry by both single layer and multilayer photoresist techniques.
NASA Astrophysics Data System (ADS)
Kim, Myoung-Soo; Kim, Hyoung-Gi; Kim, Hyeong-Soo; Baik, Ki-Ho; Johnson, Donald W.; Cernigliaro, George J.; Minsek, David W.
1999-06-01
Thin film imaging processes such as top surface imaging (TSI) are candidates for sub-150 nm lithography using 193 nm lithography. Single component, non-chemically amplified, positive tone TSI photoresists based on phenolic polymers demonstrate good post-etch contrast, resolution, and minimal line edge roughness, in addition to being the most straightforward thin film imaging approach. In this approach, ArF laser exposure results directly in radiation- induced crosslinking of the phenolic polymer, followed by formation of a thin etch mask at the surface of the un- exposed regions by vapor-phase silylation, followed by reactive ion etching of the non-silylated regions. However, single component resists based on poly(para-hydroxystryene) (PHS), such as MicroChem's Nano MX-P7, suffer from slow photospeed as well as low silylation contrast which can cause reproducibility and line-edge-roughness problems. We report that selected aromatic substitution of the poly(para- hydroxystryene) polymer can increase the photospeed by up to a factor of four relative to un-substituted PHS. In this paper we report the synthesis and lithographic evaluations of four experimental TSI photoresists. MX-EX-1, MX-EX-2, MX- EX-3 and MX-EX-4 are non-chemically amplified resists based on aromatic substitutions of chloro- and hydroxymethyl- groups and PHS. We report optimized lithographic processing conditions, line edge roughness, silylation contrast, and compare the results to the parent PHS photoresist.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Renschler, C.L.
1988-10-17
Photoresist techniques and compositions are provided employing curcumin as an absorptive dye for the purpose of reducing linewidth non-uniformity caused by scattered and reflective light from the substrate-resist interface. The photoresist compositions containing curcumin as the absorptive dye are used in the production of microelectronic circuitry by both single layer and multilayer photoresist techniques. 2 figs.
Molecular glass positive i-line photoresist materials containing 2,1,4-DNQ and acid labile group
NASA Astrophysics Data System (ADS)
Wang, Liyuan; Yu, Jinxing; Xu, Na
2010-04-01
Recent years increasing attention has been given to molecular glass resist materials. In this paper, maleopimaric acid, cycloaddition reaction product of rosin with maleic anhydride, was reacted with hydroxylamine and then further esterified with 2-diazo-1-naphthoquinone-4-sulfonyl chloride to give N-hydroxy maleopimarimide sulfonate. The carboxylic acid group of the compound was then protected by the reaction of this compound with vinyl ethyl ether or dihydropyran. Thus obtained compounds were amorphous. When irradiated with i-line light, the 2,1,4-DNQ group undergo photolysis not only to give off nitrogen gas but also generate sulfonic acid which can result in the decomposition of the acid labile group. So, a novel chemically amplified positive i-line molecular glass photoresists can be formed by the compound and other acidolytic molecular glass compounds. The lithographic performance of the resist materials is evaluated.
NASA Astrophysics Data System (ADS)
Sutikno; Susilo; Raharja, H. D.
2018-05-01
The epoxy resin-based photoresist is fabricated by mixing of resin (polymer), sodium acetate trihydrate and ethanol in mass variation using heated magnetic stirrer at 100 rpm speed and temperature of 75 °C. Sodium acetate trihydrate and ethanol each play role as photoactive compound (PAC) and solvent, respectively. Photoresist thin films were grown through spin coating method in voltage 5 V during the 60 s and heating temperature of 150 °C for 15 min. To determine photoresist sensitivity, ultraviolet and X-ray were exposed on the photoresist surfaces. The fabricated photoresist properties are densities of 1 g·mL‑1 to 1.23 g·mL‑1, dynamic viscosities of 7 Cp to 22 Cp and kinematic viscosities of 7 Cst to 18 Cst. The absorbances of thin films are in the wavelength range of 350 nm to 1050 nm at the maximum absorbances of 0.2 to 0.5 in the wavelength g-line, h-line, and i-line. The generated maximum current achieved (1.84 × 10‑8) A. The microstructures of epoxy-based photoresist seem homogeneous. The sensitivities of UV exposures show a photochemistry reaction on photoresist occurred, however for X-ray exposure no reaction found.
Andrade, A.D.; Galbraith, L.K.
1979-10-01
The disclosure relates to a laminated negative dry-film photoresist for the production of thick, as well as thin, patterns with vertical sidewalls. Uniform depthwise exposure in a photoresist layer is effected by the use of an ultraviolet filtering top layer.
Method of fabricating a 3-dimensional tool master
Bonivert, William D.; Hachman, John T.
2002-01-01
The invention is a method for the fabrication of an imprint tool master. The process begins with a metallic substrate. A layer of photoresist is placed onto the metallic substrate and a image pattern mask is then aligned to the mask. The mask pattern has opaque portions that block exposure light and "open" or transparent portions which transmit exposure light. The photoresist layer is then exposed to light transmitted through the "open" portions of the first image pattern mask and the mask is then removed. A second layer of photoresist then can be placed onto the first photoresist layer and a second image pattern mask may be placed on the second layer of photoresist. The second layer of photoresist is exposed to light, as before, and the second mask removed. The photoresist layers are developed simultaneously to produce a multi-level master mandrel upon which a conductive film is formed. A tool master can now be formed onto the conductive film. An imprint tool is then produced from the tool master. In one embodiment, nickel is electroplated onto the tool master to produce a three-dimensional imprint tool.
Improved Photoresist Coating for Making CNT Field Emitters
NASA Technical Reports Server (NTRS)
Toda, Risaku; Manohara, Harish
2009-01-01
An improved photoresist-coating technique has been developed for use in the fabrication of carbon-nanotube- (CNT) based field emitters is described. The improved photoresist coating technique overcomes what, heretofore, has been a major difficulty in the fabrication process.
Method for applying a photoresist layer to a substrate having a preexisting topology
Morales, Alfredo M.; Gonzales, Marcela
2004-01-20
The present invention describes a method for preventing a photoresist layer from delaminating, peeling, away from the surface of a substrate that already contains an etched three dimensional structure such as a hole or a trench. The process comprises establishing a saturated vapor phase of the solvent media used to formulate the photoresist layer, above the surface of the coated substrate as the applied photoresist is heated in order to "cure" or drive off the retained solvent constituent within the layer. By controlling the rate and manner in which solvent is removed from the photoresist layer the layer is stabilized and kept from differentially shrinking and peeling away from the substrate.
Comparison of different photoresist buffer layers in SPR sensors based on D-shaped POF and gold film
NASA Astrophysics Data System (ADS)
Cennamo, Nunzio; Pesavento, Maria; De Maria, Letizia; Galatus, Ramona; Mattiello, Francesco; Zeni, Luigi
2017-04-01
A comparative analysis of two optical fiber sensing platforms is presented. The sensors are based on surface plasmon resonance (SPR) in a D-shaped plastic optical fiber (POF) with a photoresist buffer layer between the exposed POF core and the thin gold film. We show how the sensor's performances change when the photoresist layer changes. The photoresist layers proposed in this analysis are SU-8 3005 and S1813. The experimental results are congruent with the numerical studies and it is instrumental for chemical and bio-chemical applications. Usually, the photoresist layer is required in order to increase the performance of the SPR-POF sensor.
Rananavare, Shankar B; Morakinyo, Moshood K
2017-02-12
Nano-patterns fabricated with extreme ultraviolet (EUV) or electron-beam (E-beam) lithography exhibit unexpected variations in size. This variation has been attributed to statistical fluctuations in the number of photons/electrons arriving at a given nano-region arising from shot-noise (SN). The SN varies inversely to the square root of a number of photons/electrons. For a fixed dosage, the SN is larger in EUV and E-beam lithographies than for traditional (193 nm) optical lithography. Bottom-up and top-down patterning approaches are combined to minimize the effects of shot noise in nano-hole patterning. Specifically, an amino-silane surfactant self-assembles on a silicon wafer that is subsequently spin-coated with a 100 nm film of a PMMA-based E-beam photoresist. Exposure to the E-beam and the subsequent development uncover the underlying surfactant film at the bottoms of the holes. Dipping the wafer in a suspension of negatively charged, citrate-capped, 20 nm gold nanoparticles (GNP) deposits one particle per hole. The exposed positively charged surfactant film in the hole electrostatically funnels the negatively charged nanoparticle to the center of an exposed hole, which permanently fixes the positional registry. Next, by heating near the glass transition temperature of the photoresist polymer, the photoresist film reflows and engulfs the nanoparticles. This process erases the holes affected by SN but leaves the deposited GNPs locked in place by strong electrostatic binding. Treatment with oxygen plasma exposes the GNPs by etching a thin layer of the photoresist. Wet-etching the exposed GNPs with a solution of I2/KI yields uniform holes located at the center of indentations patterned by E-beam lithography. The experiments presented show that the approach reduces the variation in the size of the holes caused by SN from 35% to below 10%. The method extends the patterning limits of transistor contact holes to below 20 nm.
Using a micro-molding process to fabricate polymeric wavelength filters
NASA Astrophysics Data System (ADS)
Chuang, Wei-Ching; Lee, An-Chen; Ho, Chi-Ting
2008-08-01
A procedure for fabricating a high aspect ratio periodic structure on a UV polymer at submicron order using holographic interferometry and molding processes is described. First, holographic interferometry using a He-Cd (325 nm) laser was used to create the master of the periodic line structure on an i-line sub-micron positive photoresist film. A 20 nm nickel thin film was then sputtered on the photoresist. The final line pattern on a UV polymer was obtained from casting against the master mold. Finally, a SU8 polymer was spun on the polymer grating to form a planar waveguide or a channel waveguide. The measurement results show that the waveguide length could be reduced for the waveguide having gratings with a high aspect ratio.
Silicon Micromachined Microlens Array for THz Antennas
NASA Technical Reports Server (NTRS)
Lee, Choonsup; Chattopadhyay, Goutam; Mehdi, IImran; Gill, John J.; Jung-Kubiak, Cecile D.; Llombart, Nuria
2013-01-01
5 5 silicon microlens array was developed using a silicon micromachining technique for a silicon-based THz antenna array. The feature of the silicon micromachining technique enables one to microfabricate an unlimited number of microlens arrays at one time with good uniformity on a silicon wafer. This technique will resolve one of the key issues in building a THz camera, which is to integrate antennas in a detector array. The conventional approach of building single-pixel receivers and stacking them to form a multi-pixel receiver is not suited at THz because a single-pixel receiver already has difficulty fitting into mass, volume, and power budgets, especially in space applications. In this proposed technique, one has controllability on both diameter and curvature of a silicon microlens. First of all, the diameter of microlens depends on how thick photoresist one could coat and pattern. So far, the diameter of a 6- mm photoresist microlens with 400 m in height has been successfully microfabricated. Based on current researchers experiences, a diameter larger than 1-cm photoresist microlens array would be feasible. In order to control the curvature of the microlens, the following process variables could be used: 1. Amount of photoresist: It determines the curvature of the photoresist microlens. Since the photoresist lens is transferred onto the silicon substrate, it will directly control the curvature of the silicon microlens. 2. Etching selectivity between photoresist and silicon: The photoresist microlens is formed by thermal reflow. In order to transfer the exact photoresist curvature onto silicon, there needs to be etching selectivity of 1:1 between silicon and photoresist. However, by varying the etching selectivity, one could control the curvature of the silicon microlens. The figure shows the microfabricated silicon microlens 5 x5 array. The diameter of the microlens located in the center is about 2.5 mm. The measured 3-D profile of the microlens surface has a smooth curvature. The measured height of the silicon microlens is about 280 microns. In this case, the original height of the photoresist was 210 microns. The change was due to the etching selectivity of 1.33 between photoresist and silicon. The measured surface roughness of the silicon microlens shows the peak-to-peak surface roughness of less than 0.5 microns, which is adequate in THz frequency. For example, the surface roughness should be less than 7 microns at 600 GHz range. The SEM (scanning electron microscope) image of the microlens confirms the smooth surface. The beam pattern at 550 GHz shows good directivity.
Fabrication of submicron metallic grids with interference and phase-mask holography
DOE Office of Scientific and Technical Information (OSTI.GOV)
Park, Joong-Mok; Kim, Tae-Geun; Constant, Kristen
2011-01-25
Complex, submicron Cu metallic mesh nanostructures are made by electrochemical deposition using polymer templates made from photoresist. The polymer templates are fabricated with photoresist using two-beam interference holography and phase mask holography with three diffracted beams. Freestanding metallic mesh structures are made in two separate electrodepositions with perpendicular photoresist grating templates. Cu mesh square nanostructures having large (52.6%) open areas are also made by single electrodeposition with a photoresist template made with a phase mask. These structures have potential as electrodes in photonic devices.
NASA Astrophysics Data System (ADS)
Su, Yanfeng; Cai, Zhijian; Liu, Quan; Zou, Wenlong; Guo, Peiliang; Wu, Jianhong
2018-01-01
Multiview holographic 3D display based on the nano-grating patterned directional diffractive device can provide 3D images with high resolution and wide viewing angle, which has attracted considerable attention. However, the current directional diffractive device fabricated on the photoresist is vulnerable to damage, which will lead to the short service life of the device. In this paper, we propose a directional diffractive device on glass substrate to increase its service life. In the design process, the period and the orientation of the nano-grating at each pixel are carefully calculated accordingly by the predefined position of the viewing zone, and the groove parameters are designed by analyzing the diffraction efficiency of the nano-grating pixel on glass substrate. In the experiment, a 4-view photoresist directional diffractive device with a full coverage of pixelated nano-grating arrays is efficiently fabricated by using an ultraviolet continuously variable spatial frequency lithography system, and then the nano-grating patterns on the photoresist are transferred to the glass substrate by combining the ion beam etching and the reactive ion beam etching for controlling the groove parameters precisely. The properties of the etched glass device are measured under the illumination of a collimated laser beam with a wavelength of 532nm. The experimental results demonstrate that the light utilization efficiency is improved and optimized in comparison with the photoresist device. Furthermore, the fabricated device on glass substrate is easier to be replicated and of better durability and practicability, which shows great potential in the commercial applications of 3D display terminal.
Cardinale, Gregory F.
2000-01-01
A method for fabricating masks and reticles useful for projection lithography systems. An absorber layer is conventionally patterned using a pattern and etch process. Following the step of patterning, the entire surface of the remaining top patterning photoresist layer as well as that portion of an underlying protective photoresist layer where absorber material has been etched away is exposed to UV radiation. The UV-exposed regions of the protective photoresist layer and the top patterning photoresist layer are then removed by solution development, thereby eliminating the need for an oxygen plasma etch and strip and chances for damaging the surface of the substrate or coatings.
Photoresist substrate having robust adhesion
Dentinger, Paul M [Sunol, CA
2005-07-26
A substrate material for LIGA applications w hose general composition is Ti/Cu/Ti/SiO.sub.2. The SiO.sub.2 is preferably applied to the Ti/Cu/Ti wafer as a sputtered coating, typically about 100 nm thick. This substrate composition provides improved adhesion for epoxy-based photoresist materials, and particularly the photoresist material SU-8.
Composition and method for removing photoresist materials from electronic components
Davenhall, Leisa B [Santa Fe, NM; Rubin, James B [Los Alamos, NM; Taylor, Craig M. V. [Jemez Springs, NM
2008-06-03
Composition and method for removing photoresist materials from electronic components. The composition is a mixture of at least one dense phase fluid and at least one dense phase fluid modifier. The method includes exposing a substrate to at least one pulse of the composition in a supercritical state to remove photoresist materials from the substrate.
Composition and method for removing photoresist materials from electronic components
Davenhall, Leisa B.; Rubin, James B.; Taylor, Craig M.
2005-01-25
Composition and method for removing photoresist materials from electronic components. The composition is a mixture of at least one dense phase fluid and at least one dense phase fluid modifier. The method includes exposing a substrate to at least one pulse of the composition in a supercritical state to remove photoresist materials from the substrate.
Fabricating microfluidic valve master molds in SU-8 photoresist
NASA Astrophysics Data System (ADS)
Dy, Aaron J.; Cosmanescu, Alin; Sluka, James; Glazier, James A.; Stupack, Dwayne; Amarie, Dragos
2014-05-01
Multilayer soft lithography has become a powerful tool in analytical chemistry, biochemistry, material and life sciences, and medical research. Complex fluidic micro-circuits require reliable components that integrate easily into microchips. We introduce two novel approaches to master mold fabrication for constructing in-line micro-valves using SU-8. Our fabrication techniques enable robust and versatile integration of many lab-on-a-chip functions including filters, mixers, pumps, stream focusing and cell-culture chambers, with in-line valves. SU-8 created more robust valve master molds than the conventional positive photoresists used in multilayer soft lithography, but maintained the advantages of biocompatibility and rapid prototyping. As an example, we used valve master molds made of SU-8 to fabricate PDMS chips capable of precisely controlling beads or cells in solution.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zayarnyi, D A; Ionin, A A; Kudryashov, S I
Specific features of ablation of a thin silver film with a 1-μm-thick layer of a highly transparent photoresist and the same film without a photoresist layer under single tightly focused femtosecond laser pulses in the visible range (515 nm) are experimentally investigated. Interference effects of internal modification of the photoresist layer, its spallation ablation from the film surface and formation of through hollow submicron channels in the resist without its spallation but with ablation of the silver film lying under the resist are found and discussed. (extreme light fields and their applications)
NASA Astrophysics Data System (ADS)
Rashidian, Atabak; Klymyshyn, David M.; Tayfeh Aligodarz, Mohammadreza; Boerner, Martin; Mohr, Jürgen
2012-10-01
The goal of this paper is to investigate the electrical properties of photoresist-alumina microcomposites with different portions of ceramic content. Substrates of photoresist-alumina microcomposites are fabricated and a comprehensive analysis is performed to characterize their dielectric constant and dielectric loss tangent at microwave frequencies up to 40 GHz. To evaluate the performance of these materials for microwave applications, the properties of various lithographically fabricated antenna elements are examined and analysed based on the measured electrical properties. The experimental results show that the electrical properties of the photoresist composite are nonlinearly affected by ceramic content and also a minimum percentage of ceramic portion is required to improve the electrical properties of the photoresist composite. For instance, comparison of 0 wt% with 23 wt% SU8-alumina shows that no reduction is achieved for the dielectric loss tangent. Comparison of 38 wt% with 48 wt% SU8-alumina microcomposite shows that the dielectric loss tangent is improved from 0.03 to 0.01 and the dielectric constant is increased from 3.8 to 5.0 at 25 GHz. These improvements can result in superior performance for the photoresist-based microwave components.
Catalysts and Initiators as Instruments Controlling Structure of Polymers with Inorganic Backbone.
1991-05-02
lipophobic, electron reach/poor, mesogenic, bioactive , etc. Most modifications have been performed on polyphosphazenes (nucleophilic displacement of...chlorines) and on partially hydrogenated siloxanes (hydrosilylation). Modifications of polysilanes is also known. In this aiticle the main emphasis will...groups6 ,7 . Poly(dimethylsiloxane) is probably the only siloxane polymer which does not form a mesophase and has only one first order thermal
NASA Astrophysics Data System (ADS)
Lee, Sungkyu
2001-08-01
Quartz tuning fork blanks with improved impact-resistant characteristics for use in Qualcomm mobile station modem (MSM)-3000 central processing unit (CPU) chips for code division multiple access (CDMA), personal communication system (PCS), and global system for mobile communication (GSM) systems were designed using finite element method (FEM) analysis and suitable processing conditions were determined for the reproducible precision etching of a Z-cut quartz wafer into an array of tuning forks. Negative photoresist photolithography for the additive process was used in preference to positive photoresist photolithography for the subtractive process to etch the array of quartz tuning forks. The tuning fork pattern was transferred via a conventional photolithographical chromium/quartz glass template using a standard single-sided aligner and subsequent negative photoresist development. A tightly adhering and pinhole-free 600/2000 Å chromium/gold mask was coated over the developed photoresist pattern which was subsequently stripped in acetone. This procedure was repeated on the back surface of the wafer. With the protective metallization area of the tuning fork geometry thus formed, etching through the quartz wafer was performed at 80°C in a ± 1.5°C controlled bath containing a concentrated solution of ammonium bifluoride to remove the unwanted areas of the quartz wafer. The quality of the quartz wafer surface finish after quartz etching depended primarily on the surface finish of the quartz wafer prior to etching and the quality of quartz crystals used. Selective etching of a 100 μm quartz wafer could be achieved within 90 min at 80°C. A selective etching procedure with reproducible precision has thus been established and enables the photolithographic mass production of miniature tuning fork resonators.
NASA Astrophysics Data System (ADS)
Flores, Gary E.; Loftus, James E.
1992-06-01
The use of surfactants in today's society ranges over a wide variety of technologies, from soaps and detergents to house paints and electronic materials. In the semiconductor industry, surfactants are commonly used as coating additives in photoresists, as additives in wet chemical etchants, as additives in developer solutions, and in other areas where surface activity is desirable. In most applications, the mechanisms of surfactant chemistry are well established, yet there has been only a limited amount of published literature pertaining to characterizing the behavior of surfactants in developer systems for photoresists. This project explores the application of surfactants in an aqueous tetramethyl ammonium hydroxide (TMAH) based developer for two optical resists, one incorporating a 2,1,4- diazonaphthoquinone (DNQ) sensitizer, while the other incorporates a 2,1,5-DNQ sensitizer. In addition, each optical resist is based on different positive novolac resins with distinct structural properties. This feature aids in illustrating the improtance of matching the developer surfactant with the photoresist resin structure. Four distinct non-ionic surfactants with well published physical and chemical properties are examined. Properties of the surfactants explored include differences in structure, surfactant concentration, various degrees of hydrophilic versus lipophilic content (known as the HLB, or hydrophilic - lipophilic balance), and the differences in reported critical micelle concentration (CMC). Previous research investigated the performance characteristics of the 2,1,5-DNQ for these four surfactants. This investigation is an extension of the previous project by next considering a significantly different photoresist. A discussion of potential mechanisms of the solubilization and wetting effects is utilized to promote an understanding of surfactant effects in resist/developer systems. Also, because of the extensive characterization involved in screening surfactants, a recommended selection and screening scheme is proposed.
Photosensitive dissolution inhibitors and resists based on onium salt carboxylates
Dentinger, Paul M.; Simison, Kelby L.
2005-11-29
A photoresist composition that employs onium salt carboxylates as thermally stable dissolution inhibitors. The photoresist composition can be either an onium carboxylate salt with a phenolic photoresist, such as novolac, or an onium cation protected carboxylate-containing resin such as an acrylic/acrylic acid copolymer. The onium carboxylate can be an onium cholate, wherein the onium cholate is an iodonium cholate. Particularly preferred iodonium cholates are alkyloxyphenylphenyl iodonium cholates and most particularly preferred is octyloxyphenyphenyl iodonium cholate. The photoresist composition will not create nitrogen or other gaseous byproducts upon exposure to radiation, does not require water for photoactivation, has acceptable UV radiation transmission characteristics, and is thermally stable at temperatures required for solvent removal.
Electroplating moulds using dry film thick negative photoresist
NASA Astrophysics Data System (ADS)
Kukharenka, E.; Farooqui, M. M.; Grigore, L.; Kraft, M.; Hollinshead, N.
2003-07-01
This paper reports on progress on the feasibility of fabricating moulds for electroplating using Ordyl P-50100 (negative) acrylate polymer based dry film photoresist, commercially available from Elga Europe (http://www.elgaeurope.it). We used this photoresist as an alternative to SU8 negative epoxy based photoresist, which is very difficult to process and remove after electroplating (Lorenz et al 1998 Microelectron. Eng. 41/42 371-4, Eyre et al 1998 Proc. MEMS'98 (Heidelberg) (Piscataway, NJ: IEEE) pp 218-22). Ordyl P-50100 is easy to work with and can be easily removed after processing. A single layer of Ordyl P-50100 was deposited by lamination up to 20 µm thickness. Thicker layers (200 µm and more) can be achieved with multilayer lamination using a manual laminator. For our applications we found that Ordyl P-50100 dry film photoresist is a very good alternative to SU8 for the realization of 100 µm high moulds. The results presented will open up new possibilities for low-cost LIGA-type processes for MEMS applications.
Analytical techniques for mechanistic characterization of EUV photoresists
NASA Astrophysics Data System (ADS)
Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg
2017-03-01
Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.
Quantitative approach for optimizing e-beam condition of photoresist inspection and measurement
NASA Astrophysics Data System (ADS)
Lin, Chia-Jen; Teng, Chia-Hao; Cheng, Po-Chung; Sato, Yoshishige; Huang, Shang-Chieh; Chen, Chu-En; Maruyama, Kotaro; Yamazaki, Yuichiro
2018-03-01
Severe process margin in advanced technology node of semiconductor device is controlled by e-beam metrology system and e-beam inspection system with scanning electron microscopy (SEM) image. By using SEM, larger area image with higher image quality is required to collect massive amount of data for metrology and to detect defect in a large area for inspection. Although photoresist is the one of the critical process in semiconductor device manufacturing, observing photoresist pattern by SEM image is crucial and troublesome especially in the case of large image. The charging effect by e-beam irradiation on photoresist pattern causes deterioration of image quality, and it affect CD variation on metrology system and causes difficulties to continue defect inspection in a long time for a large area. In this study, we established a quantitative approach for optimizing e-beam condition with "Die to Database" algorithm of NGR3500 on photoresist pattern to minimize charging effect. And we enhanced the performance of measurement and inspection on photoresist pattern by using optimized e-beam condition. NGR3500 is the geometry verification system based on "Die to Database" algorithm which compares SEM image with design data [1]. By comparing SEM image and design data, key performance indicator (KPI) of SEM image such as "Sharpness", "S/N", "Gray level variation in FOV", "Image shift" can be retrieved. These KPIs were analyzed with different e-beam conditions which consist of "Landing Energy", "Probe Current", "Scanning Speed" and "Scanning Method", and the best e-beam condition could be achieved with maximum image quality, maximum scanning speed and minimum image shift. On this quantitative approach of optimizing e-beam condition, we could observe dependency of SEM condition on photoresist charging. By using optimized e-beam condition, measurement could be continued on photoresist pattern over 24 hours stably. KPIs of SEM image proved image quality during measurement and inspection was stabled enough.
Preparation of Sic/AIN Solid Solutions Using Organometallic Precursors
1989-02-15
pyrolysis of organoaluminum and organosilicon compounds was investigated as a potential source of SiC /AUI solid solutions. Using two different co... pyrolysis methods, homogeneous mixtures of organoaluminum amides and both a vinylic polysilane and a poly- carbosilane were convertec to a preceramic ...solid that transformed to crystalline SiC /AiN solid solutions at C. Moreover, the liquid, polymeric , form of these precursor mixtures provides a
Lambie, Bradley A.; Orwar, Owe; Weber, Stephen G.
2008-01-01
A new and simple method permits control of the electrochemically active area of a carbon fiber microelectrode. An electrophoretic photoresist insulates the 10 μm diameter carbon fiber microelectrodes. Photolysis of the photoresist followed by immersion of the exposed area into a developing solution reveals electroactive carbon fiber surface. The electroactive surface area exposed can be controlled with a good degree of reproducibility. PMID:16841943
Photoresist thin-film effects on alignment process capability
NASA Astrophysics Data System (ADS)
Flores, Gary E.; Flack, Warren W.
1993-08-01
Two photoresists were selected for alignment characterization based on their dissimilar coating properties and observed differences on alignment capability. The materials are Dynachem OFPR-800 and Shipley System 8. Both photoresists were examined on two challenging alignment levels in a submicron CMOS process, a nitride level and a planarized second level metal. An Ultratech Stepper model 1500 which features a darkfield alignment system with a broadband green light for alignment signal detection was used for this project. Initially, statistically designed linear screening experiments were performed to examine six process factors for each photoresist: viscosity, spin acceleration, spin speed, spin time, softbake time, and softbake temperature. Using the results derived from the screening experiments, a more thorough examination of the statistically significant process factors was performed. A full quadratic experimental design was conducted to examine viscosity, spin speed, and spin time coating properties on alignment. This included a characterization of both intra and inter wafer alignment control and alignment process capability. Insight to the different alignment behavior is analyzed in terms of photoresist material properties and the physical nature of the alignment detection system.
Lai, Jian-Lun; Liao, Chien-Jen; Su, Guo-Dung John
2012-11-27
There are two critical parameters for microbolometers: the temperature coefficient of resistance (TCR) of the sensing material, and the thermal conductance of the insulation structure. Cytochrome c protein, having a high TCR, is a good candidate for infrared detection. We can use SU-8 photoresist for the thermal insulation structure, given its low thermal conductance. In this study, we designed a platform structure based on a SU-8 photoresist. We fabricated an infrared sensing pixel and recorded a high TCR for this new structure. The SU-8 photoresist insulation structure was fabricated using the exposure dose method. We experimentally demonstrated high values of TCR from 22%/K to 25.7%/K, and the measured noise was 1.2 × 10(-8) V2/Hz at 60 Hz. When the bias current was 2 μA, the calculated voltage responsivity was 1.16 × 10(5) V/W. This study presents a new kind of microbolometer based on cytochrome c protein on top of an SU-8 photoresist platform that does not require expensive vacuum deposition equipment.
Using an SU-8 Photoresist Structure and Cytochrome C Thin Film Sensing Material for a Microbolometer
Lai, Jian-Lun; Liao, Chien-Jen; Su, Guo-Dung John
2012-01-01
There are two critical parameters for microbolometers: the temperature coefficient of resistance (TCR) of the sensing material, and the thermal conductance of the insulation structure. Cytochrome c protein, having a high TCR, is a good candidate for infrared detection. We can use SU-8 photoresist for the thermal insulation structure, given its low thermal conductance. In this study, we designed a platform structure based on a SU-8 photoresist. We fabricated an infrared sensing pixel and recorded a high TCR for this new structure. The SU-8 photoresist insulation structure was fabricated using the exposure dose method. We experimentally demonstrated high values of TCR from 22%/K to 25.7%/K, and the measured noise was 1.2 × 10−8 V2/Hz at 60 Hz. When the bias current was 2 μA, the calculated voltage responsivity was 1.16 × 105 V/W. This study presents a new kind of microbolometer based on cytochrome c protein on top of an SU-8 photoresist platform that does not require expensive vacuum deposition equipment. PMID:23443384
Photoresist removal using gaseous sulfur trioxide cleaning technology
NASA Astrophysics Data System (ADS)
Del Puppo, Helene; Bocian, Paul B.; Waleh, Ahmad
1999-06-01
A novel cleaning method for removing photoresists and organic polymers from semiconductor wafers is described. This non-plasma method uses anhydrous sulfur trioxide gas in a two-step process, during which, the substrate is first exposed to SO3 vapor at relatively low temperatures and then is rinsed with de-ionized water. The process is radically different from conventional plasma-ashing methods in that the photoresist is not etched or removed during the exposure to SO3. Rather, the removal of the modified photoresist takes place during the subsequent DI-water rinse step. The SO3 process completely removes photoresist and polymer residues in many post-etch applications. Additional advantages of the process are absence of halogen gases and elimination of the need for other solvents and wet chemicals. The process also enjoys a very low cost of ownership and has minimal environmental impact. The SEM and SIMS surface analysis results are presented to show the effectiveness of gaseous SO3 process after polysilicon, metal an oxide etch applications. The effects of both chlorine- and fluorine-based plasma chemistries on resist removal are described.
Method of fabricating a high aspect ratio microstructure
Warren, John B.
2003-05-06
The present invention is for a method of fabricating a high aspect ratio, freestanding microstructure. The fabrication method modifies the exposure process for SU-8, an negative-acting, ultraviolet-sensitive photoresist used for microfabrication whereby a UV-absorbent glass substrate, chosen for complete absorption of UV radiation at 380 nanometers or less, is coated with a negative photoresist, exposed and developed according to standard practice. This UV absorbent glass enables the fabrication of cylindrical cavities in a negative photoresist microstructures that have aspect ratios of 8:1.
1989-03-01
relatively small contractural effort is to provide technical assistance to Dr. Frank Patten (DARPA) in evaluating data on materials, especially... Musikant , S. (ed.), Advances in Materials for Active Optics, Proceedings of SPIE, Volume 567, SPIE:Washington, 1985. [22] Lewis, Aaron, Del Priore...polysilane," J. Appl. Phys. 60 (1986) 3040-3044. [146] Hache, F., Ricard, D., Flytzanis, C., "Optical nonlinearities of small metal particles: surface
Chemical Reactions and Properties of Organosilicon Compounds Related to New Materials.
1985-10-31
out. The compound behaves like an olefin in some reactions, for in- stance addition of hydrogen halides or chlorine: C1 2 HCI Mes 2 SiCl-SiClMes2...polymers have been synthesized which contain some silicon atoms bonded to hydrogen . These become crosslinked when mixed with substances containing several...and highly efficient catalysts, very small amounts are required in this process. Moreover, photocatalysis using polysilanes produces polymers with
Etching method for photoresists or polymers
NASA Technical Reports Server (NTRS)
Lerner, Narcinda R. (Inventor); Wydeven, Theodore J., Jr. (Inventor)
1991-01-01
A method for etching or removing polymers, photoresists, and organic contaminants from a substrate is disclosed. The method includes creating a more reactive gas species by producing a plasma discharge in a reactive gas such as oxygen and contacting the resulting gas species with a sacrificial solid organic material such as polyethylene or polyvinyl fluoride, reproducing a highly reactive gas species, which in turn etches the starting polymer, organic contaminant, or photoresist. The sample to be etched is located away from the plasma glow discharge region so as to avoid damaging the substrate by exposure to high energy particles and electric fields encountered in that region. Greatly increased etching rates are obtained. This method is highly effective for etching polymers such as polyimides and photoresists that are otherwise difficult or slow to etch downstream from an electric discharge in a reactive gas.
Multi-Functional, Micro Electromechanical Silicon Carbide Accelerometer
NASA Technical Reports Server (NTRS)
Okojie, Robert S. (Inventor)
2004-01-01
A method of bulk manufacturing SiC sensors is disclosed and claimed. Materials other than SiC may be used as the substrate material. Sensors requiring that the SiC substrate be pierced are also disclosed and claimed. A process flow reversal is employed whereby the metallization is applied first before the recesses are etched into or through the wafer. Aluminum is deposited on the entire planar surface of the metallization. Photoresist is spun onto the substantially planar surface of the Aluminum which is subsequently masked (and developed and removed). Unwanted Aluminum is etched with aqueous TMAH and subsequently the metallization is dry etched. Photoresist is spun onto the still substantially planar surface of Aluminum and oxide and then masked (and developed and removed) leaving the unimidized photoresist behind. Next, ITO is applied over the still substantially planar surface of Aluminum, oxide and unimidized photoresist. Unimidized and exposed photoresist and ITO directly above it are removed with Acetone. Next, deep reactive ion etching attacks exposed oxide not protected by ITO. Finally, hot phosphoric acid removes the Al and ITO enabling wires to connect with the metallization. The back side of the SiS wafer may be also etched.
Multi-functional micro electromechanical devices and method of bulk manufacturing same
NASA Technical Reports Server (NTRS)
Okojie, Robert S. (Inventor)
2004-01-01
A method of bulk manufacturing SiC sensors is disclosed and claimed. Materials other than SiC may be used as the substrate material. Sensors requiring that the SiC substrate be pierced are also disclosed and claimed. A process flow reversal is employed whereby the metallization is applied first before the recesses are etched into or through the wafer. Aluminum is deposited on the entire planar surface of the metallization. Photoresist is spun onto the substantially planar surface of the Aluminum which is subsequently masked (and developed and removed). Unwanted Aluminum is etched with aqueous TMAH and subsequently the metallization is dry etched. Photoresist is spun onto the still substantially planar surface of Aluminum and oxide and then masked (and developed and removed) leaving the unimidized photoresist behind. Next, ITO is applied over the still substantially planar surface of Aluminum, oxide and unimidized photoresist. Unimidized and exposed photoresist and ITO directly above it are removed with Acetone. Next, deep reactive ion etching attacks exposed oxide not protected by ITO. Finally, hot phosphoric acid removes the Al and ITO enabling wires to connect with the metallization. The back side of the SiC wafer may be also be etched.
1993-03-30
Massachusetts Institute of Technology, Cambridge, MA 02139I ABSTRACT polysilanes." Pyrolysis of these polymers usually The decomposition of polymeric SiC ...of soluble polymeric solids. Pyrolysis of these polymers in argon yielded The precursors were prepared by adding a TiC/A120 3 composite at 12501C...formation of soluble polymeric solids. Pyrolysis described an approach for synthesizing AI2O/ SiC of these polymers in argon yielded TiC/AI203
Method for the preparation of novel polyacetylene-type polymers
Zeigler, John M.
1989-01-01
Polymerization of acetylenic monomers is achieved by using a catalyst which is the reaction product of a tungsten compound and a reducing agent effective to reduce W(VI) to W(III) and/or IV), e.g., WCl.sub.6.(organo-Li, organo-Mg or polysilane). The resultant silylated polymers are of heretofore unachievable high molecular weight and can be used as precursors to a wide variety of new acetylenic polymers by application of substitution reactions.
Investigation of photolithography process on SPOs for the Athena mission
NASA Astrophysics Data System (ADS)
Massahi, S.; Girou, D. A.; Ferreira, D. D. M.; Christensen, F. E.; Jakobsen, A. C.; Shortt, B.; Collon, M.; Landgraf, B.
2015-09-01
As part of the ongoing effort to optimize the throughput of the Athena optics we have produced mirrors with a state-of-the-art cleaning process. We report on the studies related to the importance of the photolithographic process. Pre-coating characterization of the mirrors has shown and still shows photoresist remnants on the SiO2- rib bonding zones, which influences the quality of the metallic coating and ultimately the mirror performance. The size of the photoresist remnants is on the order of 10 nm which is about half the thickness of final metallic coating. An improved photoresist process has been developed including cleaning with O2 plasma in order to remove the remaining photoresist remnants prior to coating. Surface roughness results indicate that the SiO2-rib bonding zones are as clean as before the photolithography process is performed.
Microoptical System And Fabrication Method Therefor
Sweatt, William C.; Christenson, Todd R.
2005-03-15
Microoptical systems with clear aperture of about one millimeter or less are fabricated from a layer of photoresist using a lithographic process to define the optical elements. A deep X-ray source is typically used to expose the photoresist. Exposure and development of the photoresist layer can produce planar, cylindrical, and radially symmetric micro-scale optical elements, comprising lenses, mirrors, apertures, diffractive elements, and prisms, monolithically formed on a common substrate with the mutual optical alignment required to provide the desired system functionality. Optical alignment can be controlled to better than one micron accuracy. Appropriate combinations of structure and materials enable optical designs that include corrections for chromatic and other optical aberrations. The developed photoresist can be used as the basis for a molding operation to produce microoptical systems made of a range of optical materials. Finally, very complex microoptical systems can be made with as few as three lithographic exposures.
Microoptical system and fabrication method therefor
Sweatt, William C.; Christenson, Todd R.
2003-07-08
Microoptical systems with clear aperture of about one millimeter or less are fabricated from a layer of photoresist using a lithographic process to define the optical elements. A deep X-ray source is typically used to expose the photoresist. Exposure and development of the photoresist layer can produce planar, cylindrical, and radially symmetric micro-scale optical elements, comprising lenses, mirrors, apertures, diffractive elements, and prisms, monolithically formed on a common substrate with the mutual optical alignment required to provide the desired system functionality. Optical alignment can be controlled to better than one micron accuracy. Appropriate combinations of structure and materials enable optical designs that include corrections for chromatic and other optical aberrations. The developed photoresist can be used as the basis for a molding operation to produce microoptical systems made of a range of optical materials. Finally, very complex microoptical systems can be made with as few as three lithographic exposures.
Nanoparticle photoresist studies for EUV lithography
NASA Astrophysics Data System (ADS)
Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.
2017-03-01
EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.
NASA Astrophysics Data System (ADS)
Wang, He; Li, Chun-Hong; Pan, Feng; Wang, Hai-Bo; Yan, Dong-Hang
2009-11-01
A novel bilayer photoresist insulator is applied in flexible vanadyl-phthalocyanine (VOPc) organic thin-film transistors (OTFTs). The micron-size patterns of this photoresisit insulator can be directly defined only by photolithography without the etching process. Furthermore, these OTFTs exhibit high field-effect mobility (about 0.8 cm2/Vs) and current on/off ratio (about 106). In particular, they show rather low hysteresis (< 1 V). The results demonstrate that this bilayer photoresist insulator can be applied in large-area electronics and in the facilitation of patterning insulators.
Investigation of UFO defect on DUV CAR and BARC process
NASA Astrophysics Data System (ADS)
Yet, Siew Ing; Ko, Bong Sang; Lee, Soo Man; May, Mike
2004-05-01
Photo process defect reduction is one of the most important factors to improve the process stability and yield in sub-0.18um DUV process. In this paper, a new approach to minimize the Deep-UV (DUV) Chemically Amplified Resist (CAR) and Bottom Anti-Reflective Coating (BARC) induced defect known as UFO (UnidentiFied Object) defect will be introduced. These defects have mild surface topography difference on BARC; it only exists on the wide exposed area where there is no photoresist pattern. In this test, Nikon KrF Stepper & Scanner and TEL Clean track were used. Investigation was carried out on the defect formulation on both Acetal and ESCAP type of photoresist while elemental analysis was done by Atomic Force Microscope (AFM) & Auger Electron Spectroscopy (AES). Result indicated that both BARC and photoresist induce this UFO defect; total defect quantity is related with Post Exposure Bake (PEB) condition. Based on the elemental analysis and process-split test, we can conclude that this defect is caused by lack of acid amount and low diffusivity which is related to PAG (Photo Acid Generator) and TAG (Thermal Acid Generator) in KrF photoresist and BARC material. By optimizing photoresist bake condition, this UFO defect as well as other related defect such as Satellite defect could be eliminated.
NASA Astrophysics Data System (ADS)
Amblard, Gilles; Purdy, Sara; Cooper, Ryan; Hockaday, Marjory
2016-03-01
The overall quality and processing capability of lithographic materials are critical for ensuring high device yield and performance at sub-20nm technology nodes in a high volume manufacturing environment. Insufficient process margin and high line width roughness (LWR) cause poor manufacturing control, while high defectivity causes product failures. In this paper, we focus on the most critical layer of a sub-20nm technology node LSI device, and present an improved method for characterizing both lithographic and post-patterning defectivity performance of state-of-the-art immersion photoresists. Multiple formulations from different suppliers were used and compared. Photoresists were tested under various process conditions, and multiple lithographic metrics were investigated (depth of focus, exposure dose latitude, line width roughness, etc.). Results were analyzed and combined using an innovative approach based on advanced software, providing clearer results than previously available. This increased detail enables more accurate performance comparisons among the different photoresists. Post-patterning defectivity was also quantified, with defects reviewed and classified using state-of-the-art inspection tools. Correlations were established between the lithographic and post-patterning defectivity performances for each material, and overall ranking was established among the photoresists, enabling the selection of the best performer for implementation in a high volume manufacturing environment.
Zeigler, John M.
1989-01-01
Polymerization of acetylenic monomers is achieved by using a catalyst which is the reaction product of a tungsten compound and a reducing agent effective to reduce W(VI) to W(III and/or IV), e.g., WCl.sub.6.(organo-Li, organo-Mg or polysilane). The resultant silylated polymers are of heretofore unachievable high molecular weight and can be used as precursors to a wide variety of new acetylenic polymers by application of substitution reactions.
Method for the preparation of novel polyacetylene-type polymers
Zeigler, J.M.
1987-11-09
Polymerization of acetylenic monomers is achieved by using a catalyst which is the reaction product of a tungsten compound and a reducing agent effective to reduce W(VI) to W(III and/or IV), e.g., WCl/sub 6//center dot/(organo-Li, organo-Mg or polysilane). The resultant silylated polymers are of heretofore unachievable high molecular weight and can be used as precursors to a wide variety of new acetylenic polymers by application of substitution reactions. 1 tab.
Trapping of vesicles on patterned surfaces by physisorption for potential biosensing applications.
Bera, L K; Ong, Kian Soo; Wong, Zheng Zheng; Fu, Zhikang; Nallani, Madhavan; Shea, Sean O'
2012-01-01
The pre-defined selective positioning of a controlled number of vesicles on a rigid substrate is crucial in many potential applications such as diagnostics, biosensors, lab-on-a chip, microanalyses and reaction chambers. In this paper, the vesicles made up of block copolymer using Poly [-(2-methyloxazoline) -poly- (dimethylsiloxane)-poly- (2-methyloxazoline)] (ABA) with dimensions of 100-200 nm are trapped by physisorption on hydrophilic surfaces. We discuss the protocols established for vesicle trapping. The optimum conditions obtained for physisorption is 15 minutes incubation followed by one cycle of DI water rinse. Trapping of 1-10 vesicles in lobe shape micro-wells fabricated by photo lithography using photoresist on UltraStick(™) slides was demonstrated. To overcome the issue of amalgamation of emitted light from optically sensitive photoresist and fluorescently tagged vesicles, an alternative approach of Si/SiO(2) microwell array coupled with APTES (3-AminoPropylTriEthoxySilane) treated bottom surfaces was developed.
Coppa, N.V.
1993-08-24
A method is described of producing superconducting microcircuits comprising the steps of: depositing a thin film of Ba[sub 2]Cu[sub 3]O[sub 5+x](O < x < 1) onto a substrate; depositing a thin film of a dopant onto said thin film of Ba[sub 2]Cu[sub 3]O[sub 5+x]; depositing a photoresist onto said thin film of a dopant; shining light through a mask containing a pattern for a desired circuit configuration and onto said photoresist; developing said photoresist to remove portions of said photoresist shined by the light and to selectively expose said dopant film; etching said selectively exposed dopant film from said thin film of Ba[sub 2]Cu[sub 3]O[sub 5+x] to form a pattern of dopant; and heating said substrate at a temperature and for a period of time sufficient to diffuse and react said pattern of dopant with said thin film of Ba[sub 2]Cu[sub 3]O[sub 5+x].
Double-deprotected chemically amplified photoresists (DD-CAMP): higher-order lithography
NASA Astrophysics Data System (ADS)
Earley, William; Soucie, Deanna; Hosoi, Kenji; Takahashi, Arata; Aoki, Takashi; Cardineau, Brian; Miyauchi, Koichi; Chun, Jay; O'Sullivan, Michael; Brainard, Robert
2017-03-01
The synthesis and lithographic evaluation of 193-nm and EUV photoresists that utilize a higher-order reaction mechanism of deprotection is presented. Unique polymers utilize novel blocking groups that require two acid-catalyzed steps to be removed. When these steps occur with comparable reaction rates, the overall reaction can be higher order (<= 1.85). The LWR of these resists is plotted against PEB time for a variety of compounds to acquire insight into the effectiveness of the proposed higher-order mechanisms. Evidence acquired during testing of these novel photoresist materials supports the conclusion that higher-order reaction kinetics leads to improved LWR vs. control resists.
High-Si content BARC for dual-BARC systems such as trilayer patterning
NASA Astrophysics Data System (ADS)
Kennedy, Joseph; Xie, Song-Yuan; Wu, Ze-Yu; Katsanes, Ron; Flanigan, Kyle; Lee, Kevin; Slezak, Mark; Liu, Zhi; Lin, Shang-Ho
2009-03-01
This work discusses the requirements and performance of Honeywell's middle layer material, UVAS, for tri-layer patterning. UVAS is a high Si content polymer synthesized directly from Si containing starting monomer components. The monomers are selected to produce a film that meets the requirements as a middle layer for tri-layer patterning (TLP) and gives us a level of flexibility to adjust the properties of the film to meet the customer's specific photoresist and patterning requirements. Results of simulations of the substrate reflectance versus numerical aperture, UVAS thickness, and under layer film are presented. ArF photoresist line profiles and process latitude versus UVAS bake at temperatures as low as 150ºC are presented and discussed. Immersion lithographic patterning of ArF photoresist line space and contact hole features will be presented. A sequence of SEM images detailing the plasma etch transfer of line space photoresist features through the middle and under layer films comprising the TLP film stack will be presented. Excellent etch selectivity between the UVAS and the organic under layer film exists as no edge erosion or faceting is observed as a result of the etch process. A detailed study of the impact of a PGMEA solvent photoresist rework process on the lithographic process window of a TLP film stack was performed with the results indicating that no degradation to the UVAS film occurs.
Faster sensitivity and non-antimonite permanent photoresist for MEMS
NASA Astrophysics Data System (ADS)
Misumi, Koichi; Saito, Koji; Yamanouchi, Atsushi; Senzaki, Takahiro; Okui, Toshiki; Honma, Hideo
2006-03-01
Micro Electro Mechanical Systems (MEMS) is a three-dimensional micro-fabrication technology based on photolithography. The fields of application are extensive and wide-ranging. Among the applications, those that have already acquired a large market include acceleration sensors for airbags of automobiles, pressure sensors for engine control, inkjet printer heads and thin film magnetic heads. The market is expected to further expand in the optic and biology-related fields in the future. In the MEMS field, the packaging accounts for the cost, and it is difficult to standardize due to the low production volume of highly specific technology application. A typical application in the MEMS process would be to conduct plating and etching (Deep RIE) through an intermediate layer of photoresist patterns, but there are cases where the photoresist itself is left therein as a permanent film. A photoresist composed of epoxy resin as the main component can form the permanent film through a catalyst of the optical cationic polymerizating initiator. In general, the optical cationic polymerizating initiator is of onium salt with antimonite as the anion group due to the nature of the hardening rate or the exposure energy. This paper presents the development status of a high sensitivity permanent photoresist made of epoxy resin as the main component with non-antimonite optical cationic polymerizating initiator with concerns to the impact to the environment and material for packaging.
Park, Jaewon; Kim, Hyun Soo; Han, Arum
2009-01-01
A poly(dimethylsiloxane) (PDMS) patterning method based on a photoresist lift-off technique to make an electrical insulation layer with selective openings is presented. The method enables creating PDMS patterns with small features and various thicknesses without any limitation in the designs and without the need for complicated processes or expensive equipments. Patterned PDMS layers were created by spin-coating liquid phase PDMS on top of a substrate having sacrificial photoresist patterns, followed by a photoresist lift-off process. The thickness of the patterned PDMS layers could be accurately controlled (6.5–24 µm) by adjusting processing parameters such as PDMS spin-coating speeds, PDMS dilution ratios, and sacrificial photoresist thicknesses. PDMS features as small as 15 µm were successfully patterned and the effects of each processing parameter on the final patterns were investigated. Electrical resistance tests between adjacent electrodes with and without the insulation layer showed that the patterned PDMS layer functions properly as an electrical insulation layer. Biocompatibility of the patterned PDMS layer was confirmed by culturing primary neuron cells on top of the layer for up to two weeks. An extensive neuronal network was successfully formed, showing that this PDMS patterning method can be applied to various biosensing microdevices. The utility of this fabrication method was further demonstrated by successfully creating a patterned electrical insulation layer on flexible substrates containing multi-electrode arrays. PMID:19946385
Choi, Woong-Kirl; Kim, Seong-Hyun; Choi, Seung-Geon; Lee, Eun-Sang
2018-01-01
Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs) contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks. PMID:29351235
Processing of Superconductor-Normal-Superconductor Josephson Edge Junctions
NASA Technical Reports Server (NTRS)
Kleinsasser, A. W.; Barner, J. B.
1997-01-01
The electrical behavior of epitaxial superconductor-normal-superconductor (SNS) Josephson edge junctions is strongly affected by processing conditions. Ex-situ processes, utilizing photoresist and polyimide/photoresist mask layers, are employed for ion milling edges for junctions with Yttrium-Barium-Copper-Oxide (YBCO) electrodes and primarily Co-doped YBCO interlayers.
NASA Astrophysics Data System (ADS)
D'Urzo, Lucia; Bayana, Hareen; Vandereyken, Jelle; Foubert, Philippe; Wu, Aiwen; Jaber, Jad; Hamzik, James
2017-03-01
Specific "killer-defects", such as micro-line-bridges are one of the key challenges in photolithography's advanced applications, such as multi-pattern. These defects generate from several sources and are very difficult to eliminate. Pointof-use filtration (POU) plays a crucial role on the mitigation, or elimination, of such defects. Previous studies have demonstrated how the contribution of POU filtration could not be studied independently from photoresists design and track hardware settings. Specifically, we investigated how an effective combination of optimized photoresist, filtration rate, filtration pressure, membrane and device cleaning, and single and multilayer filter membranes at optimized pore size could modulate the occurrence of such defects [1, 2, 3 and 4]. However, the ultimate desired behavior for POU filtration is the selective retention of defect precursor molecules contained in commercially available photoresist. This optimal behavior can be achieved via customized membrane functionalization. Membrane functionalization provides additional non-sieving interactions which combined with efficient size exclusion can selectively capture certain defect precursors. The goal of this study is to provide a comprehensive assessment of membrane functionalization applied on an asymmetric ultra-high molecular weight polyethylene (UPE) membrane at different pore size. Defectivity transferred in a 45 nm line 55 nm space (45L/55S) pattern, created through 193 nm immersion (193i) lithography with a positive tone chemically amplified resist (PT-CAR), has been evaluated on organic under-layer coated wafers. Lithography performance, such as critical dimensions (CD), line width roughness (LWR) and focus energy matrix (FEM) is also assessed.
Halpern, Aaron R; Corn, Robert M
2013-02-26
A novel low-cost nanoring array fabrication method that combines the process of lithographically patterned nanoscale electrodeposition (LPNE) with colloidal lithography is described. Nanoring array fabrication was accomplished in three steps: (i) a thin (70 nm) sacrificial nickel or silver film was first vapor-deposited onto a plasma-etched packed colloidal monolayer; (ii) the polymer colloids were removed from the surface, a thin film of positive photoresist was applied, and a backside exposure of the photoresist was used to create a nanohole electrode array; (iii) this array of nanoscale cylindrical electrodes was then used for the electrodeposition of gold, silver, or nickel nanorings. Removal of the photoresist and sacrificial metal film yielded a nanoring array in which all of the nanoring dimensions were set independently: the inter-ring spacing was fixed by the colloidal radius, the radius of the nanorings was controlled by the plasma etching process, and the width of the nanorings was controlled by the electrodeposition process. A combination of scanning electron microscopy (SEM) measurements and Fourier transform near-infrared (FT-NIR) absorption spectroscopy were used to characterize the nanoring arrays. Nanoring arrays with radii from 200 to 400 nm exhibited a single strong NIR plasmonic resonance with an absorption maximum wavelength that varied linearly from 1.25 to 3.33 μm as predicted by a simple standing wave model linear antenna theory. This simple yet versatile nanoring array fabrication method was also used to electrodeposit concentric double gold nanoring arrays that exhibited multiple NIR plasmonic resonances.
Farino, Anthony J.
2004-01-27
A method for reconditioning the surface of a semiconductor substrate to remove an unwanted (i.e. defective) layer of photoresist is disclosed. The method adapts a conventional automated spinner which is used to rotate the substrate at high speed while a stream of a first solvent (e.g. acetone) is used to dissolve the photoresist. A stream of a second solvent (e.g. methanol) is then used to clean the substrate at a lower speed, with the substrate being allowed to dry with continued rotation. The method of the present invention can be used within a photolithography track so that the substrates need never leave the track for reconditioning.
Lithographically defined microporous carbon-composite structures
Burckel, David Bruce; Washburn, Cody M.; Lambert, Timothy N.; Finnegan, Patrick Sean; Wheeler, David R.
2016-12-06
A microporous carbon scaffold is produced by lithographically patterning a carbon-containing photoresist, followed by pyrolysis of the developed resist structure. Prior to exposure, the photoresist is loaded with a nanoparticulate material. After pyrolysis, the nanonparticulate material is dispersed in, and intimately mixed with, the carbonaceous material of the scaffold, thereby yielding a carbon composite structure.
NASA Astrophysics Data System (ADS)
Mao, Ming; Lazzarino, Frederic; De Schepper, Peter; De Simone, Danilo; Piumi, Daniele; Luong, Vinh; Yamashita, Fumiko; Kocsis, Michael; Kumar, Kaushik
2017-03-01
Inpria metal-oxide photoresist (PR) serves as a thin spin-on patternable hard mask for EUV lithography. Compared to traditional organic photoresists, the ultrathin metal-oxide photoresist ( 12nm after development) effectively mitigates pattern collapse. Because of the high etch resistance of the metal-oxide resist, this may open up significant scope for more aggressive etches, new chemistries, and novel integration schemes. We have previously shown that metal-oxide PR can be successfully used to pattern the block layer for the imec 7-nm technology node[1] and advantageously replace a multiple patterning approach, which significantly reduces the process complexity and effectively decreases the cost. We also demonstrated the formation of 16nm half pitch 1:1 line/space with EUV single print[2], which corresponds to a metal 2 layer for the imec 7-nm technology node. In this paper, we investigate the feasibility of using Inpria's metal-oxide PR for 16nm line/space patterning. In meanwhile, we also explore the different etch process for LWR smoothing, resist trimming and resist stripping.
Zeigler, J.M.
1985-07-30
Polymerization of acetylenic monomers is achieved by using a catalyst which is the reaction product of a tungsten compound and a reducing agent effective to reduce W(VI) to W(III and/or IV), e.g., WCl/sub 6/ x (organo-Li, organo-Mg or polysilanes). The resultant silylated polymers are of heretofore unachievable, high molecular weight and can be used as precursors to a wide variety of new acetylenic polymers by application of substitution reactions. They can be used as electrodes in batteries.
Evaluation of stabilization techniques for ion implant processing
NASA Astrophysics Data System (ADS)
Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.
1999-06-01
With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across several combinations of current and energy.
Curcumin as a positive resist dye optimized for g- and h-line exposure
DOE Office of Scientific and Technical Information (OSTI.GOV)
Renschler, C.L.; Stiefeld, R.E.; Rodriguez, J.L.
1987-06-01
It has now been firmly established, both theoretically and experimentally, that the addition of a non-bleachable absorber (or dye) to photoresist can reduce notching and other linewidth control variations associated with the patterning of reflective surfaces. Such variations are due to two separate, but closely related, effects. The first of these effects is reflection of light off scattering centers on the substrate at angles other than surface-normal.
Print-to-pattern dry film photoresist lithography
NASA Astrophysics Data System (ADS)
Garland, Shaun P.; Murphy, Terrence M., Jr.; Pan, Tingrui
2014-05-01
Here we present facile microfabrication processes, referred to as print-to-pattern dry film photoresist (DFP) lithography, that utilize the combined advantages of wax printing and DFP to produce micropatterned substrates with high resolution over a large surface area in a non-cleanroom setting. The print-to-pattern methods can be performed in an out-of-cleanroom environment making microfabrication much more accessible to minimally equipped laboratories. Two different approaches employing either wax photomasks or wax etchmasks from a solid ink desktop printer have been demonstrated that allow the DFP to be processed in a negative tone or positive tone fashion, respectively, with resolutions of 100 µm. The effect of wax melting on resolution and as a bonding material was also characterized. In addition, solid ink printers have the capacity to pattern large areas with high resolution, which was demonstrated by stacking DFP layers in a 50 mm × 50 mm woven pattern with 1 mm features. By using an office printer to generate the masking patterns, the mask designs can be easily altered in a graphic user interface to enable rapid prototyping.
NASA Astrophysics Data System (ADS)
Shukla, Rahul; Abhinandan, Lala; Sharma, Shivdutt
2017-07-01
Poly(methyl methacrylate) (PMMA) is an extensively used positive photoresist for deep x-ray lithography. The post-development release of the microstructures of PMMA becomes very critical for high aspect ratio fragile and freestanding microstructures. Release of high aspect ratio comb-drive microstructure of PMMA made by one-step x-ray lithography (OXL) is studied. The effect of low-surface tension Isopropyl alcohol (IPA) over water is investigated for release of the high aspect ratio microstructures using conventional and supercritical (SC) CO2 drying. The results of conventional drying are also compared for the samples released or dried in both in-house developed and commercial SC CO2 dryer. It is found that in all cases the microstructures of PMMA are permanently deformed and damaged while using SC CO2 for drying. For free-standing high aspect ratio microstructures of PMMA made by OXL, it is advised to use low-surface tension IPA over DI water. However, this brings a limitation on the design of the microstructure.
Theoretical modeling of PEB procedure on EUV resist using FDM formulation
NASA Astrophysics Data System (ADS)
Kim, Muyoung; Moon, Junghwan; Choi, Joonmyung; Lee, Byunghoon; Jeong, Changyoung; Kim, Heebom; Cho, Maenghyo
2018-03-01
Semiconductor manufacturing industry has reduced the size of wafer for enhanced productivity and performance, and Extreme Ultraviolet (EUV) light source is considered as a promising solution for downsizing. A series of EUV lithography procedures contain complex photo-chemical reaction on photoresist, and it causes technical difficulties on constructing theoretical framework which facilitates rigorous investigation of underlying mechanism. Thus, we formulated finite difference method (FDM) model of post exposure bake (PEB) process on positive chemically amplified resist (CAR), and it involved acid diffusion coupled-deprotection reaction. The model is based on Fick's second law and first-order chemical reaction rate law for diffusion and deprotection, respectively. Two kinetic parameters, diffusion coefficient of acid and rate constant of deprotection, which were obtained by experiment and atomic scale simulation were applied to the model. As a result, we obtained time evolutional protecting ratio of each functional group in resist monomer which can be used to predict resulting polymer morphology after overall chemical reactions. This achievement will be the cornerstone of multiscale modeling which provides fundamental understanding on important factors for EUV performance and rational design of the next-generation photoresist.
X-ray lithography using holographic images
Howells, Malcolm R.; Jacobsen, Chris
1995-01-01
A non-contact X-ray projection lithography method for producing a desired X-ray image on a selected surface of an X-ray-sensitive material, such as photoresist material on a wafer, the desired X-ray image having image minimum linewidths as small as 0.063 .mu.m, or even smaller. A hologram and its position are determined that will produce the desired image on the selected surface when the hologram is irradiated with X-rays from a suitably monochromatic X-ray source of a selected wavelength .lambda.. On-axis X-ray transmission through, or off-axis X-ray reflection from, a hologram may be used here, with very different requirements for monochromaticity, flux and brightness of the X-ray source. For reasonable penetration of photoresist materials by X-rays produced by the X-ray source, the wavelength X, is preferably chosen to be no more than 13.5 nm in one embodiment and more preferably is chosen in the range 1-5 nm in the other embodiment. A lower limit on linewidth is set by the linewidth of available microstructure writing devices, such as an electron beam.
Biorecognition by DNA oligonucleotides after Exposure to Photoresists and Resist Removers
Dean, Stacey L.; Morrow, Thomas J.; Patrick, Sue; Li, Mingwei; Clawson, Gary; Mayer, Theresa S.; Keating, Christine D.
2013-01-01
Combining biological molecules with integrated circuit technology is of considerable interest for next generation sensors and biomedical devices. Current lithographic microfabrication methods, however, were developed for compatibility with silicon technology rather than bioorganic molecules and consequently it cannot be assumed that biomolecules will remain attached and intact during on-chip processing. Here, we evaluate the effects of three common photoresists (Microposit S1800 series, PMGI SF6, and Megaposit SPR 3012) and two photoresist removers (acetone and 1165 remover) on the ability of surface-immobilized DNA oligonucleotides to selectively recognize their reverse-complementary sequence. Two common DNA immobilization methods were compared: adsorption of 5′-thiolated sequences directly to gold nanowires and covalent attachment of 5′-thiolated sequences to surface amines on silica coated nanowires. We found that acetone had deleterious effects on selective hybridization as compared to 1165 remover, presumably due to incomplete resist removal. Use of the PMGI photoresist, which involves a high temperature bake step, was detrimental to the later performance of nanowire-bound DNA in hybridization assays, especially for DNA attached via thiol adsorption. The other three photoresists did not substantially degrade DNA binding capacity or selectivity for complementary DNA sequences. To determine if the lithographic steps caused more subtle damage, we also tested oligonucleotides containing a single base mismatch. Finally, a two-step photolithographic process was developed and used in combination with dielectrophoretic nanowire assembly to produce an array of doubly-contacted, electrically isolated individual nanowire components on a chip. Post-fabrication fluorescence imaging indicated that nanowire-bound DNA was present and able to selectively bind complementary strands. PMID:23952639
Development of Ceramics with Highly Organized Microstructures
2007-03-01
time for reviev AFRL- SR -AR-TR-08_00 6 8 gathering and maintaining the data needed, and completing and reviewing the collection of information. Send...formation the single crystal substrate was cleaned prior to sputter coating a 100 nm thick nickel seed layer on the polished surface. A positive photoresist...growth of the single crystal was accomplished without an interfacial layer and with no applied load. The main difference was Ba /Ti ratio of the starting
Precuring implant photoresists for shrink and patterning control
NASA Astrophysics Data System (ADS)
Winroth, Gustaf; Rosseel, Erik; Delvaux, Christie; Sanchez, Efrain Altamirano; Ercken, Monique
2013-10-01
193-nm compatible photoresists are turning out to be the new platform for implant lithography, due to the increasing requirements in both resolution and overlay. Shrinkage of such resists is becoming progressively the most topical issue for aggressive nodes, where conventional pretreatments from older resist platforms, such as ultraviolet flood exposures, are not directly transferable to (meth-)acrylate-type resists. The precuring options available for state-of-the-art implant photoresists for 193-nm lithography is explored, in which we target to reduce the shrinkage during implantation for trenching critical dimensions (CDs) that are relevant for nodes <20 nm. An extensive study comprising different approaches, including laser-, ion-, and electron-based treatments, is presented. Each treatment is individually investigated with the aim to find not only a valid pretreatment for shrinkage control during implantation, but also to understand what effect alternative pretreatments have on the morphology and the CDs of thick photoresists used as implant stopping layers. Viable options for further process optimization in order to integrate them into device process flows are found. To this extent, the shrink behavior after pretreatment is shown, and the additional shrink dynamics after implantation are compared.
Güder, Firat; Yang, Yang; Krüger, Michael; Stevens, Gregory B; Zacharias, Margit
2010-12-01
A versatile, low-cost, and flexible approach is presented for the fabrication of millimeter-long, sub-100 nm wide 1D nanochannels with tunable wall properties (wall thickness and material) over wafer-scale areas on glass, alumina, and silicon surfaces. This approach includes three fabrication steps. First, sub-100 nm photoresist line patterns were generated by near-field contact phase-shift lithography (NFC-PSL) using an inexpensive homemade borosilicate mask (NFC-PSM). Second, various metal oxides were directly coated on the resist patterns with low-temperature atomic layer deposition (ALD). Finally, the remaining photoresist was removed via an acetone dip, and then planar nanochannel arrays were formed on the substrate. In contrast to all the previous fabrication routes, the sub-100 nm photoresist line patterns produced by NFC-PSL are directly employed as a sacrificial layer for the creation of nanochannels. Because both the NFC-PSL and the ALD deposition are highly reproducible processes, the strategy proposed here can be regarded as a general route for nanochannel fabrication in a simplified and reliable manner. In addition, the fabricated nanochannels were used as templates to synthesize various organic and inorganic 1D nanostructures on the substrate surface.
Composition and method for removing photoresist materials from electronic components
Davenhall, Leisa B.; Rubin, James B.
2002-01-01
The invention is a combination of at least one dense phase fluid and at least one dense phase fluid modifier which can be used to contact substrates for electronic parts such as semiconductor wafers or chips to remove photoresist materials which are applied to the substrates during manufacture of the electronic parts. The dense phase fluid modifier is one selected from the group of cyclic, aliphatic or alicyclic compounds having the functional group: ##STR1## wherein Y is a carbon, oxygen, nitrogen, phosphorus or sulfur atom or a hydrocarbon group having from 1 to 10 carbon atoms, a halogen or halogenated hydrocarbon group having from 1 to 10 carbon atoms, silicon or a fluorinated silicon group; and wherein R.sub.1 and R.sub.2 can be the same or different substituents; and wherein, as in the case where X is nitrogen, R.sub.1 or R.sub.2 may not be present. The invention compositions generally are applied to the substrates in a pulsed fashion in order to remove the hard baked photoresist material remaining on the surface of the substrate after removal of soft baked photoresist material and etching of the barrier layer.
NASA Astrophysics Data System (ADS)
Nguyen, Dam Thuy Trang; Pelissier, Aurélien; Montes, Kevin; Tong, Quang Cong; Ngo, Hoang Minh; Ledoux-Rak, Isabelle; Lai, Ngoc Diep
2016-04-01
We have precisely positioned and embedded a single gold nanoparticle (Au NP) into a desired polymeric photonic structure (PS) using a simple and low-cost technique called low one-photon absorption direct laser writing (LOPA DLW), with a two-step process: identification and fabrication. First, the position of the Au NP was identified with a precision of 20 nm by using DLW technique with ultralow excitation laser power (μW). This power did not induce the polymerization of the photoresist (SU8) due to its low absorption at the excitation wavelength (532 nm). Then, the structure containing the NP was fabricated by using the same DLW system with high excitation power (mW). Different 2D photonic structures have been fabricated, which contain a single Au NP at desired position. In particular, we obtained a microsphere instead of a micropillar at the position of the Au NP. The formation of such microsphere was explained by the thermal effect of the Au NP at the wavelength of 532 nm, which induced thermal polymerization of surrounding photoresist. The effect of the post-exposure bake on the quality of structures was taken into account, revealing a more efficient fabrication way by exploiting the local thermal effect of the laser. We studied further the influence of the NP size on the NP/PS coupling by investigating the fabrication and fluorescence measurement of Au NPs of different sizes: 10, 30, 50, 80, and 100 nm. The photon collection enhancements in each case were 12.9 +/- 2.5, 12.6 +/- 5.6, 3.9 +/- 2.7, 5.9 +/- 4.4, and 6.6 +/- 5.1 times, respectively. The gain in fluorescence could reach up to 36.6 times for 10-nm gold NPs.
Dyed positive photoresist employing curcumin for notching control
DOE Office of Scientific and Technical Information (OSTI.GOV)
Renschler, C.L.; Lemen, E.K.; Rodriquez, J.L.
1989-01-01
A variety of dyes have been proposed as absorbers for photoresists. The nonbleachable absorbance incorporated in this way can result in a reduction in standing waves and/or reflective notching (nonuniform linewidths due to reflections off the substrate). In addition, it can provide increased visual contrast at the patterned resist inspection stage. A variation on the visual contrast improvement involves the use of a dye which fluoresces, allowing for more precise resist metrology. The deposition and growth processes used here result in large oxide and polycrystalline silicon steps with high aspect ratios. Processes such as LOCOS which allow less severe topography,more » are inherently radiation soft and cannot be used on our fabrication process. The resulting steep sidewalls make metal coverage and etch significantly more difficult. Thus, the glass layer which isolates metal conductors from oxide steps is smoothed with either a thermal reflow or a plasma etch of a partially planarized coating to reduce the aspect ratio of the step. This results in metal coverage with a 45{degrees} angle at each step, which causes severe notching. This paper reports on an attempt to develop a resist which would eliminate this notching problem. Although the addition of unbleachable dye to photoresist for the control of notching is well established, most of the dyes used have one or more serious shortcomings, such as a poor match of the absorption spectrum with the exposure spectrum or low solubility in resist. Severe notching requires the use of a resist dye with optimized physical and spectroscopic properties to allow high optical absorbance to be achieved without the onset of other problems such as particulate formation or changes in thermal properties.« less
NASA Astrophysics Data System (ADS)
Konishi, Satoshi; Nakagami, Chise; Kobayashi, Taizo; Tonomura, Wataru; Kaizuma, Yoshihiro
2015-04-01
In this work, a lift-off process with bi-layer photoresist patterns was applied to the formation of hydrophobic/hydrophilic micropatterns on practical polymer substrates used in healthcare diagnostic commercial products. The bi-layer photoresist patterns with undercut structures made it possible to peel the conformal-coated silicon oxide (SiOx) films from substrates. SiOx and silicon carbide (SiCx) layers were deposited by pulsed plasma chemical vapor deposition (PPCVD) method which can form roughened surfaces to enhance hydrophilicity of SiOx and hydrophobicity of SiCx. Microfluidic applications using hydrophobic/hydrophilic patterns were also demonstrated on low-cost substrates such as poly(ethylene terephthalate) (PET) and paper films.
NASA Astrophysics Data System (ADS)
Li, Li; Chakrabarty, Souvik; Jiang, Jing; Zhang, Ben; Ober, Christopher; Giannelis, Emmanuel P.
2016-01-01
The solubility behavior of Hf and Zr based hybrid nanoparticles with different surface ligands in different concentrations of photoacid generator as potential EUV photoresists was investigated in detail. The nanoparticles regardless of core or ligand chemistry have a hydrodynamic diameter of 2-3 nm and a very narrow size distribution in organic solvents. The Hansen solubility parameters for nanoparticles functionalized with IBA and 2MBA have the highest contribution from the dispersion interaction than those with tDMA and MAA, which show more polar character. The nanoparticles functionalized with unsaturated surface ligands showed more apparent solubility changes after exposure to DUV than those with saturated ones. The solubility differences after exposure are more pronounced for films containing a higher amount of photoacid generator. The work reported here provides material selection criteria and processing strategies for the design of high performance EUV photoresists.The solubility behavior of Hf and Zr based hybrid nanoparticles with different surface ligands in different concentrations of photoacid generator as potential EUV photoresists was investigated in detail. The nanoparticles regardless of core or ligand chemistry have a hydrodynamic diameter of 2-3 nm and a very narrow size distribution in organic solvents. The Hansen solubility parameters for nanoparticles functionalized with IBA and 2MBA have the highest contribution from the dispersion interaction than those with tDMA and MAA, which show more polar character. The nanoparticles functionalized with unsaturated surface ligands showed more apparent solubility changes after exposure to DUV than those with saturated ones. The solubility differences after exposure are more pronounced for films containing a higher amount of photoacid generator. The work reported here provides material selection criteria and processing strategies for the design of high performance EUV photoresists. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07334k
2011-03-01
into separate parts, transmitted into different directions , and then recombined upon a surface to produce interference. The concern with this type of...photoresist (PR), is a radiation sensitive compound that is classified as positive or negative, depending on how it responds to radiation . Each is designed...emerging waves, and are referred to as diffraction gratings. Upon reflection from these kinds of gratings, light scattered from the periodic surface
Cantilevered multilevel LIGA devices and methods
Morales, Alfredo Martin; Domeier, Linda A.
2002-01-01
In the formation of multilevel LIGA microstructures, a preformed sheet of photoresist material, such as polymethylmethacrylate (PMMA) is patterned by exposure through a mask to radiation, such as X-rays, and developed using a developer to remove the exposed photoresist material. A first microstructure is then formed by electroplating metal into the areas from which the photoresist has been removed. Additional levels of microstructure are added to the initial microstructure by covering the first microstructure with a conductive polymer, machining the conductive polymer layer to reveal the surface of the first microstructure, sealing the conductive polymer and surface of the first microstructure with a metal layer, and then forming the second level of structure on top of the first level structure. In such a manner, multiple layers of microstructure can be built up to allow complex cantilevered microstructures to be formed.
Photoresist composition for extreme ultraviolet lithography
Felter, T. E.; Kubiak, G. D.
1999-01-01
A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.
Nishi, Mineo; Makishima, Hideo
1996-01-01
A composition for forming anti-reflection film on resist surface which comprises an aqueous solution of a water soluble fluorine compound, and a pattern formation method which comprises the steps of coating a photoresist composition on a substrate; coating the above-mentioned composition for forming anti-reflection film; exposing the coated film to form a specific pattern; and developing the photoresist, are provided. Since the composition for forming anti-reflection film can be coated on the photoresist in the form of an aqueous solution, not only the anti-reflection film can be formed easily, but also, the film can be removed easily by rinsing with water or alkali development. Therefore, by the pattern formation method according to the present invention, it is possible to form a pattern easily with a high dimensional accuracy.
Thin film type 248-nm bottom antireflective coatings
NASA Astrophysics Data System (ADS)
Enomoto, Tomoyuki; Nakayama, Keisuke; Mizusawa, Kenichi; Nakajima, Yasuyuki; Yoon, Sangwoong; Kim, Yong-Hoon; Kim, Young-Ho; Chung, Hoesik; Chon, Sang Mun
2003-06-01
A frequent problem encountered by photoresists during the manufacturing of semiconductor device is that activating radiation is reflected back into the photoresist by the substrate. So, it is necessary that the light reflection is reduced from the substrate. One approach to reduce the light reflection is the use of bottom anti-reflective coating (BARC) applied to the substrate beneath the photoresist layer. The BARC technology has been utilized for a few years to minimize the reflectivity. As the chip size is reduced to sub 0.13-micron, the photoresist thickness has to decrease with the aspect ratio being less than 3.0. Therefore, new Organic BARC is strongly required which has the minimum reflectivity with thinner BARC thickness and higher etch selectivity towards resist. SAMSUNG Electronics has developed the advanced Organic BARC with Nissan Chemical Industries, Ltd. and Brewer Science, Inc. for achieving the above purpose. As a result, the suitable high performance SNAC2002 series KrF Organic BARCs were developed. Using CF4 gas as etchant, the plasma etch rate of SNAC2002 series is about 1.4 times higher than that of conventional KrF resists and 1.25 times higher than the existing product. The SNAC2002 series can minimize the substrate reflectivity at below 40nm BARC thickness, shows excellent litho performance and coating properties.
NASA Astrophysics Data System (ADS)
Kim, MyoungSoo; Kim, HakJoon; Shim, KewChan; Jeon, JeHa; Gil, MyungGoon; Song, YongWook; Enomoto, Tomoyuki; Sakaguchi, Takahiro; Nakajima, Yasuyuki
2005-05-01
A frequent problem encountered by photoresists during the manufacturing of semiconductor device is that activating radiation is reflected back into the photoresist by the substrate. So, it is necessary that the light reflection is reduced from the substrate. One approach to reduce the light reflection is the use of bottom anti-reflective coating (BARC) applied to the substrate beneath the photoresist layer. The BARC technology has been utilized for a few years to minimize the reflectivity. As the chip size is reduced to sub 100nm, the photoresist thickness has to decrease with the aspect ratio being less than 3.0. Therefore, new Organic BARC is strongly required which has the minimum reflectivity with thinner BARC thickness and higher etch selectivity toward resists. Hynix Semiconductor Inc., Nissan Chemical Industries, Ltd., and Brewer Science, Inc. have developed the advanced Organic BARC for achieving the above purpose. As a result, the suitable high performance 248nm Organic BARCs, NCA series, were achieved. Using CF4 gas as etchant, the plasma etch rate of NCA series is about 1.4 times higher than that of conventional 248nm resists. NCA series can be minimizing the substrate reflectivity at below 45nm BARC thickness. NCA series show the excellent litho performance and coating property on real device.
Characterization of a New Organosilicon Photoresist
NASA Astrophysics Data System (ADS)
Cunningham, Wells C.
1987-08-01
For a number of years, there has lo'ep. great interest in organometallic based photoresists for use as the top layer in multilevel resist schemes.-' In general, bilevel approaches to lithography are forced upon the industry as a means of planarizing topography for a subsequent patterning step. This pattern is initially defined by exposure and development of a thin top layer (0.3 to 0.5μm) over the thicker bottom layer (1.0 to 2.0μm). (See Figure 1). In a conventional bilevel approach, the chosen bottom layer is photoactive at a wavelength for which the top is relatively opaque. The top level acts as a portable conformable mask (PCM) for image transfer through the bottom layer after its exposure and wet development. By using a silicon containing photoresist on the top image transfer may be accomplished using an oxygen plasma instead of a second exposure and development. The PCM in this case acts as an etch mask by forming a silicon dioxide crust in the plasma which slows the etch rate of the top versus the bottom layer. A generic curve of etch rate of a photoresist versus percent silicon by weight is shown in Figure 2. The shape is similar over a wide range of organosilicon polymers.5,6
NASA Astrophysics Data System (ADS)
Kwon, Hyuk-Jun; Chung, Seungjun; Jang, Jaewon; Grigoropoulos, Costas P.
2016-10-01
Patterns formed by the laser direct writing (LDW) lithography process are used either as channels or barriers for MoS2 transistors fabricated via inkjet printing. Silver (Ag) nanoparticle ink is printed over patterns formed on top of the MoS2 flakes in order to construct high-resolution source/drain (S/D) electrodes. When positive photoresist is used, the produced grooves are filled with inkjetted Ag ink by capillary forces. On the other hand, in the case of negative photoresist, convex barrier-like patterns are written on the MoS2 flakes and patterns, dividing the printed Ag ink into the S/D electrodes by self-alignment. LDW lithography combined with inkjet printing is applied to MoS2 thin-film transistors that exhibit moderate electrical performance such as mobility and subthreshold swing. However, especially in the linear operation regime, their features are limited by the contact effect. The Y-function method can exclude the contact effect and allow proper evaluation of the maximum available mobility and contact resistance. The presented fabrication methods may facilitate the development of cost-effective fabrication processes.
Ultraviolet-Ozone Cleaning of Semiconductor Surfaces
1992-01-01
Bolon and Kunz (1) reported that UV light had the capability to depolymerize a variety of photoresist polymers. The polymer films were enclosed in a...placed between the UV light and the films, or when a nitrogen atmosphere was used instead of oxygen, the depolymerization was hindered. Thus, Bolon and...ozone cleaning rates. Bolon and Kunz (1), on the other hand, found that the rate of ozone depolymerization of photoresists did not change significantly
Acoustic-wave sensor for ambient monitoring of a photoresist-stripping agent
Pfeifer, K.B.; Hoyt, A.E.; Frye, G.C.
1998-08-18
The acoustic-wave sensor is disclosed. The acoustic-wave sensor is designed for ambient or vapor-phase monitoring of a photoresist-stripping agent such as N-methylpyrrolidinone (NMP), ethoxyethylpropionate (EEP) or the like. The acoustic-wave sensor comprises an acoustic-wave device such as a surface-acoustic-wave (SAW) device, a flexural-plate-wave (FPW) device, an acoustic-plate-mode (APM) device, or a thickness-shear-mode (TSM) device (also termed a quartz crystal microbalance or QCM) having a sensing region on a surface thereof. The sensing region includes a sensing film for sorbing a quantity of the photoresist-stripping agent, thereby altering or shifting a frequency of oscillation of an acoustic wave propagating through the sensing region for indicating an ambient concentration of the agent. According to preferred embodiments of the invention, the acoustic-wave device is a SAW device; and the sensing film comprises poly(vinylacetate), poly(N-vinylpyrrolidinone), or poly(vinylphenol). 3 figs.
Acoustic-wave sensor for ambient monitoring of a photoresist-stripping agent
Pfeifer, Kent B.; Hoyt, Andrea E.; Frye, Gregory C.
1998-01-01
The acoustic-wave sensor. The acoustic-wave sensor is designed for ambient or vapor-phase monitoring of a photoresist-stripping agent such as N-methylpyrrolidinone (NMP), ethoxyethylpropionate (EEP) or the like. The acoustic-wave sensor comprises an acoustic-wave device such as a surface-acoustic-wave (SAW) device, a flexural-plate-wave (FPW) device, an acoustic-plate-mode (APM) device, or a thickness-shear-mode (TSM) device (also termed a quartz crystal microbalance or QCM) having a sensing region on a surface thereof. The sensing region includes a sensing film for sorbing a quantity of the photoresist-stripping agent, thereby altering or shifting a frequency of oscillation of an acoustic wave propagating through the sensing region for indicating an ambient concentration of the agent. According to preferred embodiments of the invention, the acoustic-wave device is a SAW device; and the sensing film comprises poly(vinylacetate), poly(N-vinylpyrrolidinone), or poly(vinylphenol).
Innovative and water based stripping approach for thick and bulk photoresists
NASA Astrophysics Data System (ADS)
Rudolph, Matthias; Schumann, Dirk; Thrun, Xaver; Esche, Silvio; Hohle, Christoph
2014-10-01
The usage of phase fluid based stripping agents to remove photoresists from silicon substrates was studied. Photoresists are required for many silicon based technologies such as MEMS patterning, 3D-Integration or frontend and backend of line semiconductor applications [1]. Although the use of resists is very common, their successful integration often depends on the ability to remove the resist after certain processing steps. On the one hand the resist is changing during subsequent process steps that can cause a thermally activated cross-linking which increases the stripping complexity. Resist removal is also challenging after the formation of a hard polymer surface layer during plasma or implant processes which is called skin or crust [2]. On the other hand the choice of stripping chemistry is often limited due to the presence of functional materials such as metals which can be damaged by aggressive stripping chemistries [3].
Dose Control System in the Optima XE Single Wafer High Energy Ion Implanter
DOE Office of Scientific and Technical Information (OSTI.GOV)
Satoh, Shu; Yoon, Jongyoon; David, Jonathan
2011-01-07
Photoresist outgassing can significantly compromise accurate dosimetry of high energy implants. High energy implant even at a modest beam current produces high beam powers which create significantly worse outgassing than low and medium energy implants and the outgassing continues throughout the implant due to the low dose in typical high energy implant recipes. In the previous generation of high energy implanters, dose correction by monitoring of process chamber pressure during photoresist outgassing has been used. However, as applications diversify and requirements change, the need arises for a more versatile photoresist correction system to match the versatility of a single wafermore » high energy ion implanter. We have successfully developed a new dosimetry system for the Optima XE single wafer high energy ion implanter which does not require any form of compensation due to the implant conditions. This paper describes the principles and performance of this new dose system.« less
Modified polyhydroxystyrenes as matrix resins for dissolution inhibition type photoresists
NASA Astrophysics Data System (ADS)
Pawlowski, Georg; Sauer, Thomas P.; Dammel, Ralph R.; Gordon, Douglas J.; Hinsberg, William D.; McKean, Dennis R.; Lindley, Charlet R.; Merrem, Hans-Joachim; Roeschert, Heinz; Vicari, Richard; Willson, C. Grant
1990-06-01
It is generally accepted that the production of shrink versions of the 16 MB DRAM and the 64 MB DRAM generations will be patterned using deep UV radiation. This provides a new challenge to the photoresist suppliers, as the standard photoresist formulations are not suitable for this technology, mainly because the presently used novolak resins are highly opaque in the 200 - 300 nm region. This is especially true for the 248 nm wavelength of KrF eximer lasers. Poly 4- hydroxystyrene [PHS] has several advantages in transmission and thermal stability; however, its dissolution rate in commercial grade developers is unacceptably high. We report some recent results on modified, alkyl-substituted PHS derivatives. These polymers combine reduced alkaline solubiity with adequate optical and thermal properties, making them acceptable for future deep UV based production processes. Selected data of these new (co)polymers are discussed.
On-line photolithography modeling using spectrophotometry and Prolith/2
NASA Astrophysics Data System (ADS)
Engstrom, Herbert L.; Beacham, Jeanne E.
1994-05-01
Spectrophotometry has been applied to optimizing photolithography processes in semiconductor manufacturing. For many years thin film measurement systems have been used in manufacturing for controlling film deposition processes. The combination of film thickness mapping with photolithography modeling has expanded the applications of this technology. Experimental measurements of dose-to-clear, the minimum light exposure dose required to fully develop a photoresist, are described. It is shown how dose-to-clear and photoresist contrast may be determined rapidly and conveniently from measurements of a dose exposure matrix on a monitor wafer. Such experimental measurements may underestimate the dose-to- clear because of thickness variations of the photoresist and underlying layers on the product wafer. Online modeling of the photolithographic process together with film thickness maps of the entire wafer can overcome this problem. Such modeling also provides maps of dose-to- clear and resist linewidth that can be used to estimate and optimize yield.
Demonstration of an N7 integrated fab process for metal oxide EUV photoresist
NASA Astrophysics Data System (ADS)
De Simone, Danilo; Mao, Ming; Kocsis, Michael; De Schepper, Peter; Lazzarino, Frederic; Vandenberghe, Geert; Stowers, Jason; Meyers, Stephen; Clark, Benjamin L.; Grenville, Andrew; Luong, Vinh; Yamashita, Fumiko; Parnell, Doni
2016-03-01
Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.
M3: Microscope-based maskless micropatterning with dry film photoresist
Leigh, Steven Y.; Tattu, Aashay; Mitchell, Joseph S. B.
2011-01-01
We present a maskless micropatterning system that utilizes a fluorescence microscope with programmable X-Y stage and dry film photoresist to realize feature sizes in the sub-millimeter range (40–700 μm). The method allows for flexible in-house maskless photolithography without a dedicated microfabrication facility and is well-suited for rapid prototyping of microfluidic channels, scaffold templates for protein/cell patterning or optically-guided cell encapsulation for biomedical applications. PMID:21190086
NASA Astrophysics Data System (ADS)
Lai, Jian-Lun; Su, Guo-Dung J.
2012-08-01
There are two important parts in Microbolometer: the high TCR sensing material and low thermal conductance. The high TCR material cytochrome c protein is a good candidate for infrared detection. Our group already demonstrated cytochrome c thin film has high TCR on the top of SU8 surface that has been published in Proc. of SPIE (2011). Because the very low thermal conductivity of SU-8, we proposed a new concept of SU-8 photoresist thermal insulation desk structure, and used the exposure dose method to establish it. Although exposure dose method is very sensitive to exposure time and PEB time, we successfully investigated the right recipe to create new desk insulation structure which with different height. We also explored the relationship between mask II exposure time and desktop thickness, and how the post-exposure baking (PEB) time influenced our structure. Our SU-8 photoresist insulation structure fabrication process is much easier and cheaper than present SiNx fabrication process. The desk shape structure can have low thermal conductance of 6.681*10-6 W/K. The easy-made SU-8 microstructures and cytochrome c thin films that and can reduce the cost of IR microbolometer. We believe that it is possible to fabricate a new generation of microbolometer based on cytochrome c protein and SU-8 photoresist microstructures.
Thin film and high-etch-rate type 248-nm bottom antireflective coatings
NASA Astrophysics Data System (ADS)
Enomoto, Tomoyuki; Takei, Satoshi; Kishioka, Takahiro; Hatanaka, Tadashi; Sakamoto, Rikimaru; Nakajima, Yasuyuki
2004-05-01
A frequent problem encountered by photoresists during the manufacturing of semiconductor device is that activating radiation is reflected back into the photoresist by the substrate. So, it is necessary that the light reflection is reduced from the substrate. One approach to reduce the light reflection is the use of bottom anti-reflective coating (BARC) applied to the substrate beneath the photoresist layer. The BARC technology has been utilized for a few years to minimize the reflectivity. As the chip size is reduced to sub 0.13 micron, the photoresist thickness has to decrease with the aspect ratio being less than 3.0. Therefore, new Organic BARC is strongly required which has the minimum reflectivity with thinner BARC thickness and higher etch selectivity toward resists. Nissan Chemical Industries, Ltd. and Brewer Science, Inc. have developed the advanced Organic BARC for achieving the above purpose. As a result, the suitable high performance NCA3000 series 248nm Organic BARCs were developed. Using CF4 gas as etchant, the plasma etch rate of NCA3000 series is about 1.4-1.6 times higher than that of conventional 248nm resists and 1.1-1.2 times higher than that of the existing product. The NCA3000 series can minimize the substrate reflectivity at below 45nm BARC thickness, shows excellent litho performance and coating properties.
Influence of post exposure bake time on EUV photoresist RLS trade-off
NASA Astrophysics Data System (ADS)
Vesters, Yannick; De Simone, Danilo; De Gendt, Stefan
2017-03-01
To achieve high volume manufacturing, EUV photoresists need to push back the "RLS trade-off" by simultaneously improving Resolution, Line-Width Roughness and Sensitivity (exposure dose). Acid diffusion in chemically amplified resist is known to impact these performances. This work studies the diffusion of acid in chemically amplified resist by varying the post exposure bake duration while monitoring the evolution of CD and LWR for 6 chemically amplified EUV photoresists (CAR). We observed a first regime where both CD and LWR quickly decrease during the first 30s of post exposure bake (PEB). This can be related to the deprotection reaction taking place in the exposed part of the resist. After 60s the decrease in CD and LWR slows down significantly, likely related to a regime of acid diffusion from exposed to unexposed region, and acid-quencher neutralization at the interface of these two regions. We tested two resists with different protecting group and the one having lower activation energy shows a faster CD change in the second regime, resulting in a worsening of LWR for longer PEB time. On the contrary, a resist with a high quencher loading shows reduced net diffusion of acid towards the unexposed region and controls the resist edge profile. In other words longer PEB does not degrade LWR, but as it reduces the line CD, sensitivity is impacted. With an appropriate ratio selection of quencher to PAG, an EUV dose reduction of up to 12% can be achieved with a change from a standard 60 second to a 240 second PEB time, while keeping LWR and resolution constant and therefore pushing the RLS performances. Finally, we confirmed that the observations on positive tone development (PTD) resist could be applied to negative tone development (NTD) resist: with a high quencher NTD resist we observed a dose reduction of 8% for longer PEB time, keeping LWR and resolution constant.
Mechanisms of EUV exposure: electrons and holes
NASA Astrophysics Data System (ADS)
Narasimhan, Amrit; Grzeskowiak, Steven; Ackerman, Christian; Flynn, Tracy; Denbeaux, Greg; Brainard, Robert L.
2017-03-01
In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Current EUV photoresists are composed of photoacid generators (PAGs) in polymer matrices. Secondary electrons (2 - 80 eV) created in resists during EUV exposure play large role in acid-production. There are several proposed mechanisms for electron-resist interactions: internal excitation, electron trapping, and hole-initiated chemistry. Here, we will address two central questions in EUV resist research: (1) How many electrons are generated per EUV photon absorption? (2) By which mechanisms do these electrons interact and react with molecules in the resist? We will use this framework to evaluate the contributions of electron trapping and hole initiated chemistry to acid production in chemically amplified photoresists, with specific emphasis on the interdependence of these mechanisms. We will show measurements of acid yield from direct bulk electrolysis of PAGs and EUV exposures of PAGs in phenolic and nonphenolic polymers to narrow down the mechanistic possibilities in chemically amplified resists.
NASA Astrophysics Data System (ADS)
Zhang, Yan; Takeuchi, Takuya; Ishikawa, Kenji; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru
2017-12-01
The absolute fluxes of vacuum ultraviolet (VUV) photons emitted from HBr plasma were analyzed and the effects of VUV photons on a photoresist polymer in ArF-excimer-laser (193 nm) lithography were quantitatively investigated on the basis of the infrared spectra attributed to the C=O region. The spectral peak intensity assigned to the methacrylic acid (MAA) in the photoresist drastically decreased owing to the loss of this monomer caused by the irradiation of VUV photons at dosages below 16 × 1016 photons/cm2. X-ray photoelectron spectroscopy observation showed that the removed monomer moved to the surface and generated volatile products that induced a decrease in film thickness. As a consequence, the surface became rough during the early-stage irradiation at dosages lower than 16 × 1016 photons/cm2 owing to the monomer loss of MAA with volatile product formation and subsequent cross-linking reactions.
NASA Astrophysics Data System (ADS)
Sayan, Safak; Vanelderen, Pieter; Hetel, Iulian; Chan, BT; Raghavan, Praveen; Blanco, Victor; Foubert, Philippe; D'urzo, Lucia; De Simone, Danilo; Vandenberghe, Geert
2017-04-01
There are many knobs available that change the chemical and physical properties of the photoresists to "break" the RLS (Resolution, Sensitivity, Line edge/width roughness) trade-off, however those are not enough today to realize a material to satisfy all requirements at once for 7nm technology and beyond. DDRP improves the ultimate achievable resolution via pattern collapse mitigation, hence the priority of requirements for the EUV photoresist development may be changed with more focus on Sensitivity and LWR. This may potentially provide a new conceptual approach towards EUV PR development for DDRP applications. We have previously demonstrated pattern collapse (PC) mitigation via DDRP on different EUVL photoresists (including different resist platforms), achieving ultimate resolution and exposure latitude improvements [1,2]. In this contribution, we report patterning and material defect performance of HVM compatible (all aqueous) dry development rinse material. We will also report on process window improvement on 2-dimensional metal structures towards standard cell size reduction with elimination of mask layer(s) using single EUV exposure.
Mechanisms involved in HBr and Ar cure plasma treatments applied to 193 nm photoresists
DOE Office of Scientific and Technical Information (OSTI.GOV)
Pargon, E.; Menguelti, K.; Martin, M.
2009-05-01
In this article, we have performed detailed investigations of the 193 nm photoresist transformations after exposure to the so-called HBr and Ar plasma cure treatments using various characterization techniques (x-ray photoelectron spectroscopy, Fourier transformed infrared, Raman analyses, and ellipsometry). By using windows with different cutoff wavelengths patched on the photoresist film, the role of the plasma vacuum ultraviolet (VUV) light on the resist modifications is clearly outlined and distinguished from the role of radicals and ions from the plasma. The analyses reveal that both plasma cure treatments induce severe surface and bulk chemical modifications of the resist films. The synergisticmore » effects of low energetic ion bombardment and VUV plasma light lead to surface graphitization or cross-linking (on the order of 10 nm), while the plasma VUV light (110-210 nm) is clearly identified as being responsible for ester and lactone group removal from the resist bulk. As the resist modification depth depends strongly on the wavelength penetration into the material, it is found that HBr plasma cure that emits near 160-170 nm can chemically modify the photoresist through its entire thickness (240 nm), while the impact of Ar plasmas emitting near 100 nm is more limited. In the case of HBr cure treatment, Raman and ellipsometry analyses reveal the formation of sp{sup 2} carbon atoms in the resist bulk, certainly thanks to hydrogen diffusion through the resist film assisted by the VUV plasma light.« less
Controlling large-scale film morphology by phase manipulation in interference lithography
NASA Astrophysics Data System (ADS)
Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.
2007-10-01
An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.
NASA Astrophysics Data System (ADS)
Blasco, Eva; Müller, Jonathan B.; Müller, Patrick; Fischer, Andreas C.; Barner-Kowollik, Christopher; Wegener, Martin
2017-02-01
During the last years there has been significant interest in the fabrication of conductive three-dimensional (3D) structures on the micrometer scale due to their potential applications in microelectronics or emerging fields such as flexible electronics, nanophotonics, and plasmonics. Two-photon direct laser writing (DLW) has been proposed as a potential tool for the fabrication of 3D microstructures in various contexts. The majority of these two-photon processes involve the preparation of insoluble polymeric networks using photopolymerizable photoresins based on acrylate or epoxy groups. Nevertheless, the preparation of conductive 3D microstructures is still very challenging. The aim of the current work has been the preparation of conductive 3D microstructures via DLW by employing a newly developed photoresist. The photoresist consists of acrylate-functionalized poly(ethylene glycol) derivates and HAuCl4 as the gold precursor. By varying the gold content of the photoresist, different structures have been prepared and characterized by SEM and XPS. Conductivity of individual wires between prefabricated macroelectrodes has been measured too. Subsequently, the material has been employed to demonstrate the possibility of true 3D microscale connections.
Sachan, Priyanka; Kulkarni, Manish; Sharma, Ashutosh
2015-11-17
Photoresists are the materials of choice for micro/nanopatterning and device fabrication but are rarely used as a self-assembly material. We report for the first time a novel interplay of self-assembly and photolithography for fabrication of hierarchical and ordered micro/nano structures. We create self-organized structures by the intensified dewetting of unstable thin (∼10 nm to 1 μm) photoresist films by annealing them in an optimal solvent and nonsolvent liquid mixture that allows spontaneous dewetting to form micro/nano smooth dome-like structures. The density, size (∼100 nm to millimeters), and curvature/contact angle of the dome/droplet structures are controlled by the film thickness, composition of the dewetting liquid, and time of annealing. Ordered dewetted structures are obtained simply by creating spatial variation of viscosity by ultraviolet exposure or by photopatterning before dewetting. Further, the structures thus fabricated are readily photopatterned again on the finer length scales after dewetting. We illustrate the approach by fabricating several three-dimensional structures of varying complexity with secondary and tertiary features.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dentinger, Paul M.; Cardinale, Gregory F.; Hunter, Luke L.
2003-12-01
We describe the fabrication and characterization of an electrode array test structure, designed for electrical probing of molecules and nanocrystals. We use Extreme Ultraviolet Lithography (EUVL) to define the electrical test platform features. As fabricated, the platform includes nominal electrode gaps of 0 nm, 40 nm, 60 nm, and 80 nm. Additional variation in electrode gap is achieved by controlling the exposure conditions, such as dose and focus. To enable EUVL based nanofabrication, we develop a novel bi-level photoresist process. The bi-level photoresist consists of a combination of a commercially available polydimethylglutarimide (PMGI) bottom layer and an experimental EUVL photoresistmore » top (imaging) layer. We measure the sensitivity of PMGI to EUV exposure dose as a function of photoresist pre-bake temperature, and using this data, optimize a metal lift-off process. Reliable fabrication of 700 Angstrom thick Au structures with sub-1000 Angstrom critical dimensions is achieved, even without the use of a Au adhesion layer, such as Ti. Several test platforms are used to characterize electrical properties of organic molecules deposited as self assembled monolayers.« less
Koroleva, G A; Lashkevich, V A; Voroshilova, M K
1977-01-01
Multiplication of virulent and vaccine strains of poliovirus type I, II and III in laboratory animals of different species was studied comparatively. The main criterion of virus reproduction was the production of the photoresistant virus progeny after inoculation of the animals with proflavin-photosensitized virus strains. On the whole, virulent poliovirus strains were characterized by replication in a wide range of hosts (monkeys, cotton rats, white mice, guinea pigs, rabbits, chickens, chick embryos), a low infective dose, production of the photoresistant progeny to a high titre, clinically overt disease in some animal species. The vaccine strains multiplied in a norrower range of hosts, had a high infective dose, a low titre of virus progeny, and caused no clinical symptoms of infection. These differences may serve as a marker for differentiation between virulent and attenuated strains in vivo. Administration of guanidine before inoculation of newborn cotton rats completely prevented or delayed by several days the production of photoresistant virus progeny. This fact confirms the stability of the proflavin-poliovirus complex under conditions ruling out virus replication.
Organic-inorganic hybrid resists for EUVL
NASA Astrophysics Data System (ADS)
Singh, Vikram; Kalyani, Vishwanath; Satyanarayana, V. S. V.; Pradeep, Chullikkattil P.; Ghosh, Subrata; Sharma, Satinder; Gonsalves, Kenneth E.
2014-03-01
Herein, we describe preliminary results on organic-inorganic hybrid photoresists, capable of showing line patterns up to 16 nm under e-beam exposure studies, prepared by incorporating polyoxometalates (POMs) clusters into organic photoresist materials. Various Mo and W based clusters such as (TBA)2[Mo6O19], (TBA)5(H)[P2V3W15O62] and (TBA)4[P2Mo18O61] (where TBA = tetrabutyl ammonium counter ion) have been incorporated into PMMA matrix by mixing POM solutions and standard PMMA polymer in anisole (MW ~ 95000, MicroChem) in 1:33 w/v ratio. E-beam exposure followed by development with MIBK solutions showed that these new organic-inorganic hybrid photoresists show good line patterns upto 16 nm, which were not observed in the case of control experiments done on pure PMMA polymer resist. The observed enhancement of resist properties in the case of hybrid resists could possibly be due to a combination of features imparted to the resist by the POM clusters such as increased sensitivity, etch resistance and thermal stability.
Use of direct washing of chemical dispense nozzle for defect control
NASA Astrophysics Data System (ADS)
Linnane, Michael; Mack, George; Longstaff, Christopher; Winter, Thomas
2006-03-01
Demands for continued defect reduction in 300mm IC manufacturing are driving process engineers to examine all aspects of the chemical apply process for improvement. Historically, the defect contribution from photoresist apply nozzles has been minimized through a carefully controlled process of "dummy dispenses" to keep the photoresist in the tip "fresh" and remove any solidified material, a preventive maintenance regime involving periodic cleaning or replacing of the nozzles, and reliance on a pool of solvent within the nozzle storage block to keep the photoresist from solidifying at the nozzle tip. The industry standard has worked well for the most part but has limitations in terms of cost effectiveness and absolute defect elimination. In this study, we investigate the direct washing of the chemical apply nozzle to reduce defects seen on the coated wafer. Data is presented on how the direct washing of the chemical dispense nozzle can be used to reduce coating related defects, reduce material costs from the reduction of "dummy dispense", and can reduce equipment downtime related to nozzle cleaning or replacement.
NASA Astrophysics Data System (ADS)
Kandel, Yudhishthir; Chandonait, Jonathan; Melvin, Lawrence S.; Marokkey, Sajan; Yan, Qiliang; Grzeskowiak, Steven; Painter, Benjamin; Denbeaux, Gregory
2017-03-01
Extreme ultraviolet (EUV) lithography at 13.5 nm stands at the crossroads of next generation patterning technology for high volume manufacturing of integrated circuits. Photo resist models that form the part of overall pattern transform model for lithography play a vital role in supporting this effort. The physics and chemistry of these resists must be understood to enable the construction of accurate models for EUV Optical Proximity Correction (OPC). In this study, we explore the possibility of improving EUV photo-resist models by directly correlating the parameters obtained from experimentally measured atomic scale physical properties; namely, the effect of interaction of EUV photons with photo acid generators in standard chemically amplified EUV photoresist, and associated electron energy loss events. Atomic scale physical properties will be inferred from the measurements carried out in Electron Resist Interaction Chamber (ERIC). This study will use measured physical parameters to establish a relationship with lithographically important properties, such as line edge roughness and CD variation. The data gathered from these measurements is used to construct OPC models of the resist.
Formation of nanotunnels inside a resist film in laser interference lithography.
Wei, Qi; Hu, Fanhua; Wang, Liyuan
2015-05-19
A few kinds of 2-diazo-1-naphthoquinone-4-sulfonates of poly(4-hydroxylstyrene) were prepared to form one-component i-line photoresists. In the laser interference lithography experiments of some of the photoresists, nanotunnels were observed to be aligned in the interior of the resist film. The shape and size of the nanotunnels remain virtually unchanged even under an increased exposure dose, indicating that the exposure energy is confined within the tunnel space. The formation of the nanotunnels results from the effect of standing waves and the permeation of developer from the surface deep into the resist films.
High Precision Metal Thin Film Liftoff Technique
NASA Technical Reports Server (NTRS)
Brown, Ari D. (Inventor); Patel, Amil A. (Inventor)
2015-01-01
A metal film liftoff process includes applying a polymer layer onto a silicon substrate, applying a germanium layer over the polymer layer to create a bilayer lift off mask, applying a patterned photoresist layer over the germanium layer, removing an exposed portion of the germanium layer, removing the photoresist layer and a portion of the polymer layer to expose a portion of the substrate and create an overhanging structure of the germanium layer, depositing a metal film over the exposed portion of the substrate and the germanium layer, and removing the polymer and germanium layers along with the overlaying metal film.
Technology for fabrication of a micromagnet on a tip of a MFM/MRFM probe
Pelekhov, Denis V.; Hammel, P. Chris; Nunes, Jr., Geoffrey; Midzor, Melissa M.; Roukes, Michael
2004-01-13
A method for coating the tip of a mechanical resonator for use in magnetic force microscopy and magnetic resonance force microscopy in which the tip is coated with a ferromagnetic material and the cantilever is not, and the product resulting from the method. A cantilever and incorporated tip are coated with a photoresist, except that surface tension keeps photoresist off the tip. The cantilever and tip are then coated with a magnetic material. Next, acetone is used to lift off the magnetic material from the cantilever but not from the tip.
NASA Astrophysics Data System (ADS)
Zhang, Yu; Haitjema, Jarich; Liu, Xiaomeng; Johansson, Fredrik; Lindblad, Andreas; Castellanos, Sonia; Ottosson, Niklas; Brouwer, Albert M.
2017-03-01
Several metal-containing molecular inorganic materials are currently considered as photoresists for extreme ultraviolet lithography (EUVL). This is primarily due to their high EUV absorption cross section and small building block size, properties which potentially allow both high sensitivity and resolution as well as low line-edge roughness. The photochemical reaction mechanisms that allow these kinds of materials to function as photoresists, however, are still poorly understood. As a step in this direction, we here discuss photochemical reactions upon deep UV (DUV) irradiation of a model negative-tone EUV photoresist material, namely the well-defined molecular tin-oxo cage compound [(SnR)12O14(OH)6]X2 (R = organic group; X = anion) which is spin coated to thin layers of 20 nm. The core electronic structure (Sn 3d, O 1s and C 1s) of fresh and DUV exposed films were then investigated using synchrotron radiationbased hard X-ray photoelectron spectroscopy (HAXPES). This method provides information about the structure and chemical state of the respective atoms in the material. We performed a comparative HAXPES study of the composition of the tin-oxo cage compound [(SnR)12O14(OH)6](OH)2, either fresh directly after spin-coated vs. DUV-exposed materials under either ambient condition or under a dry N2 atmosphere. Different chemical oxidation states and concentrations of atoms and atom types in the fresh and exposed films were found. We further found that the chemistry resulting from exposure in air and N2 is strikingly different, clearly illustrating the influence of film-gas interactions on the (photo)chemical processes that eventually determine the photoresist. Finally, a mechanistic hypothesis for the basic DUV photoreactions in molecular tin-oxo cages is proposed.
Iyer, Ganjigunte R. S.; Hobbie, Erik K.; Guruvenket, Srinivasan; ...
2012-05-23
We report a solution process for the synthesis of crystalline silicon from the liquid silane precursor cyclohexasilane (Si 6H 12). Polysilane films were crystallized through thermal and laser annealing, with plasma hydrogenation at atmospheric pressure generating further structural changes in the films. The evolution from amorphous to microcrystalline is characterized using scanning electron microscopy (SEM), atomic force microscopy (AFM), Raman spectroscopy and impedance spectroscopy. A four-decade enhancement in the electrical conductivity is attributed to a disorder-order transition in a bonded Si network. Lastly, our results demonstrate a potentially attractive approach that employs a solution process coupled with ambient post-processing tomore » produce crystalline silicon thin films.« less
Garrett, David J; Flavel, Benjamin S; Baronian, Keith H R; Downard, Alison J
2013-01-01
A simple method for producing patterned forests of multiwalled carbon nanotubes (MWCNTs) is described. An aqueous metal salt solution is spin-coated onto a substrate patterned with photoresist by standard methods. The photoresist is removed by acetone washing leaving the acetone-insoluble catalyst pattern on the substrate. Dense forests of vertically aligned (VA) MWCNTs are grown on the patterned catalyst layers by chemical vapour deposition. The procedures have been demonstrated by growing MWCNT forests on two substrates: silicon and conducting graphitic carbon films. The forests adhere strongly to the substrates and when grown directly on carbon film, offer a simple method of preparing MWCNT electrodes.
Sun, Zhiwei; Chen, Zhenbin; Zhang, Wenxu; Choi, Jaewon; Huang, Caili; Jeong, Gajin; Coughlin, E Bryan; Hsu, Yautzong; Yang, XiaoMin; Lee, Kim Y; Kuo, David S; Xiao, Shuaigang; Russell, Thomas P
2015-08-05
Low molecular weight P2VP-b-PS-b-P2VP triblock copolymer (poly(2-vinlypyridine)-block-polystyrene-block-poly(2-vinylpyridine)] is doped with copper chloride and microphase separated into lamellar line patterns with ultrahigh area density. Salt-doped P2VP-b-PS-b-P2VP triblock copolymer is self-assembled on the top of the nanoimprinted photoresist template, and metallic nanowires with long-range ordering are prepared with platinum-salt infiltration and plasma etching. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
NASA Astrophysics Data System (ADS)
Wirthmann, André; McCombe, Bruce D.; Heitmann, Detlef; Holland, Steffen; Friedland, Klaus-Jürgen; Hu, Can-Ming
2007-11-01
We report on photoresistance and magnetotransport measurements in a moderate mobility two-dimensional electron system subject to far-infrared (terahertz) radiation. The photoresistance shows radiation induced 1/B -periodic oscillations, which we identify as the terahertz analog of microwave-induced resistance oscillations (MIROs). The MIRO-analog oscillations show a sign reversal in the low-field, high current regime. We simultaneously observe magnetoplasmons and MIRO-analog oscillations with no apparent coupling between them. Using a meandering Hall-bar geometry allows us to greatly enhance sensitivity and detect these oscillations even at elevated temperatures and moderate mobilities.
Amako, Jun; Shinozaki, Yu
2016-07-11
We report on a dual-wavelength diffractive beam splitter designed for use in parallel laser processing. This novel optical element generates two beam arrays of different wavelengths and allows their overlap at the process points on a workpiece. To design the deep surface-relief profile of a splitter using a simulated annealing algorithm, we introduce a heuristic but practical scheme to determine the maximum depth and the number of quantization levels. The designed corrugations were fabricated in a photoresist by maskless grayscale exposure using a high-resolution spatial light modulator. We characterized the photoresist splitter, thereby validating the proposed beam-splitting concept.
Topography printing to locally control wettability.
Zheng, Zijian; Azzaroni, Omar; Zhou, Feng; Huck, Wilhelm T S
2006-06-21
This paper reports a new patterning method, which utilizes NaOH to facilitate the irreversible binding between the PDMS stamp and substrates and subsequent cohesive mechanical failure to transfer the PDMS patterns. Our method shows high substrate tolerance and can be used to "print" various PDMS geometries on a wide range of surfaces, including Si100, glass, gold, polymers, and patterned SU8 photoresist. Using this technique, we are able to locally change the wettability of substrate surfaces by printing well-defined PDMS architectures on the patterned SU8 photoresist. It is possible to generate differential wetting and dewetting properties in microchannels and in the PDMS printed area, respectively.
Simultaneous effects of photo- and radio- darkening in ytterbium-doped aluminosilicate fibers
DOE Office of Scientific and Technical Information (OSTI.GOV)
Duchez, Jean-Bernard, E-mail: jbduchez@unice.fr; Mady, Franck, E-mail: jbduchez@unice.fr; Mebrouk, Yasmine, E-mail: jbduchez@unice.fr
2014-10-21
We present original characterizations of photo-radio-darkening in ytterbium-doped silica optical fibers submitted to the simultaneous action of the pump and of an ionizing radiation. We present the interplay between both radiations, showing e.g. that the pump is able to darken or bleach the fiber depending on the ionizing dose. The photo-resistance of the fiber is shown to play a crucial role on its radio-resistance, and that photo-resistant fibers should be also radio-resistant in low dose rate conditions. All the results are thoroughly explained by a physical model presented in a separate article by Mady et al. (this conference proceeding)
Design, synthesis, and characterization of fluorine-free PAGs for 193-nm lithography
NASA Astrophysics Data System (ADS)
Liu, Sen; Glodde, Martin; Varanasi, Pushkara R.
2010-04-01
Photoacid generators (PAGs) are a key component in chemically amplified resists used in photolithography. Perfluorooctanesulfonates (PFOS) and other perfluoroalkylsulfonates (PFAS) have been well adopted as PAGs in 193 nm photoresist. Recently, concerns have been raised about their environmental impact due to their chemical persistency, bioaccumulation and toxicity. It is a general interest to find environmentally benign PAGs that are free of fluorine atoms. Here we describe the design, synthesis and characterization of a series of novel fluorine-free onium salts as PAGs for 193 nm photoresists. These PAGs demonstrated desirable physical and lithography properties when compared with PFAS-based PAGs for both dry and immersion exposures.
Williams, Calum; Bartholomew, Richard; Rughoobur, Girish; Gordon, George S D; Flewitt, Andrew J; Wilkinson, Timothy D
2016-12-02
High-energy electron beam lithography for patterning nanostructures on insulating substrates can be challenging. For high resolution, conventional resists require large exposure doses and for reasonable throughput, using typical beam currents leads to charge dissipation problems. Here, we use UV1116 photoresist (Dow Chemical Company), designed for photolithographic technologies, with a relatively low area dose at a standard operating current (80 kV, 40-50 μC cm -2 , 1 nAs -1 ) to pattern over large areas on commercially coated ITO-glass cover slips. The minimum linewidth fabricated was ∼33 nm with 80 nm spacing; for isolated structures, ∼45 nm structural width with 50 nm separation. Due to the low beam dose, and nA current, throughput is high. This work highlights the use of UV1116 photoresist as an alternative to conventional e-beam resists on insulating substrates. To evaluate suitability, we fabricate a range of transmissive optical devices, that could find application for customized wire-grid polarisers and spectral filters for imaging, which operate based on the excitation of surface plasmon polaritons in nanosized geometries, with arrays encompassing areas ∼0.25 cm 2 .
100-nm gate lithography for double-gate transistors
NASA Astrophysics Data System (ADS)
Krasnoperova, Azalia A.; Zhang, Ying; Babich, Inna V.; Treichler, John; Yoon, Jung H.; Guarini, Kathryn; Solomon, Paul M.
2001-09-01
The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.
NASA Astrophysics Data System (ADS)
Williams, Calum; Bartholomew, Richard; Rughoobur, Girish; Gordon, George S. D.; Flewitt, Andrew J.; Wilkinson, Timothy D.
2016-12-01
High-energy electron beam lithography for patterning nanostructures on insulating substrates can be challenging. For high resolution, conventional resists require large exposure doses and for reasonable throughput, using typical beam currents leads to charge dissipation problems. Here, we use UV1116 photoresist (Dow Chemical Company), designed for photolithographic technologies, with a relatively low area dose at a standard operating current (80 kV, 40-50 μC cm-2, 1 nAs-1) to pattern over large areas on commercially coated ITO-glass cover slips. The minimum linewidth fabricated was ˜33 nm with 80 nm spacing; for isolated structures, ˜45 nm structural width with 50 nm separation. Due to the low beam dose, and nA current, throughput is high. This work highlights the use of UV1116 photoresist as an alternative to conventional e-beam resists on insulating substrates. To evaluate suitability, we fabricate a range of transmissive optical devices, that could find application for customized wire-grid polarisers and spectral filters for imaging, which operate based on the excitation of surface plasmon polaritons in nanosized geometries, with arrays encompassing areas ˜0.25 cm2.
Ghosh, Subrata; Satyanarayana, V. S. V.; Pramanick, Bulti; Sharma, Satinder K.; Pradeep, Chullikkattil P.; Morales-Reyes, Israel; Batina, Nikola; Gonsalves, Kenneth E.
2016-01-01
Given the importance of complex nanofeatures in the filed of micro-/nanoelectronics particularly in the area of high-density magnetic recording, photonic crystals, information storage, micro-lens arrays, tissue engineering and catalysis, the present work demonstrates the development of new methodology for patterning complex nanofeatures using a recently developed non-chemically amplified photoresist (n-CARs) poly(4-(methacryloyloxy)phenyl)dimethylsulfoniumtriflate) (polyMAPDST) with the help of extreme ultraviolet lithography (EUVL) as patterning tool. The photosensitivity of polyMAPDST is mainly due to the presence of radiation sensitive trifluoromethanesulfonate unit (triflate group) which undergoes photodegradation upon exposure with EUV photons, and thus brings in polarity change in the polymer structure. Integration of such radiation sensitive unit into polymer network avoids the need of chemical amplification which is otherwise needed for polarity switching in the case of chemically amplified photoresists (CARs). Indeed, we successfully patterned highly ordered wide-raging dense nanofeatures that include nanodots, nanowaves, nanoboats, star-elbow etc. All these developed nanopatterns have been well characterized by FESEM and AFM techniques. Finally, the potential of polyMAPDST has been established by successful transfer of patterns into silicon substrate through adaptation of compatible etch recipes. PMID:26975782
Reinhardt, Hendrik; Peschke, Patrick; Riedel, René; Hampp, Norbert
2018-07-27
Laser-induced periodic surface structures (LIPSS) with a periodicity of 351 nm are generated in the negative photoresist SU8 by single nanosecond laser pulse impact. Friction scans indicate the periodic pattern to comprise alternating regions of crosslinked and non-crosslinked SU8. Intriguingly, even minor mechanical stimuli in the order of nanonewtons cause the unfolding or rather the deletion of the characteristic periodic pattern similarly to the release of a pre-loaded spring. This feature combined with high resilience to heat and photon irradiation makes SU8-LIPSS attractive for applications such as mechanical stress monitors, self-destructing memory and passive micro actuators.
Microwave-Assisted Syntheses in Recyclable Ionic Liquids: Photoresists Based on Renewable Resources
Petit, Charlotte; Luef, Klaus P; Edler, Matthias; Griesser, Thomas; Kremsner, Jennifer M; Stadler, Alexander; Grassl, Bruno; Reynaud, Stéphanie; Wiesbrock, Frank
2015-01-01
The copoly(2-oxazoline) pNonOx80-stat-pDc=Ox20 can be synthesized from the cationic ring-opening copolymerization of 2-nonyl-2-oxazoline NonOx and 2-dec-9′-enyl-2-oxazoline Dc=Ox in the ionic liquid n-hexyl methylimidazolium tetrafluoroborate under microwave irradiation in 250 g/batch quantities. The polymer precipitates upon cooling, enabling easy recovery of the polymer and the ionic liquid. Both monomers can be obtained from fatty acids from renewable resources. pNonOx80-stat-pDc=Ox20 can be used as polymer in a photoresist (resolution of 1 μm) based on UV-induced thiol–ene reactions. PMID:26354027
NASA Astrophysics Data System (ADS)
Reinhardt, Hendrik; Peschke, Patrick; Riedel, René; Hampp, Norbert
2018-07-01
Laser-induced periodic surface structures (LIPSS) with a periodicity of 351 nm are generated in the negative photoresist SU8 by single nanosecond laser pulse impact. Friction scans indicate the periodic pattern to comprise alternating regions of crosslinked and non-crosslinked SU8. Intriguingly, even minor mechanical stimuli in the order of nanonewtons cause the unfolding or rather the deletion of the characteristic periodic pattern similarly to the release of a pre-loaded spring. This feature combined with high resilience to heat and photon irradiation makes SU8-LIPSS attractive for applications such as mechanical stress monitors, self-destructing memory and passive micro actuators.
Invisible Security Printing on Photoresist Polymer Readable by Terahertz Spectroscopy.
Shin, Hee Jun; Lim, Min-Cheol; Park, Kisang; Kim, Sae-Hyung; Choi, Sung-Wook; Ok, Gyeongsik
2017-12-06
We experimentally modulate the refractive index and the absorption coefficient of an SU-8 dry film in the terahertz region by UV light (362 nm) exposure with time dependency. Consequently, the refractive index of SU-8 film is increased by approximately 6% after UV light exposure. Moreover, the absorption coefficient also changes significantly. Using the reflective terahertz imaging technique, in addition, we can read security information printed by UV treatment on an SU-8 film that is transparent in the visible spectrum. From these results, we successfully demonstrate security printing and reading by using photoresist materials and the terahertz technique. This investigation would provide a new insight into anti-counterfeiting applications in fields that need security.
NASA Astrophysics Data System (ADS)
Kado, Masataka; Richardson, Martin C.; Gaebel, Kai; Torres, David S.; Rajyaguru, Jayshree; Muszynski, Michael J.
1995-09-01
X-ray images of the various live bacteria, such as Staphylococcus and Streptococcus, and micromolecule such as chromosomal DNA from Escherichis coli, and Lipopolysacchride from Burkholderia cepacia, are obtained with soft x-ray contact microscopy. A compact tabletop type glass laser system is used to produce x-rays from Al, Si, and Au targets. The PMMA photoresists are used to record x-ray images. An AFM (atomic force microscope) is used to reproduce the x-ray images from the developed photoresists. The performance of the 50nm spatial resolutions are achieved and images are able to be discussed on the biological view.
Non-ARC solution to metal reflective notching: its evaluation and selection
NASA Astrophysics Data System (ADS)
Buffat, Stephen J.
1997-07-01
Patterning photoresists on reflective topography such as aluminum is one of the more difficult problems in device manufacturing. Interference effects caused by reflected light from the substrate/photoresist interface and surface topography result in coupling of additional energy into the film. This leads to linewidth variation known as reflective notching which severely impacts process latitude and increases critical dimension variation. For many years, suppliers approached the problem by adding dyes that absorb in the actinic region to create a larger non-bleachable absorption. In recent years, strongly absorbing intermediate layers or ARC's, both organic and inorganic, have seen widespread implementation to control reflective notching. However, if a fab is not equipped to accommodate the required ARC process, the processing can be very time consuming, cumbersome and costly. This study was undertaken to determine if a non-ARC, i-line photoresist process could be developed to reduce or eliminate aluminum reflective notching and accompanying critical dimension variation. This study was designed to screen, identify, and characterize various resist chemistries. Based on the screening characterization, a final, cost effective resist chemistry without ARC was selected, fully characterized and transferred into production. The selected material is currently being used in a high volume 0.60 micrometers CMOS, 200 mm wafer manufacturing process.
Computational approach on PEB process in EUV resist: multi-scale simulation
NASA Astrophysics Data System (ADS)
Kim, Muyoung; Moon, Junghwan; Choi, Joonmyung; Lee, Byunghoon; Jeong, Changyoung; Kim, Heebom; Cho, Maenghyo
2017-03-01
For decades, downsizing has been a key issue for high performance and low cost of semiconductor, and extreme ultraviolet lithography is one of the promising candidates to achieve the goal. As a predominant process in extreme ultraviolet lithography on determining resolution and sensitivity, post exposure bake has been mainly studied by experimental groups, but development of its photoresist is at the breaking point because of the lack of unveiled mechanism during the process. Herein, we provide theoretical approach to investigate underlying mechanism on the post exposure bake process in chemically amplified resist, and it covers three important reactions during the process: acid generation by photo-acid generator dissociation, acid diffusion, and deprotection. Density functional theory calculation (quantum mechanical simulation) was conducted to quantitatively predict activation energy and probability of the chemical reactions, and they were applied to molecular dynamics simulation for constructing reliable computational model. Then, overall chemical reactions were simulated in the molecular dynamics unit cell, and final configuration of the photoresist was used to predict the line edge roughness. The presented multiscale model unifies the phenomena of both quantum and atomic scales during the post exposure bake process, and it will be helpful to understand critical factors affecting the performance of the resulting photoresist and design the next-generation material.
Adaptive wettability-enhanced surfaces ordered on molded etched substrates using shrink film
NASA Astrophysics Data System (ADS)
Jayadev, Shreshta; Pegan, Jonathan; Dyer, David; McLane, Jolie; Lim, Jessica; Khine, Michelle
2013-01-01
Superhydrophobic surfaces in nature exhibit desirable properties including self-cleaning, bacterial resistance, and flight efficiency. However, creating such intricate multi-scale features with conventional fabrication approaches is difficult, expensive, and not scalable. By patterning photoresist on pre-stressed shrink-wrap film, which contracts by 95% in surface area when heated, such features over large areas can be obtained easily. Photoresist serves as a dry etch mask to create complex and high-aspect ratio microstructures in the film. Using a double-shrink process, we introduce adaptive wettability-enhanced surfaces ordered on molded etched (AWESOME) substrates. We first create a mask out of the children’s toy ‘Shrinky-Dinks’ by printing dots using a laserjet printer. Heating this thermoplastic sheet causes the printed dots to shrink to a fraction of their original size. We then lithographically transfer the inverse pattern onto photoresist-coated shrink-wrap polyolefin film. The film is then plasma etched. After shrinking, the film serves as a high-aspect ratio mold for polydimethylsiloxane, creating a superhydrophobic surface with water contact angles >150° and sliding angles <10°. We pattern a microarray of ‘sticky’ spots with a dramatically different sliding angle compared to that of the superhydrophobic region, enabling microtiter-plate type assays without the need for a well plate.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Au, Thi Huong; Trinh, Duc Thien; Tong, Quang Cong
Here, we report on the fabrication of desired magneto-photonic devices by a low one-photon absorption (LOPA) direct laser writing (DLW) technique on a photocurable nanocomposite consisting of magnetite ( Fe 3O 4) nanoparticles and a commercial SU-8 photoresist. The magnetic nanocomposite was synthesized by mixing Fe 3O 4 nanoparticles with different kinds of SU-8 photoresists. We demonstrated that the degree of dispersion of Fe 3O 4 nanoparticles in the nanocomposite depended on the concentration of Fe 3O 4 nanoparticles, the viscosity of SU-8 resist, and the mixing time. By tuning these parameters, the most homogeneous magnetic nanocomposite was obtained withmore » a concentration of about 2 wt % of Fe 3O 4 nanoparticles in SU-8 2005 photoresist for the mixing time of 20 days. The LOPA-based DLW technique was employed to fabricate on demand various magneto-photonic submicrometer structures, which are similar to those obtained without Fe 3O 4 nanoparticles. The magneto-photonic 2D and 3D structures with sizes as small as 150 nm were created. Finally, we demonstrated the strong magnetic field responses of the magneto-photonic nanostructures and their use as micro-actuators when immersed in a liquid solution. View Full-Text« less
Au, Thi Huong; Trinh, Duc Thien; Tong, Quang Cong; Do, Danh Bich; Nguyen, Dang Phu; Phan, Manh-Huong; Lai, Ngoc Diep
2017-01-01
We report on the fabrication of desired magneto-photonic devices by a low one-photon absorption (LOPA) direct laser writing (DLW) technique on a photocurable nanocomposite consisting of magnetite (Fe3O4) nanoparticles and a commercial SU-8 photoresist. The magnetic nanocomposite was synthesized by mixing Fe3O4 nanoparticles with different kinds of SU-8 photoresists. We demonstrated that the degree of dispersion of Fe3O4 nanoparticles in the nanocomposite depended on the concentration of Fe3O4 nanoparticles, the viscosity of SU-8 resist, and the mixing time. By tuning these parameters, the most homogeneous magnetic nanocomposite was obtained with a concentration of about 2 wt % of Fe3O4 nanoparticles in SU-8 2005 photoresist for the mixing time of 20 days. The LOPA-based DLW technique was employed to fabricate on demand various magneto-photonic submicrometer structures, which are similar to those obtained without Fe3O4 nanoparticles. The magneto-photonic 2D and 3D structures with sizes as small as 150 nm were created. We demonstrated the strong magnetic field responses of the magneto-photonic nanostructures and their use as micro-actuators when immersed in a liquid solution. PMID:28486409
CD uniformity control for thick resist process
NASA Astrophysics Data System (ADS)
Huang, Chi-hao; Liu, Yu-Lin; Wang, Weihung; Yang, Mars; Yang, Elvis; Yang, T. H.; Chen, K. C.
2017-03-01
In order to meet the increasing storage capacity demand and reduce bit cost of NAND flash memories, 3D stacked flash cell array has been proposed. In constructing 3D NAND flash memories, the higher bit number per area is achieved by increasing the number of stacked layers. Thus the so-called "staircase" patterning to form electrical connection between memory cells and word lines has become one of the primarily critical processes in 3D memory manufacture. To provide controllable critical dimension (CD) with good uniformity involving thick photo-resist has also been of particular concern for staircase patterning. The CD uniformity control has been widely investigated with relatively thinner resist associated with resolution limit dimension but thick resist coupling with wider dimension. This study explores CD uniformity control associated with thick photo-resist processing. Several critical parameters including exposure focus, exposure dose, baking condition, pattern size and development recipe, were found to strongly correlate with the thick photo-resist profile accordingly affecting the CD uniformity control. To minimize the within-wafer CD variation, the slightly tapered resist profile is proposed through well tailoring the exposure focus and dose together with optimal development recipe. Great improvements on DCD (ADI CD) and ECD (AEI CD) uniformity as well as line edge roughness were achieved through the optimization of photo resist profile.
Au, Thi Huong; Trinh, Duc Thien; Tong, Quang Cong; ...
2017-05-09
Here, we report on the fabrication of desired magneto-photonic devices by a low one-photon absorption (LOPA) direct laser writing (DLW) technique on a photocurable nanocomposite consisting of magnetite ( Fe 3O 4) nanoparticles and a commercial SU-8 photoresist. The magnetic nanocomposite was synthesized by mixing Fe 3O 4 nanoparticles with different kinds of SU-8 photoresists. We demonstrated that the degree of dispersion of Fe 3O 4 nanoparticles in the nanocomposite depended on the concentration of Fe 3O 4 nanoparticles, the viscosity of SU-8 resist, and the mixing time. By tuning these parameters, the most homogeneous magnetic nanocomposite was obtained withmore » a concentration of about 2 wt % of Fe 3O 4 nanoparticles in SU-8 2005 photoresist for the mixing time of 20 days. The LOPA-based DLW technique was employed to fabricate on demand various magneto-photonic submicrometer structures, which are similar to those obtained without Fe 3O 4 nanoparticles. The magneto-photonic 2D and 3D structures with sizes as small as 150 nm were created. Finally, we demonstrated the strong magnetic field responses of the magneto-photonic nanostructures and their use as micro-actuators when immersed in a liquid solution. View Full-Text« less
Grayscale lithography-automated mask generation for complex three-dimensional topography
NASA Astrophysics Data System (ADS)
Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.
2016-01-01
Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Xavier, Jolly, E-mail: jolly.xavierp@physics.iitd.ac.in; Joseph, Joby, E-mail: joby@physics.iitd.ac.in
2014-02-24
We report sculptured diverse photonic lattices simultaneously embedded with intrinsic defects of tunable type, number, shape as well as position by a single-step dynamically reconfigurable fabrication approach based on a programmable phase spatial light modulator-assisted interference lithography. The presented results on controlled formation of intrinsic defects in periodic as well as transversely quasicrystallographic lattices, irrespective and independent of their designed lattice geometry, portray the flexibility and versatility of the approach. The defect-formation in photonic lattices is also experimentally analyzed. Further, we also demonstrate the feasibility of fabrication of such defects-embedded photonic lattices in a photoresist, aiming concrete integrated photonic applications.
Characterization of a Newly Developed Contrast Enhancement Material for G-line Exposure
NASA Astrophysics Data System (ADS)
Nakase, Makoto; Niki, Hirokazu; Satoh, Takashi; Kumagae, Akitoshi
1987-02-01
The bleaching characteristics for a contrast enhancement layer (CEL) material were succesfully described by parameters A, B and C; these were used for the modeling of a positive photoresist exposure. As a result, it was clarified that both the A and C values should be large, but the B value must be as small as possible. According to the obtained information, a new CEL material was proposed, which consists of the diazonium compound and the alkyl modified phenol resin. Using the composed CEL material, a submicron resist pattern with a steep profile was obtained. Furthermore, it was found that the development latitude increases, but that the exposure latitude does not change upon using the CEL.
Thick resist for MEMS processing
NASA Astrophysics Data System (ADS)
Brown, Joe; Hamel, Clifford
2001-11-01
The need for technical innovation is always present in today's economy. Microfabrication methods have evolved in support of the demand for smaller and faster integrated circuits with price performance improvements always in the scope of the manufacturing design engineer. The dispersion of processing technology spans well beyond IC fabrication today with batch fabrication and wafer scale processing lending advantages to MEMES applications from biotechnology to consumer electronics from oil exploration to aerospace. Today the demand for innovative processing techniques that enable technology is apparent where only a few years ago appeared too costly or not reliable. In high volume applications where yield and cost improvements are measured in fractions of a percent it is imperative to have process technologies that produce consistent results. Only a few years ago thick resist coatings were limited to thickness less than 20 microns. Factors such as uniformity, edge bead and multiple coatings made high volume production impossible. New developments in photoresist formulation combined with advanced coating equipment techniques that closely controls process parameters have enable thick photoresist coatings of 70 microns with acceptable uniformity and edge bead in one pass. Packaging of microelectronic and micromechanical devices is often a significant cost factor and a reliability issue for high volume low cost production. Technologies such as flip- chip assembly provide a solution for cost and reliability improvements over wire bond techniques. The processing for such technology demands dimensional control and presents a significant cost savings if it were compatible with mainstream technologies. Thick photoresist layers, with good sidewall control would allow wafer-bumping technologies to penetrate the barriers to yield and production where costs for technology are the overriding issue. Single pass processing is paramount to the manufacturability of packaging technology. Uniformity and edge bead control defined the success of process implementation. Today advanced packaging solutions are created with thick photoresist coatings. The techniques and results will be presented.
NASA Astrophysics Data System (ADS)
Williams, Henry E.; Diaz, Carlos; Padilla, Gabriel; Hernandez, Florencio E.; Kuebler, Stephen M.
2017-06-01
Multiphoton lithography (MPL), Z-scan spectroscopy, and quantum chemical calculations were employed to investigate the order of multiphoton excitation that occurs when femtosecond laser pulses are used to excite two sulfonium photo-acid generators (PAGs) commonly used in photoresists based on the cross-linkable epoxide SU-8. The mole-fractions of the mono- and bis-sulfonium forms of these PAGs were determined for the commercially available photoresist SU-8 2075 and for the PAGs alone from a separate source. Both were found to contain similar fractions of the mono- and bis-forms, with the mono form present in the majority. Reichert's method was used to determine the solvatochromic strength of the SU-8 matrix, so that results obtained for the PAGs in SU-8 and in solution could be reliably compared. The PAGs were found to exhibit a minimal solvatochromic shift for a series of solvents that span across the solvatochromic strength of SU-8 itself. Sub-micron-sized features were fabricated in SU-8 2075 by MPL using amplified and continuous-wave mode-locked laser pulses. Analysis of the features as a function of average laser power, scan speed, and excitation wavelength shows that the PAGs can be activated by both two- and three-photon absorption (2PA and 3PA). Which activation mode dominates depends principally upon the excitation wavelength because the average laser powers that can be used with the photoresist are limited by practical considerations. The power must be high enough to effect sufficient cross-linking, yet not so high as to exceed the damage threshold of the material. When the laser pulses have a duration on the order of 100 fs, 3PA dominates at wavelengths near 800 nm, whereas 2PA becomes dominant at wavelengths below 700 nm. These findings are corroborated by open-aperture Z-scan measurements and quantum chemical calculations of the cross-sections for 2PA and 3PA as a function of wavelength.
Fabricating Blazed Diffraction Gratings by X-Ray Lithography
NASA Technical Reports Server (NTRS)
Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel
2004-01-01
Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the nonlinearity and produce a desired groove profile. An example of grating grooves generated by this technique is shown in Figure 2. A maximum relative efficiency of 88 percent has been demonstrated.
Gap Fill Materials Using Cyclodextrin Derivatives in ArF Lithography
NASA Astrophysics Data System (ADS)
Takei, Satoshi; Shinjo, Tetsuya; Sakaida, Yasushi; Hashimoto, Keisuke
2007-11-01
High planarizing gap fill materials based on β-cyclodextrin in ArF photoresist under-layer materials have been developed for fast etching in CF4 gas. Gap fill materials used in the via-first dual damascene process need to have high etch rates to prevent crowning or fencing on top of the trench after etching and a small thickness bias between the dense and blanket areas to minimize issues observed during trench lithography by narrowing the process latitude. Cyclodextrin is a circular oligomer with a nanoscale porous structure that has a high number of oxygen atoms, as calculated using the Ohnishi parameter, providing high etch rates. Additionally, since gap fill materials using cyclodextrin derivatives have low viscosities and molecular weights, they are expected to exhibit excellent flow properties and minimal thermal shrinkage during baking. In this paper, we describe the composition and basic film properties of gap fill materials; planarization in the via-first dual damascene process and etch rates in CF4 gas compared with dextrin with α-glycoside bonds in polysaccharide, poly(2-hydroxypropyl methacrylate) and poly(4-hydroxystyrene). The β-cyclodextrin used in this study was obtained by esterifying the hydroxyl groups of dextrin resulting in improved wettability on via substrates and solubility in photoresist solvents such as propylene glycol monomethyl ether, propylene glycol monomethyl ether acetate and ethyl lactate. Gap fill materials using cyclodextrin derivatives showed good planarization and via filling performance without observing voids in via holes. In addition to superior via filling performance, the etch rate of gap fill materials using β-cyclodextrin derivatives was 2.8-2.9 times higher than that of an ArF photoresist, evaluated under CF4 gas conditions by reactive ion etching. These results were attributed to the combination of both nanoscale porous structures and a high density of oxygen atoms in our gap fill materials using cyclodextrin derivatives. The cyclodextrin derivatives may be applicable as a new type of sacrificial material under the photoresist in ArF lithography.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Saha, Sourabh K.; Oakdale, James S.; Cuadra, Jefferson A.
Two-photon lithography (TPL) is a high-resolution additive manufacturing (AM) technique capable of producing arbitrarily complex three-dimensional (3D) microstructures with features 2–3 orders of magnitude finer than human hair. This process finds numerous applications as a direct route toward the fabrication of novel optical and mechanical metamaterials, miniaturized optics, microfluidics, biological scaffolds, and various other intricate 3D parts. As TPL matures, metrology and inspection become a crucial step in the manufacturing process to ensure that the geometric form of the end product meets design specifications. X-ray-based computed tomography (CT) is a nondestructive technique that can provide this inspection capability for themore » evaluation of complex internal 3D structure. However, polymeric photoresists commonly used for TPL, as well as other forms of stereolithography, poorly attenuate X-rays due to the low atomic number (Z) of their constituent elements and therefore appear relatively transparent during imaging. We present the development of optically clear yet radiopaque photoresists for enhanced contrast under X-ray CT. We have synthesized iodinated acrylate monomers to formulate high-Z photoresist materials that are capable of forming 3D microstructures with sub-150 nm features. In addition, we have developed a formulation protocol to match the refractive index of the photoresists to the immersion medium of the objective lens so as to enable dip-in laser lithography, a direct laser writing technique for producing millimeter-tall structures. Our radiopaque photopolymer then resists increase X-ray attenuation by a factor of more than 10 times without sacrificing the sub-150 nm feature resolution or the millimeter-scale part height. Thus, our resists can successfully replace existing photopolymers to generate AM parts that are suitable for inspection via X-ray CT. By providing the “feedstock” for radiopaque AM parts, our resist formulation is expected to play a critical role in enabling fabrication of functional polymer parts to tight design tolerances.« less
Saha, Sourabh K.; Oakdale, James S.; Cuadra, Jefferson A.; ...
2017-11-24
Two-photon lithography (TPL) is a high-resolution additive manufacturing (AM) technique capable of producing arbitrarily complex three-dimensional (3D) microstructures with features 2–3 orders of magnitude finer than human hair. This process finds numerous applications as a direct route toward the fabrication of novel optical and mechanical metamaterials, miniaturized optics, microfluidics, biological scaffolds, and various other intricate 3D parts. As TPL matures, metrology and inspection become a crucial step in the manufacturing process to ensure that the geometric form of the end product meets design specifications. X-ray-based computed tomography (CT) is a nondestructive technique that can provide this inspection capability for themore » evaluation of complex internal 3D structure. However, polymeric photoresists commonly used for TPL, as well as other forms of stereolithography, poorly attenuate X-rays due to the low atomic number (Z) of their constituent elements and therefore appear relatively transparent during imaging. We present the development of optically clear yet radiopaque photoresists for enhanced contrast under X-ray CT. We have synthesized iodinated acrylate monomers to formulate high-Z photoresist materials that are capable of forming 3D microstructures with sub-150 nm features. In addition, we have developed a formulation protocol to match the refractive index of the photoresists to the immersion medium of the objective lens so as to enable dip-in laser lithography, a direct laser writing technique for producing millimeter-tall structures. Our radiopaque photopolymer then resists increase X-ray attenuation by a factor of more than 10 times without sacrificing the sub-150 nm feature resolution or the millimeter-scale part height. Thus, our resists can successfully replace existing photopolymers to generate AM parts that are suitable for inspection via X-ray CT. By providing the “feedstock” for radiopaque AM parts, our resist formulation is expected to play a critical role in enabling fabrication of functional polymer parts to tight design tolerances.« less
Positive and negative ZnO micropatterning on functionalized polymer surfaces.
Yang, Peng; Zou, Shengli; Yang, Wantai
2008-09-01
Patterned ZnO deposition on substrates has received increasing attention because of its great potential in photocatalysis, energy conversion, and electro-optical techniques. Chemical solution growth is especially promising for organic substrates due to its very mild reaction conditions. Here this method is used on functionality-patterned polymer surfaces in order to fabricate positive and negative ZnO micropatterns. A ZnO film made of arrayed rods, typically 500-750 nm in diameter and 2.5 microm in length, is selectively obtained on sulfated and hydroxylated regions of biaxially oriented poly(propylene), giving rise to positive patterns. For reactive polyesters such as poly(ethylene terephthalate), the ZnO rods selectively remain on the unmodified original regions, creating negative patterns. Unlike complex photolithography procedures, the irradiation and patterning processes do not require the use of positive or negative photoresists, and possible damage from acidic solutions on the underlying substrate during the chemical etching process is avoided. The process thus proves to be a simple, creditable, and low-cost method, which could be easily applied on a variety of inert and reactive polymer surfaces.
Bourke, Levi; Blaikie, Richard J
2017-12-01
Dielectric waveguide resonant underlayers are employed in ultra-high NA interference photolithography to effectively double the depth of field. Generally a single high refractive index waveguiding layer is employed. Here multilayer Herpin effective medium methods are explored to develop equivalent multilayer waveguiding layers. Herpin equivalent resonant underlayers are shown to be suitable replacements provided at least one layer within the Herpin trilayer supports propagating fields. In addition, a method of increasing the intensity incident upon the photoresist using resonant overlayers is also developed. This method is shown to greatly enhance the intensity within the photoresist making the use of thicker, safer, non-absorbing, low refractive index matching liquids potentially suitable for large-scale applications.
Patterning of oxide-hardened gold black by photolithography and metal lift-off
NASA Astrophysics Data System (ADS)
Panjwani, Deep; Yesiltas, Mehmet; Nath, Janardan; Maukonen, D. E.; Rezadad, Imen; Smith, Evan M.; Peale, R. E.; Hirschmugl, Carol; Sedlmair, Julia; Wehlitz, Ralf; Unger, Miriam; Boreman, Glenn
2014-01-01
A method to pattern infrared-absorbing gold black by conventional photolithography and lift-off is described. A photo-resist pattern is developed on a substrate by standard photolithography. Gold black is deposited over the whole by thermal evaporation in an inert gas at ˜1 Torr. SiO2 is then deposited as a protection layer by electron beam evaporation. Lift-off proceeds by dissolving the photoresist in acetone. The resulting sub-millimeter size gold black patterns that remain on the substrate retain high infrared absorption out to ˜5 μm wavelength and exhibit good mechanical stability. This technique allows selective application of gold black coatings to the pixels of thermal infrared imaging array detectors.
Nanostructures and functional materials fabricated by interferometric lithography.
Xia, Deying; Ku, Zahyun; Lee, S C; Brueck, S R J
2011-01-11
Interferometric lithography (IL) is a powerful technique for the definition of large-area, nanometer-scale, periodically patterned structures. Patterns are recorded in a light-sensitive medium, such as a photoresist, that responds nonlinearly to the intensity distribution associated with the interference of two or more coherent beams of light. The photoresist patterns produced with IL are a platform for further fabrication of nanostructures and growth of functional materials and are building blocks for devices. This article provides a brief review of IL technologies and focuses on various applications for nanostructures and functional materials based on IL including directed self-assembly of colloidal nanoparticles, nanophotonics, semiconductor materials growth, and nanofluidic devices. Perspectives on future directions for IL and emerging applications in other fields are presented.
Embedded top-coat for reducing the effect out of band radiation in EUV lithography
NASA Astrophysics Data System (ADS)
Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew
2017-03-01
Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure
Poly(silyl silane) homo and copolymers
Zeigler, J.K.
1991-08-13
Poly(silyl silanes) have been prepared. They have high photosensitivity and excellent resistance to oxygen-reactive ion etching processes. They are useful as photodepolymerizable photoresists, barrier layers, etc.
NASA Technical Reports Server (NTRS)
Masnovi, John; Bu, Xin Y.; Beyene, Kassahun; Heimann, Paula; Kacik, Terrence; Andrist, A. Harry; Hurwitz, Frances I.
1993-01-01
Vinylsilane polymerizes to form predominantly a carbosilane polymer using dimethyltitanocene catalyst. This is in contrast to alkylsilanes, which afford polysilanes under the same conditions. The mechanism of polymerization of alkenylsilanes has been shown to be fundamentally different from that for the polymerization of alkylsilanes. The silyl substitute apparently activates a double bond to participate in a number of polymerization processes in this system, particularly hydrosilation. Isotopic labeling indicates the involvement of silametallocyclic intermediates, accompanied by extensive nuclear rearrangement. Polymers and copolymers derived from alkenylsilanes have relatively high char yields even for conditions which afford low molecular weight distributions. Formation of crystalline beta-SiC is optimum for a copolymer of an alkylsilane and an alkenylsilane having a silane/carbosilane backbone ratio of 85/15 and a C/Si ratio of 1.3/1.
NASA Astrophysics Data System (ADS)
Kim, Bong-Hwan; Kim, Jong-Bok
2009-06-01
We have developed a microfabrication process for high aspect ratio thick silicon wafer molds and electroplating using flipchip bonding with THB 151N negative photoresist (JSR micro). This fabrication technique includes large area and high thickness silicon wafer mold electroplating. The process consists of silicon deep reactive ion etching (RIE) of the silicon wafer mold, photoresist bonding between the silicon mold and the substrate, nickel electroplating and a silicon removal process. High thickness silicon wafer molds were made by deep RIE and flipchip bonding. In addition, nickel electroplating was developed. Dry film resist (ORDYL MP112, TOK) and thick negative-tone photoresist (THB 151N, JSR micro) were used as bonding materials. In order to measure the bonding strength, the surface energy was calculated using a blade test. The surface energy of the bonding wafers was found to be 0.36-25.49 J m-2 at 60-180 °C for the dry film resist and 0.4-1.9 J m-2 for THB 151N in the same temperature range. Even though ORDYL MP112 has a better value of surface energy than THB 151N, it has a critical disadvantage when it comes to removing residue after electroplating. The proposed process can be applied to high aspect ratio MEMS structures, such as air gap inductors or vertical MEMS probe tips.
Poly(silyl silane)homo and copolymers
Zeigler, J.M.
1989-04-11
Poly(silyl silanes) have been prepared. They have high photosensitivity and show excellent resistance to oxygen-reactive ion etching processes. They are useful as photodepolymerizable photoresists, barrier layers, etc.
Luminal surface fabrication for cardiovascular prostheses
NASA Technical Reports Server (NTRS)
Deininger, William D. (Inventor); Gabriel, Stephen B. (Inventor)
1988-01-01
A method is provided for forming a mold surface with microscopic upstanding pillars for molding the inside surface of a vascular prostheses (synthetic blood vessel). The mold article is formed from a quantity of Teflon (polytetrafluoroethylene) which has a polished, flat surface on which a gold film has been sputter deposited. A photoresist layer, which cannot adhere directly to Teflon, adheres to the gold. The photoresist is exposed and developed leaving a sputter resistant mask defining the desired pillar locations, and the resulting workpiece is ion etched to form the pillars in the Teflon. A synthetic blood vessel material is cast against the Teflon mold to form blind recesses on the inside of the synthetic blood vessel, with the recesses being of predetermined uniform cross section and present in a predetermined uniform pattern.
Performance impact of novel polymeric dyes in photoresist applications
NASA Astrophysics Data System (ADS)
Lu, Ping-Hung; Mehtsun, Salem; Sagan, John P.; Shan, Jianhui; Gonzalez, Eleazar; Ding, Shuji; Khanna, Dinesh N.
1999-06-01
Dye compounds are commonly used in photoresists as a low cost and effective way to control swing and/or standing wave effect caused by thin film interference as well as reflective notching by reflective light from highly reflective substrate and topography. Convention dyes are typically a monomeric compound with high absorptivity at the wavelength of exposure light and compatible with the resist system selected. Because of the monomeric nature, conventional dyes are relatively low in molecular weight hence their thermal stability and sublimination propensity has always been an issue of concern. We recently synthesize several highly thermal stable diazotized polymeric dyes. Their thermal properties as well as compatibility with resist system were investigated. The impact of polymeric dyes on the resists lithographic performance, swing reduction and reflective notching control are discussed.
Three Dimensional Microfabrication On Thick Film Photoresist Mandrels
NASA Astrophysics Data System (ADS)
Salmre, William
1984-05-01
Small, three-dimensional structures are fabricated by the use of thick film photoresist mandrels as substrates for electoforming or other deposition techniques. Novel methods have been developed for the sculpting of the resist to desired shapes. These techniques rely heavily on the use of glass or other substrates coated with layers of metal. The metal serves both as a photomask and as a conductor of electricity. Commercially available chrome-on-glass photomasks are convenient for this purpose although other substrates have also been used. By controlling the thickness and light transmission of the metal layer, the amount of exposure of the resist can also be controlled to produce the desired shapes in the resist. For even more complex mandrels the resist can be exposed from both sides using self-aligned photomasks.
Integrated structure vacuum tube
NASA Technical Reports Server (NTRS)
Dimeff, J.; Kerwin, W. J. (Inventor)
1976-01-01
High efficiency, multi-dimensional thin film vacuum tubes suitable for use in high temperature, high radiation environments are described. The tubes are fabricated by placing thin film electrode members in selected arrays on facing interior wall surfaces of an alumina substrate envelope. Cathode members are formed using thin films of triple carbonate. The photoresist used in photolithography aids in activation of the cathodes by carbonizing and reacting with the reduced carbonates when heated in vacuum during forming. The finely powdered triple carbonate is mixed with the photoresist used to delineate the cathode locations in the conventional solid state photolithographic manner. Anode and grid members are formed using thin films of refractory metal. Electron flow in the tubes is between grid elements from cathode to anode as in a conventional three-dimensional tube.
Kampwirth, R.T.; Schuller, I.K.; Falco, C.M.
1979-11-23
An improved method of preparing thin film superconducting electrical circuits of niobium or niobium compounds is provided in which a thin film of the niobium or niobium compound is applied to a nonconductive substrate and covered with a layer of photosensitive material. The sensitive material is in turn covered with a circuit pattern exposed and developed to form a mask of the circuit in photoresistive material on the surface of the film. The unmasked excess niobium film is removed by contacting the substrate with an aqueous etching solution of nitric acid, sulfuric acid, and hydrogen fluoride, which will rapidly etch the niobium compound without undercutting the photoresist. A modification of the etching solution will permit thin films to be lifted from the substrate without further etching.
Presidential Green Chemistry Challenge: 1997 Small Business Award
Presidential Green Chemistry Challenge 1997 award winner, Legacy Systems, developed the Coldstrip process, which uses only water and oxygen to remove photoresist from silicon semiconductors. It replaces corrosive acids.
Curvature Control of Silicon Microlens for THz Dielectric Antenna
NASA Technical Reports Server (NTRS)
Lee, Choonsup; Chattopadhyay, Goutam; Cooper, Ken; Mehdi, Imran
2012-01-01
We have controlled the curvature of silicon microlens by changing the amount of photoresist in order to microfabricate hemispherical silicon microlens which can improve the directivity and reduce substrate mode losses.
Temiz, Yuksel; Lovchik, Robert D; Delamarche, Emmanuel
2017-01-01
The miniaturization of immunoassays using microfluidic devices is attractive for many applications, but an important challenge remains the patterning of capture antibodies (cAbs) on the surface of microfluidic structures. Here, we describe how to pattern cAbs on planar poly(dimethylsiloxane) (PDMS) stamps and how to microcontact print the cAbs on a dry-film resist (DFR). DFRs are new types of photoresists having excellent chemical resistance and good mechanical, adhesive, and optical properties. Instead of being liquid photoresists, DFRs are thin layers that are easy to handle, cut, photo-pattern, and laminate over surfaces. We show how to perform a simple fluorescence immunoassay using anti-biotin cAbs patterned on a 50-μm-thick DF-1050 DFR, Atto 647N-biotin analytes, and capillary-driven chips fabricated in silicon.
Miller, Eric; Ellis, Daniel; Charles, Duran; McKenzie, Jason
2016-01-01
A materials fabrication study of a photodiode array for possible application of retina prosthesis was undertaken. A test device was fabricated using a glassy carbon electrode patterned with SU-8 photoresist. In the openings, p-type polypyrrole was first electrodeposited using 1-butyl-1-methylpyridinium bis(trifluoromethylsulfonyl)imide ionic liquid. The polypyrrole was self-doped with imide ion at ~1.5 mole %, was verified as p-type, and had a resistivity of ~20 Ωcm. N-type Silicon was then electrodeposited over this layer using silicon tetrachloride / phosphorus trichloride in acetonitrile and passivated in a second electrodeposition using trimethylchlorosilane. Electron microscopy revealed the successful electrodeposition of silicon over patterned polypyrrole. Rudimentary photodiode behavior was observed. The passivation improved but did not completely protect the electrodeposited silicon from oxidation by air. PMID:27616940
Fabrication of tunable diffraction grating by imprint lithography with photoresist mold
NASA Astrophysics Data System (ADS)
Yamada, Itsunari; Ikeda, Yusuke; Higuchi, Tetsuya
2018-05-01
We fabricated a deformable transmission silicone [poly(dimethylsiloxane)] grating using a two-beam interference method and imprint lithography and evaluated its optical characteristics during a compression process. The grating pattern with 0.43 μm depth and 1.0 μm pitch was created on a silicone surface by an imprinting process with a photoresist mold to realize a simple, low-cost fabrication process. The first-order diffraction transmittance of this grating reached 10.3% at 632.8 nm wavelength. We also measured the relationship between the grating period and compressive stress to the fabricated elements. The grating period changed from 1.0 μm to 0.84 μm by 16.6% compression of the fabricated element in one direction, perpendicular to the grooves, and the first-order diffraction transmittance was 8.6%.
Microstructure fabrication process induced modulations in CVD graphene
NASA Astrophysics Data System (ADS)
Matsubayashi, Akitomo; Zhang, Zhenjun; Lee, Ji Ung; LaBella, Vincent P.
2014-12-01
The systematic Raman spectroscopic study of a "mimicked" graphene device fabrication is presented. Upon photoresist baking, compressive stress is induced in the graphene which disappears after it is removed. The indirect irradiation from the electron beam (through the photoresist) does not significantly alter graphene characteristic Raman peaks indicating that graphene quality is preserved upon the exposure. The 2D peak shifts and the intensity ratio of 2D and G band, I(2D)/I(G), decreases upon direct metal deposition (Co and Py) suggesting that the electronic modulation occurs due to sp2 C-C bond weakening. In contrast, a thin metal oxide film deposited graphene does not show either the significant 2D and G peaks shift or I(2D)/I(G) decrease upon the metal deposition suggesting the oxide protect the graphene quality in the fabrication process.
Method of constructing dished ion thruster grids to provide hole array spacing compensation
NASA Technical Reports Server (NTRS)
Banks, B. A. (Inventor)
1976-01-01
The center-to-center spacings of a photoresist pattern for an array of holes applied to a thin metal sheet are increased by uniformly stretching the thin metal sheet in all directions along the plane of the sheet. The uniform stretching is provided by securely clamping the periphery of the sheet and applying an annular force against the face of the sheet, within the periphery of the sheet and around the photoresist pattern. The technique is used in the construction of ion thruster grid units where the outer or downstream grid is subjected to uniform stretching prior to convex molding. The technique provides alignment of the holes of grid pairs so as to direct the ion beamlets in a direction parallel to the axis of the grid unit and thereby provide optimization of the available thrust.
Photoresist Design for Elastomeric Light Tunable Photonic Devices
Nocentini, Sara; Martella, Daniele; Parmeggiani, Camilla; Wiersma, Diederik S.
2016-01-01
An increasing interest in tunable photonic structures is growing within the photonic community. The usage of Liquid Crystalline Elastomer (LCE) structures in the micro-scale has been motivated by the potential to remotely control their properties. In order to design elastic photonic structures with a three-dimensional lithographic technique, an analysis of the different mixtures used in the micro-printing process is required. Previously reported LCE microstructures suffer damage and strong swelling as a limiting factor of resolution. In this article, we reported a detailed study on the writing process with four liquid crystalline photoresists, in which the percentage of crosslinker is gradually increased. The experiments reveal that exploiting the crosslinking degree is a possible means in which to obtain suspended lines with good resolution, quite good rigidity, and good elasticity, thereby preserving the possibility of deformation by light irradiation. PMID:28773646
Photoresist Design for Elastomeric Light Tunable Photonic Devices.
Nocentini, Sara; Martella, Daniele; Parmeggiani, Camilla; Wiersma, Diederik S
2016-06-29
An increasing interest in tunable photonic structures is growing within the photonic community. The usage of Liquid Crystalline Elastomer (LCE) structures in the micro-scale has been motivated by the potential to remotely control their properties. In order to design elastic photonic structures with a three-dimensional lithographic technique, an analysis of the different mixtures used in the micro-printing process is required. Previously reported LCE microstructures suffer damage and strong swelling as a limiting factor of resolution. In this article, we reported a detailed study on the writing process with four liquid crystalline photoresists, in which the percentage of crosslinker is gradually increased. The experiments reveal that exploiting the crosslinking degree is a possible means in which to obtain suspended lines with good resolution, quite good rigidity, and good elasticity, thereby preserving the possibility of deformation by light irradiation.
EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch
NASA Astrophysics Data System (ADS)
Thibaut, Sophie; Raley, Angélique; Lazarrino, Frederic; Mao, Ming; De Simone, Danilo; Piumi, Daniele; Barla, Kathy; Ko, Akiteru; Metz, Andrew; Kumar, Kaushik; Biolsi, Peter
2018-04-01
The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met. As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.
Martínez-Morillas, Rocío; Ramírez, Rafael; Sánchez-Marcos, Jorge; Fonda, Emiliano; de Andrés, Alicia; Prieto, Carlos
2014-02-12
Transparent and conductive indium titanium oxide (ITiO) films have been obtained by electron beam physical vapour deposition with Ti content from 5 at % up to 28 at %. X-ray absorption spectroscopy techniques have been used to identify the local environment of Ti ions. Even at the lowest concentrations Ti is not incorporated into the In2O3 structure but forms clusters of a Ti-In mixed oxide that present a distorted rutile TiO2 short-range order. The optical transmittance of the annealed samples reaches 95 % and no significant variation of the gap energy (around 3.7 eV) is observed with Ti content. The electronic conductivity under light irradiation is studied evidencing a huge photo-resistance in the samples with Ti content above 22 at % reaching more than two orders of magnitude for the 26 at % Ti under illumination with few μW/cm(2) at 365 nm. Hall and conductivity results are analyzed using a model that takes into account both electron and hole carriers as well as the conductivity enhancement by carrier photogeneration. The electron carrier density decreases with Ti content while its mobility increases up to values of 1000 cm(2)/(V s). Oxygen annealed ITiO films obtained by this technique with Ti content below 10 at % have properties adequate as transparent semiconductors and those with Ti content higher than 22 at % have exceptional photoresistive properties relevant for numerous applications.
An Experimental Characterization System for Deep Ultra-Violet (UV) Photoresists
NASA Astrophysics Data System (ADS)
Drako, Dean M.; Partlo, William N.; Oldham, William G.; Neureuther, Andrew R.
1989-08-01
A versatile system designed specifically for experimental automated photoresist characterization has been constructed utilizing an excimer laser source for exposure at 248nm. The system was assembled, as much as possible, from commercially available components in order to facilitate its replication. The software and hardware are completely documented in a University of California-Berkeley Engineering Research Lab Memo. An IBM PC-AT compatible computer controls an excimer laser, operates a Fourier Transform Infrared (FTIR) Spectrometer, measures and records the energy of each laser pulse (incident, reflected, and transmitted), opens and closes shutters, and operates two linear stages for sample movement. All operations (except FTIR data reduction) are managed by a control program written in the "C" language. The system is capable of measuring total exposure dose, performing bleaching measurements, creating and recording exposure pulse sequences, and generating exposure patterns suitable for multiple channel monitoring of the development. The total exposure energy, energy per pulse, and pulse rate are selectable over a wide range. The system contains an in-situ Fourier Transform Infrared Spectrometer for qualitative and quantitative analysis of the photoresist baking and exposure processes (baking is not done in-situ). FIIR may be performed in transmission or reflection. The FTIR data will form the basis of comprehensive multi-state resist models. The system's versatility facilitates the development of new automated and repeatable experiments. Simple controlling software, utilizing the provided interface sub-routines, can be written to control new experiments and collect data.
Wide-band doubler and sine wave quadrature generator
NASA Technical Reports Server (NTRS)
Crow, R. B.
1969-01-01
Phase-locked loop with photoresistive control, which provides both sine and cosine outputs for subcarrier demodulation, serves as a telemetry demodulator signal conditioner with a second harmonic signal for synchronization with the locally generated code.
Low work function, stable compound clusters and generation process
Dinh, Long N.; Balooch, Mehdi; Schildbach, Marcus A.; Hamza, Alex V.; McLean, II, William
2000-01-01
Low work function, stable compound clusters are generated by co-evaporation of a solid semiconductor (i.e., Si) and alkali metal (i.e., Cs) elements in an oxygen environment. The compound clusters are easily patterned during deposition on substrate surfaces using a conventional photo-resist technique. The cluster size distribution is narrow, with a peak range of angstroms to nanometers depending on the oxygen pressure and the Si source temperature. Tests have shown that compound clusters when deposited on a carbon substrate contain the desired low work function property and are stable up to 600.degree. C. Using the patterned cluster containing plate as a cathode baseplate and a faceplate covered with phosphor as an anode, one can apply a positive bias to the faceplate to easily extract electrons and obtain illumination.
Aqueous-based thick photoresist removal for bumping applications
NASA Astrophysics Data System (ADS)
Moore, John C.; Brewer, Alex J.; Law, Alman; Pettit, Jared M.
2015-03-01
Cleaning processes account for over 25% of processing in microelectronic manufacturing [1], suggesting electronics to be one of the most chemical intensive markets in commerce. Industry roadmaps exist to reduce chemical exposure, usage, and waste [2]. Companies are encouraged to create a safer working environment, or green factory, and ultimately become certified similar to LEED in the building industry [3]. A significant step in this direction is the integration of aqueous-based photoresist (PR) strippers which eliminate regulatory risks and cut costs by over 50%. One of the largest organic solvent usages is based upon thick PR removal during bumping processes [4-6]. Using market projections and the benefits of recycling, it is estimated that over 1,000 metric tons (mt) of residuals originating from bumping processes are incinerated or sent to a landfill. Aqueous-based stripping would eliminate this disposal while also reducing the daily risks to workers and added permitting costs. Positive-tone PR dissolves in aqueous strippers while negative-tone systems are lifted-off from the substrate, bumps, pillars, and redistribution layers (RDL). While the wafers are further processed and rinsed, the lifted-off PR is pumped from the tank, collected onto a filter, and periodically back-flushed to the trash. The PR solids become a non-hazardous plastic waste while the liquids are mixed with the developer stream, neutralized, filtered, and in most cases, disposed to the sewer. Regardless of PR thickness, removal processes may be tuned to perform in <15min, performing at rates nearly 10X faster than solvents with higher bath lives. A balanced formula is safe for metals, dielectrics, and may be customized to any fab.
NASA Astrophysics Data System (ADS)
Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.
2018-06-01
The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.
NASA Astrophysics Data System (ADS)
Mao, Haiyang; Wu, Di; Wu, Wengang; Xu, Jun; Hao, Yilong
2009-11-01
A simple lithography-free approach for fabricating diversiform nanostructure forests is presented. The key technique of the approach is that randomly distributed nanoscale residues can be synthesized on substrates simply by removing photoresist with oxygen plasma bombardment. These nanoresidues can function as masks in the subsequent etching process for nanopillars. By further spacer and then deep etching processes, a variety of forests composed of regular, tulip-like or hollow-head nanopillars as well as nanoneedles are successfully achieved in different etching conditions. The pillars have diameters of 30-200 nm and heights of 400 nm-3 µm. The needles reach several microns in height, with their tips less than 10 nm in diameter. Moreover, microstructures containing these nanostructure forests, such as surface microchannels, have also been fabricated. This approach is compatible with conventional micro/nano-electromechanical system (MEMS/NEMS) fabrication.
Free-floating magnetic microstructures by mask photolithography
NASA Astrophysics Data System (ADS)
Huong Au, Thi; Thien Trinh, Duc; Bich Do, Danh; Phu Nguyen, Dang; Cong Tong, Quang; Diep Lai, Ngoc
2018-03-01
This work explores the fabrication of free-floating magnetic structures on a photocurable nanocomposite consisting of superparamagnetic magnetite nanoparticles (Fe3O4) and a commercial SU-8 negative tone photoresist. The nanocomposite was synthesized by mixing magnetic nanoparticles with different kinds of SU-8 resin. We demonstrated that the dispersion of Fe3O4 nanoparticles in nanocomposite solution strongly depended on the particles concentration, the viscosity of SU-8 polymer, and the mixing time. The influence of these factors was demonstrated by examining the structures fabricated by mask photolithography technique. We obtained the best quality of structures at a low concentration, below 5 wt%, of Fe3O4 nanoparticles in SU-8 2005 photoresist for a mixing time of about 20 days. The manipulation of free-floating magnetic microstructures by an external magnetic field was also demonstrated showing promising applications of this magnetic nanocomposite.
Distributed Feedback Laser Based on Single Crystal Perovskite
NASA Astrophysics Data System (ADS)
Sun, Shang; Xiao, Shumin; Song, Qinghai
2017-06-01
We demonstrate a single crystal perovskite based, with grating-structured photoresist on top, highly polarized distributed feedback laser. A lower laser threshold than the Fabry-Perot mode lasers from the same single crystal CH3NH3PbBr3 microplate was obtained. Single crystal CH3NH3PbBr3 microplates was synthesized with one-step solution processed precipitation method. Once the photoresist on top of the microplate was patterned with electron beam, the device was realized. This one-step fabrication process utilized the advantage of single crystal to the greatest extend. The ultra-low defect density in single crystalline microplate offer an opportunity for lower threshold lasing action compare with poly-crystal perovskite films. In the experiment, the lasing action based on the distributed feedback grating design was found with lower threshold and higher intensity than the Fabry-Perot mode lasers supported by the flat facets of the same microplate.
Sequential shrink photolithography for plastic microlens arrays
NASA Astrophysics Data System (ADS)
Dyer, David; Shreim, Samir; Jayadev, Shreshta; Lew, Valerie; Botvinick, Elliot; Khine, Michelle
2011-07-01
Endeavoring to push the boundaries of microfabrication with shrinkable polymers, we have developed a sequential shrink photolithography process. We demonstrate the utility of this approach by rapidly fabricating plastic microlens arrays. First, we create a mask out of the children's toy Shrinky Dinks by simply printing dots using a standard desktop printer. Upon retraction of this pre-stressed thermoplastic sheet, the dots shrink to a fraction of their original size, which we then lithographically transfer onto photoresist-coated commodity shrink wrap film. This shrink film reduces in area by 95% when briefly heated, creating smooth convex photoresist bumps down to 30 µm. Taken together, this sequential shrink process provides a complete process to create microlenses, with an almost 99% reduction in area from the original pattern size. Finally, with a lithography molding step, we emboss these bumps into optical grade plastics such as cyclic olefin copolymer for functional microlens arrays.
NASA Astrophysics Data System (ADS)
Liu, Dan; Shi, Tielin; Xi, Shuang; Lai, Wuxing; Liu, Shiyuan; Li, Xiaoping; Tang, Zirong
2012-09-01
The evolution of silica nanostructure morphology induced by local Si vapor source concentration gradient has been investigated by a smart design of experiments. Silica nanostructure or their assemblies with different morphologies are obtained on photoresist-derived three-dimensional carbon microelectrode array. At a temperature of 1,000°C, rope-, feather-, and octopus-like nanowire assemblies can be obtained along with the Si vapor source concentration gradient flow. While at 950°C, stringlike assemblies, bamboo-like nanostructures with large joints, and hollow structures with smaller sizes can be obtained along with the Si vapor source concentration gradient flow. Both vapor-liquid-solid and vapor-quasiliquid-solid growth mechanisms have been applied to explain the diverse morphologies involving branching, connecting, and batch growth behaviors. The present approach offers a potential method for precise design and controlled synthesis of nanostructures with different features.
NASA Astrophysics Data System (ADS)
Liu, Xianchao; Wang, Jun; Li, Ling; Gou, Jun; Zheng, Jie; Huang, Zehua; Pan, Rui
2018-05-01
Mie resonance sphere-lens-lithography has proved to be a good candidate for fabrication of large-area tunable surface nanopattern arrays. Different patterns on photoresist surface are obtained theoretically by adjusting optical coupling among neighboring spheres with different gap sizes. The effect of light reflection from the substrate on the pattern produced on the photoresist with a thin thickness is also discussed. Sub-micron hexagonal star-shaped and ring-shaped patterns arrays are achieved with close-packed spheres arrays and spheres arrays with big gaps, respectively. Changing of star-shaped vertices is induced by different polarization of illumination. Experimental results agree well with the simulation. By using smaller resonance spheres, sub-400 nm star-shaped and ring-shaped patterns can be realized. These tunable patterns are different from results of previous reports and have enriched pattern morphology fabricated by sphere-lens-lithography, which can find application in biosensor and optic devices.
[Development of poliovirus infection in laboratory animals of different species].
Koroleva, G A; Lashkevich, V A; Voroshilova, M K
1975-01-01
The capacity of vaccine and virulent strains of poliomyelitis virus to multiply in laboratory animals of different species was studied. Virus reproduction was judged by formation of photoresistant virus progeny in response to inoculation of the animals with photosensitized virus. Multiplication of virulent poliomyelitis virus strains observed in the majority of animal species examined (monkeys, newborn and adult cotton rats, newborn and adult white mice, chickens, chick embryos) resulted in active formation of photoresistant virus population and in some cases was accompanied by clinical symptoms of the disease. Multiplication of vaccine strains was observed in a smaller number of animal species and was limited, as a rule. Among non-primate animals, newborn cotton rats were most susceptible to poliovirus infection. Newborn guinea pigs were the only species of laboratory animals in which no multiplication of any of the six strains under study could be detected.
NASA Astrophysics Data System (ADS)
Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.
2018-04-01
The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.
Microstructure fabrication process induced modulations in CVD graphene
DOE Office of Scientific and Technical Information (OSTI.GOV)
Matsubayashi, Akitomo, E-mail: amatsubayashi@albany.edu; Zhang, Zhenjun; Lee, Ji Ung
The systematic Raman spectroscopic study of a “mimicked” graphene device fabrication is presented. Upon photoresist baking, compressive stress is induced in the graphene which disappears after it is removed. The indirect irradiation from the electron beam (through the photoresist) does not significantly alter graphene characteristic Raman peaks indicating that graphene quality is preserved upon the exposure. The 2D peak shifts and the intensity ratio of 2D and G band, I(2D)/I(G), decreases upon direct metal deposition (Co and Py) suggesting that the electronic modulation occurs due to sp{sup 2} C-C bond weakening. In contrast, a thin metal oxide film deposited graphenemore » does not show either the significant 2D and G peaks shift or I(2D)/I(G) decrease upon the metal deposition suggesting the oxide protect the graphene quality in the fabrication process.« less
Sequential shrink photolithography for plastic microlens arrays.
Dyer, David; Shreim, Samir; Jayadev, Shreshta; Lew, Valerie; Botvinick, Elliot; Khine, Michelle
2011-07-18
Endeavoring to push the boundaries of microfabrication with shrinkable polymers, we have developed a sequential shrink photolithography process. We demonstrate the utility of this approach by rapidly fabricating plastic microlens arrays. First, we create a mask out of the children's toy Shrinky Dinks by simply printing dots using a standard desktop printer. Upon retraction of this pre-stressed thermoplastic sheet, the dots shrink to a fraction of their original size, which we then lithographically transfer onto photoresist-coated commodity shrink wrap film. This shrink film reduces in area by 95% when briefly heated, creating smooth convex photoresist bumps down to 30 µm. Taken together, this sequential shrink process provides a complete process to create microlenses, with an almost 99% reduction in area from the original pattern size. Finally, with a lithography molding step, we emboss these bumps into optical grade plastics such as cyclic olefin copolymer for functional microlens arrays.
Sequential shrink photolithography for plastic microlens arrays
Dyer, David; Shreim, Samir; Jayadev, Shreshta; Lew, Valerie; Botvinick, Elliot; Khine, Michelle
2011-01-01
Endeavoring to push the boundaries of microfabrication with shrinkable polymers, we have developed a sequential shrink photolithography process. We demonstrate the utility of this approach by rapidly fabricating plastic microlens arrays. First, we create a mask out of the children’s toy Shrinky Dinks by simply printing dots using a standard desktop printer. Upon retraction of this pre-stressed thermoplastic sheet, the dots shrink to a fraction of their original size, which we then lithographically transfer onto photoresist-coated commodity shrink wrap film. This shrink film reduces in area by 95% when briefly heated, creating smooth convex photoresist bumps down to 30 µm. Taken together, this sequential shrink process provides a complete process to create microlenses, with an almost 99% reduction in area from the original pattern size. Finally, with a lithography molding step, we emboss these bumps into optical grade plastics such as cyclic olefin copolymer for functional microlens arrays. PMID:21863126
Method for making circular tubular channels with two silicon wafers
Yu, Conrad M.; Hui, Wing C.
1996-01-01
A two-wafer microcapillary structure is fabricated by depositing boron nitride (BN) or silicon nitride (Si.sub.3 N.sub.4) on two separate silicon wafers (e.g., crystal-plane silicon with [100] or [110] crystal orientation). Photolithography is used with a photoresist to create exposed areas in the deposition for plasma etching. A slit entry through to the silicon is created along the path desired for the ultimate microcapillary. Acetone is used to remove the photoresist. An isotropic etch, e.g., such as HF/HNO.sub.3 /CH.sub.3 COOH, then erodes away the silicon through the trench opening in the deposition layer. A channel with a half-circular cross section is then formed in the silicon along the line of the trench in the deposition layer. Wet etching is then used to remove the deposition layer. The two silicon wafers are aligned and then bonded together face-to-face to complete the microcapillary.
Gao, Zhan; Henthorn, David B.; Kim, Chang-Soo
2009-01-01
In this work, we detail a method whereby a polymeric hydrogel layer is grafted to the negative tone photoresist SU-8 in order to improve its wettability. A photoinitiator is first immobilized on freshly prepared SU-8 samples, acting as the starting point for various surface modifications strategies. Grafting of a 2-hydroxyethylmethacrylate-based hydrogel from the SU-8 surface resulted in the reduction of the static contact angle of a water droplet from 79 ± 1° to 36 ± 1°, while addition of a poly(ethylene glycol)-rich hydrogel layer resulted in further improvement (8 ± 1°). Wettability is greatly enhanced after 30 minutes of polymerization, with a continued but more gradual decrease in contact angle up to approximately 50 minutes. Hydrogel formation is triggered by exposure to UV irradiation, allowing for the formation of photopatterned structures using existing photolithographic techniques. PMID:19756177
Coating and Patterning Functional Materials for Large Area Electrofluidic Arrays
Wu, Hao; Tang, Biao; Hayes, Robert A.; Dou, Yingying; Guo, Yuanyuan; Jiang, Hongwei; Zhou, Guofu
2016-01-01
Industrialization of electrofluidic devices requires both high performance coating laminates and efficient material utilization on large area substrates. Here we show that screen printing can be effectively used to provide homogeneous pin-hole free patterned amorphous fluoropolymer dielectric layers to provide both the insulating and fluidic reversibility required for devices. Subsequently, we over-coat photoresist using slit coating on this normally extremely hydrophobic layer. In this way, we are able to pattern the photoresist by conventional lithography to provide the chemical contrast required for liquids dosing by self-assembly and highly-reversible electrofluidic switching. Materials, interfacial chemistry, and processing all contribute to the provision of the required engineered substrate properties. Coating homogeneity as characterized by metrology and device performance data are used to validate the methodology, which is well-suited for transfer to high volume production in existing LCD cell-making facilities. PMID:28773826
Coating and Patterning Functional Materials for Large Area Electrofluidic Arrays.
Wu, Hao; Tang, Biao; Hayes, Robert A; Dou, Yingying; Guo, Yuanyuan; Jiang, Hongwei; Zhou, Guofu
2016-08-19
Industrialization of electrofluidic devices requires both high performance coating laminates and efficient material utilization on large area substrates. Here we show that screen printing can be effectively used to provide homogeneous pin-hole free patterned amorphous fluoropolymer dielectric layers to provide both the insulating and fluidic reversibility required for devices. Subsequently, we over-coat photoresist using slit coating on this normally extremely hydrophobic layer. In this way, we are able to pattern the photoresist by conventional lithography to provide the chemical contrast required for liquids dosing by self-assembly and highly-reversible electrofluidic switching. Materials, interfacial chemistry, and processing all contribute to the provision of the required engineered substrate properties. Coating homogeneity as characterized by metrology and device performance data are used to validate the methodology, which is well-suited for transfer to high volume production in existing LCD cell-making facilities.
Parylene C as a Sacrificial Material for Microfabrication
NASA Technical Reports Server (NTRS)
Beamesderfer, Michael
2005-01-01
Parylene C has been investigated for use as a sacrificial material in microfabrication. Although Parylene C cannot be patterned lithographically like photoresists, it nevertheless extends the range of processing options by offering a set of properties that are suitable for microfabrication and are complementary to those of photoresists. The compatibility of Parylene C with several microfabrication processes was demonstrated in experiments in which a thin film of Parylene C was deposited on a silicon wafer, then several thin metal films were deposited and successfully patterned, utilizing the Parylene C pads as a sacrificial layer. The term "parylene" -- a contraction of "poly(para-xylene)" -- denotes a family of vapor-deposited polymers. In Parylene C (the most common form of parylene), a chlorine atom is substituted for one of the hydrogen atoms on the benzene ring of each para-xylene moiety. Heretofore, parylenes have been used as conformal coating materials in diverse applications.
New dual-curvature microlens array with a high fill-factor for organic light emitting diode modules
NASA Astrophysics Data System (ADS)
Lin, Tsung-Hung; Yang, Hsiharng; Chao, Ching-Kong; Shui, Hung-Chi
2013-09-01
A new method for fabricating a novel dual-curvature microlens array with a high fill-factor using proximity printing in a lithography process is reported. The lens shapes include dual-curvature, which is a novel shape composed of triangles and hexagons. We utilized UV proximity printing by controlling a printing gap between the mask and substrate. The designed high density microlens array pattern can fabricate a dual-curvature microlens array with a high fill-factor in a photoresist material. It is due to the UV light diffraction which deflects away from the aperture edges and produces a certain exposure in the photoresist material outside the aperture edges. A dual-curvature microlens array with a height ratio of 0.48 can boost axial luminance up to 22%. Therefore, the novel dual-curvature microlens array offers an economical solution for increasing the luminance of organic light emitting diodes.
Rigorous ILT optimization for advanced patterning and design-process co-optimization
NASA Astrophysics Data System (ADS)
Selinidis, Kosta; Kuechler, Bernd; Cai, Howard; Braam, Kyle; Hoppe, Wolfgang; Domnenko, Vitaly; Poonawala, Amyn; Xiao, Guangming
2018-03-01
Despite the large difficulties involved in extending 193i multiple patterning and the slow ramp of EUV lithography to full manufacturing readiness, the pace of development for new technology node variations has been accelerating. Multiple new variations of new and existing technology nodes have been introduced for a range of device applications; each variation with at least a few new process integration methods, layout constructs and/or design rules. This had led to a strong increase in the demand for predictive technology tools which can be used to quickly guide important patterning and design co-optimization decisions. In this paper, we introduce a novel hybrid predictive patterning method combining two patterning technologies which have each individually been widely used for process tuning, mask correction and process-design cooptimization. These technologies are rigorous lithography simulation and inverse lithography technology (ILT). Rigorous lithography simulation has been extensively used for process development/tuning, lithography tool user setup, photoresist hot-spot detection, photoresist-etch interaction analysis, lithography-TCAD interactions/sensitivities, source optimization and basic lithography design rule exploration. ILT has been extensively used in a range of lithographic areas including logic hot-spot fixing, memory layout correction, dense memory cell optimization, assist feature (AF) optimization, source optimization, complex patterning design rules and design-technology co-optimization (DTCO). The combined optimization capability of these two technologies will therefore have a wide range of useful applications. We investigate the benefits of the new functionality for a few of these advanced applications including correction for photoresist top loss and resist scumming hotspots.
Reverse-absorbance-modulation-optical lithography for optical nanopatterning at low light levels
DOE Office of Scientific and Technical Information (OSTI.GOV)
Majumder, Apratim, E-mail: apratim.majumder@utah.edu; Wan, Xiaowen; Masid, Farhana
2016-06-15
Absorbance-Modulation-Optical Lithography (AMOL) has been previously demonstrated to be able to confine light to deep sub-wavelength dimensions and thereby, enable patterning of features beyond the diffraction limit. In AMOL, a thin photochromic layer that converts between two states via light exposure is placed on top of the photoresist layer. The long wavelength photons render the photochromic layer opaque, while the short-wavelength photons render it transparent. By simultaneously illuminating a ring-shaped spot at the long wavelength and a round spot at the short wavelength, the photochromic layer transmits only a highly confined beam at the short wavelength, which then exposes themore » underlying photoresist. Many photochromic molecules suffer from a giant mismatch in quantum yields for the opposing reactions such that the reaction initiated by the absorption of the short-wavelength photon is orders of magnitude more efficient than that initiated by the absorption of the long-wavelength photon. As a result, large intensities in the ring-shaped spot are required for deep sub-wavelength nanopatterning. In this article, we overcome this problem by using the long-wavelength photons to expose the photoresist, and the short-wavelength photons to confine the “exposing” beam. Thereby, we demonstrate the patterning of features as thin as λ/4.7 (137 nm for λ = 647 nm) using extremely low intensities (4-30 W/m{sup 2}, which is 34 times lower than that required in conventional AMOL). We further apply a rigorous model to explain our experiments and discuss the scope of the reverse-AMOL process.« less
Fabrication of an artificial nanosucker device with a large area nanotube array of metallic glass.
Chen, Wei-Ting; Manivannan, Karthikeyan; Yu, Chia-Chi; Chu, Jinn P; Chen, Jem-Kun
2018-01-18
The concurrent attachment and detachment movements of geckos on virtually any type of surface via their foot pads have inspired us to develop a thermal device with numerous arrangements of a multi-layer thin film together with electrodes that can help modify the temperature of the surface via application of a voltage. A sequential fabrication process was employed on a large-scale integration to generate well-defined contact hole arrays of photoresist for use as templates on the electrode-based device. The photoresist templates were then subjected to sputter deposition of the metallic glass Zr 55 Cu 30 Al 10 Ni 5 . Consequently, a metallic glass nanotube (MGNT) array having a nominal wall thickness of 100 nm was obtained after removal of the photoresist template. When a water droplet was placed on the MGNT array, close nanochambers of metallic glass were formed. By applying voltage, the surface was heated to increase the pressure inside the nanochambers; this generated an expanding force that raised the droplet; thus, the static water contact angle (SWCA) was increased. In contrast, a sucking force was generated during surface cooling, which decreased the SWCA. Our fabrication strategy exploits the MGNT array surface as nanosuckers, which can mimic the climbing aptitude of geckos as they attach to (>10 N m -2 ) and detach from (0.26 N m -2 ) surfaces at 0.5 and 3 V of applied voltage, respectively. Thus, the climbing aptitude of geckos can be mimicked by employing the processing strategy presented herein for the development of artificial foot pads.
NASA Astrophysics Data System (ADS)
Hodgson, Lorna; Thompson, Andrew
2012-03-01
This paper presents the results of a non-HMDS (non-silane) adhesion promoter that was used to reduce the zeta potential for very thin (proprietary) polymer on silicon. By reducing the zeta potential, as measured by the minimum sample required to fully coat a wafer, the amount of polymer required to coat silicon substrates was significantly reduced in the manufacture of X-ray windows used for high transmission of low-energy X-rays. Moreover, this approach used aqueous based adhesion promoter described as a cationic surface active agent that has been shown to improve adhesion of photoresists (positive, negative, epoxy [SU8], e-beam and dry film). As well as reducing the amount of polymer required to coat substrates, this aqueous adhesion promoter is nonhazardous, and contains non-volatile solvents.
Bi cluster-assembled interconnects produced using SU8 templates
NASA Astrophysics Data System (ADS)
Partridge, J. G.; Matthewson, T.; Brown, S. A.
2007-04-01
Bi clusters with an average diameter of 25 nm have been deposited from an inert gas aggregation source and assembled into thin-film interconnects which are formed between planar electrical contacts and supported on Si substrates passivated with Si3N4 or thermally grown oxide. A layer of SU8 (a negative photoresist based on EPON SU-8 epoxy resin) is patterned using optical or electron-beam lithography, and it defines the position and dimensions of the cluster film. The conduction between the contacts is monitored throughout the deposition/assembly process, and subsequent I(V) characterization is performed in situ. Bi cluster-assembled interconnects have been fabricated with nanoscale widths and with up to 1:1 thickness:width aspect ratios. The conductivity of these interconnects has been increased, post-deposition, using a simple thermal annealing process.
ERIC Educational Resources Information Center
Jewett, John W., Jr.
1991-01-01
Describes science demonstrations with light-emitting diodes that include electrical concepts of resistance, direct and alternating current, sine wave versus square wave, series and parallel circuits, and Faraday's Law; optics concepts of real and virtual images, photoresistance, and optical communication; and modern physics concepts of spectral…
Negative-tone development of photoresists in environmentally friendly silicone fluids
NASA Astrophysics Data System (ADS)
Ouyang, Christine Y.; Lee, Jin-Kyun; Ober, Christopher K.
2012-03-01
The large amount of organic solvents and chemicals that are used in today's microelectronic fabrication process can lead to environmental, health and safety hazards. It is therefore necessary to design new materials and new processes to reduce the environmental impact of the lithographic process. In addition, as the feature sizes decrease, other issues such as pattern collapse, which is related to the undesirable high surface tension of the developers and rinse liquids, can occur and limit the resolution. In order to solve these issues, silicone fluids are chosen as alternative developing solvents in this paper. Silicone fluids, also known as linear methyl siloxanes, are a class of mild, non-polar solvents that are non-toxic, not ozone-depleting, and contribute little to global warming. They are considered as promising developers because of their environmental-friendliness and their unique physical properties such as low viscosity and low surface tension. Recently, there have been emerging interests in negative-tone development (NTD) due to its better ability in printing contact holes and trenches. It is also found that the performance of negative-tone development is closely related to the developing solvents. Silicone fluids are thus promising developers for NTD because of their non-polar nature and high contrast negative-tone images are expected with chemical amplification photoresists due to the high chemical contrast of chemical amplification. We have previously shown some successful NTD with conventional photoresists such as ESCAP in silicone fluids. In this paper, another commercially available TOK resist was utilized to study the NTD process in silicone fluids. Because small and non-polar molecules are intrinsically soluble in silicone fluids, we have designed a molecular glass resist for silicone fluids. Due to the low surface tension of silicone fluids, we are able achieve high aspect-ratio, high-resolution patterns without pattern collapse.
Silicon/SU8 multi-electrode micro-needle for in vivo neurochemical monitoring.
Vasylieva, Natalia; Marinesco, Stéphane; Barbier, Daniel; Sabac, Andrei
2015-10-15
Simultaneous monitoring of glucose and lactate is an important challenge for understanding brain energetics in physiological or pathological states. We demonstrate here a versatile method based on a minimally invasive single implantation in the rat brain. A silicon/SU8-polymer multi-sensing needle-shaped biosensor, was fabricated and tested. The multi-electrode array design comprises three platinum planar microelectrodes with a surface area of 40 × 200 µm(2) and a spacing of 200 µm, which were micromachined on a single 3mm long micro-needle having a 100 × 50 µm(2) cross-section for reduced tissue damage during implantation. Platinum micro-electrodes were aligned at the bottom of micro-wells obtained by photolithography on a SU8 photoresist layer. After clean room processing, each micro-electrode was functionalized inside the micro-wells by means of a micro-dispensing device, either with glucose oxidase or with lactate oxidase, which were cross-linked on the platinum electrodes. The third electrode covered with Bovine Serum Albumin (BSA) was used for the control of non-specific currents. The thick SU8 photoresist layer has revealed excellent electrical insulation of the micro-electrodes and between interconnection lines, and ensured a precise localization and packaging of the sensing enzymes on platinum micro-electrodes. During in vitro calibration with concentrations of analytes in the mM range, the micro-wells patterned in the SU8 photoresist proved to be highly effective in eliminating cross-talk signals, caused by H2O2 diffusion from closely spaced micro-electrodes. Moreover, our biosensor was successfully assayed in the rat cortex for simultaneous monitoring of both glucose and lactate during insulin and glucose administration. Copyright © 2015 Elsevier B.V. All rights reserved.
Method for making circular tubular channels with two silicon wafers
Yu, C.M.; Hui, W.C.
1996-11-19
A two-wafer microcapillary structure is fabricated by depositing boron nitride (BN) or silicon nitride (Si{sub 3}N{sub 4}) on two separate silicon wafers (e.g., crystal-plane silicon with [100] or [110] crystal orientation). Photolithography is used with a photoresist to create exposed areas in the deposition for plasma etching. A slit entry through to the silicon is created along the path desired for the ultimate microcapillary. Acetone is used to remove the photoresist. An isotropic etch, e.g., such as HF/HNO{sub 3}/CH{sub 3}COOH, then erodes away the silicon through the trench opening in the deposition layer. A channel with a half-circular cross section is then formed in the silicon along the line of the trench in the deposition layer. Wet etching is then used to remove the deposition layer. The two silicon wafers are aligned and then bonded together face-to-face to complete the microcapillary. 11 figs.
Extreme ultraviolet patterning of tin-oxo cages
NASA Astrophysics Data System (ADS)
Haitjema, Jarich; Zhang, Yu; Vockenhuber, Michaela; Kazazis, Dimitrios; Ekinci, Yasin; Brouwer, Albert M.
2017-07-01
We report on the extreme ultraviolet (EUV) patterning performance of tin-oxo cages. These cage molecules were already known to function as a negative tone photoresist for EUV radiation, but in this work, we significantly optimized their performance. Our results show that sensitivity and resolution are only meaningful photoresist parameters if the process conditions are optimized. We focus on contrast curves of the materials using large area EUV exposures and patterning of the cages using EUV interference lithography. It is shown that baking steps, such as postexposure baking, can significantly affect both the sensitivity and contrast in the open-frame experiments as well as the patterning experiments. A layer thickness increase reduced the necessary dose to induce a solubility change but decreased the patterning quality. The patterning experiments were affected by minor changes in processing conditions such as an increased rinsing time. In addition, we show that the anions of the cage can influence the sensitivity and quality of the patterning, probably through their effect on physical properties of the materials.
Recent advances in 193-nm single-layer photoresists based on alternating copolymers of cycloolefins
NASA Astrophysics Data System (ADS)
Houlihan, Francis M.; Wallow, Thomas I.; Timko, Allen G.; Neria, E.; Hutton, Richard S.; Cirelli, Raymond A.; Nalamasu, Omkaram; Reichmanis, Elsa
1997-07-01
We report on our recent investigations on the formulation and processing of 193 nm single layer photoresists based on alternating copolymers of cycloolefins with maleic anhydride. Resists formulated with cycloolefin copolymers are compatible with 0.262 N tetramethylammonium developers, have excellent adhesion, sensitivity, etch resistance and thermal flow properties. The effect of polymer structure and composition, dissolution inhibitor structure and loading as well as the effect of the photoacid generator on the resist dissolution properties was investigated. Based on the results high contrast formulations were evaluated on a GCA XLS (NA equals 0.53, 4X reduction optics) deep-UV stepper to exhibit 0.27 micrometer L/S pair resolution with excellent photosensitivity. Based on the dissolution properties and a spectroscopic examination of the resist, we have designed materials that show less than 0.17 micrometer L/S pair resolution with 193 nm exposures. In this paper, the formulation methodology is detailed and the most recent results upon both with 248 and 193 nm irradiation are described.
Method of Preparation AZP4330 PR Pattern with Edge Slope 40°
NASA Astrophysics Data System (ADS)
Wu, Jie; Zhao, Hongyuan; Yu, Yuanwei; Zhu, Jian
2018-03-01
When the edge which is under the multi-film is more steep or angular, the stress in the multilayer film near the edge is concentrated, this situation will greatly reduce the reliability of electronic components. And sometimes, we need some special structure such as a slope with a specific angle in the MEMS, so that the metal line can take the signal to the output pad through the slope instead of deep step. To cover these problems, the lithography method of preparing the structure with edge slope is studied. In this paper, based on the Kirchhoff scalar diffraction theory we try to change the contact exposure gap and the post-baking time at the specific temperature to find out the effect about the edge angle of the photoresist. After test by SEM, the results were presented by using AZP4330 photoresist, we can get the PR Pattern with edge slope 40° of the process and the specific process parameters.
Resistance of dichromated gelatin as photoresist
NASA Astrophysics Data System (ADS)
Lin, Pang; Yan, Yingbai; Jin, Guofan; Wu, Minxian
1999-09-01
Based on the photographic chemistry, chemically hardening method was selected to enhance the anti-etch capability of gelatin. With the consideration of hardener and permeating processing, formaldehyde is the most ideal option due to the smallest molecule size and covalent cross-link with gelatin. After hardened in formaldehyde, the resistance of the gelatin was obtained by etched in 1% HF solution. The result showed that anti-etch capability of the gelatin layer increased with tanning time, but the increasing rate reduced gradually and tended to saturation. Based on the experimental results, dissolving-flaking hypothesis for chemically hardening gelatin was presented. Sol-gel coatings were etched with 1% HF solution. Compared with the etching rate of gelatin layer, it showed that gelatin could be used as resist to fabricate optical elements in sol-gel coating. With the cleaving-etch method and hardening of dichromated gelatin (DCG), DCG was used as a photoresist for fabricating sol-gel optical elements. As an application, a sol-gel random phase plate was fabricated.
NASA Astrophysics Data System (ADS)
Kang, Da-Young; Kim, Cheolho; Park, Gyurim; Moon, Jun Hyuk
2015-12-01
The direct pyrolytic carbonisation of polymer patterns has attracted interest for its use in obtaining carbon materials. In the case of carbonisation of nanopatterned polymers, the polymer flow and subsequent pattern change may occur in order to relieve their high surface energies. Here, we demonstrated that liquid immersion thermal crosslinking of polymer nanopatterns effectively enhanced the thermal resistance and maintained the structure integrity during the heat treatment. We employed the liquid immersion thermal crosslinking for 3D porous SU8 photoresist nanopatterns and successfully converted them to carbon nanopatterns while maintaining their porous features. The thermal crosslinking reaction and carbonisation of SU8 nanopatterns were characterised. The micro-crystallinity of the SU8-derived carbon nanopatterns was also characterised. The liquid immersion heat treatment can be extended to the carbonisation of various polymer or photoresist nanopatterns and also provide a facile way to control the surface energy of polymer nanopatterns for various purposes, for example, to block copolymer or surfactant self-assemblies.
Kang, Da-Young; Kim, Cheolho; Park, Gyurim; Moon, Jun Hyuk
2015-01-01
The direct pyrolytic carbonisation of polymer patterns has attracted interest for its use in obtaining carbon materials. In the case of carbonisation of nanopatterned polymers, the polymer flow and subsequent pattern change may occur in order to relieve their high surface energies. Here, we demonstrated that liquid immersion thermal crosslinking of polymer nanopatterns effectively enhanced the thermal resistance and maintained the structure integrity during the heat treatment. We employed the liquid immersion thermal crosslinking for 3D porous SU8 photoresist nanopatterns and successfully converted them to carbon nanopatterns while maintaining their porous features. The thermal crosslinking reaction and carbonisation of SU8 nanopatterns were characterised. The micro-crystallinity of the SU8-derived carbon nanopatterns was also characterised. The liquid immersion heat treatment can be extended to the carbonisation of various polymer or photoresist nanopatterns and also provide a facile way to control the surface energy of polymer nanopatterns for various purposes, for example, to block copolymer or surfactant self-assemblies. PMID:26677949
Kang, Da-Young; Kim, Cheolho; Park, Gyurim; Moon, Jun Hyuk
2015-12-18
The direct pyrolytic carbonisation of polymer patterns has attracted interest for its use in obtaining carbon materials. In the case of carbonisation of nanopatterned polymers, the polymer flow and subsequent pattern change may occur in order to relieve their high surface energies. Here, we demonstrated that liquid immersion thermal crosslinking of polymer nanopatterns effectively enhanced the thermal resistance and maintained the structure integrity during the heat treatment. We employed the liquid immersion thermal crosslinking for 3D porous SU8 photoresist nanopatterns and successfully converted them to carbon nanopatterns while maintaining their porous features. The thermal crosslinking reaction and carbonisation of SU8 nanopatterns were characterised. The micro-crystallinity of the SU8-derived carbon nanopatterns was also characterised. The liquid immersion heat treatment can be extended to the carbonisation of various polymer or photoresist nanopatterns and also provide a facile way to control the surface energy of polymer nanopatterns for various purposes, for example, to block copolymer or surfactant self-assemblies.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mardesich, N.
The scope of the contract covers the development and evaluation of forming solar cell collector grid contacts by the MIDFILM process. This is a proprietary process developed by the Ferro Corporation which is a subcontractor for the program. The MIDFILM process attains line resolution characteristics of photoresist methods with processing related to screen printing. The surface to be processed is first coated with a thin layer of photoresist material. Upon exposure to ultraviolet light through a suitable mask, the resist in the non-pattern area cross-links and becomes hard. The unexposed pattern areas remain tacky. The conductor material is applied inmore » the form of a dry mixture of metal and frit particles which adhere to the tacky pattern area. The assemblage is then fired to ash the photopolymer and sinter the fritted conductor powder. Effort was concentrated during this period on the establishment, optimization and identification of problem areas of the MIDFILM process. Progress is reported. (WHK)« less
A Module Experimental Process System Development Unit (MEPSDU)
NASA Technical Reports Server (NTRS)
1981-01-01
Design work for a photovoltaic module, fabricated using single crystal silicon dendritic web sheet material, resulted in the identification of surface treatment to the module glass superstrate which improved module efficiencies. A final solar module environmental test, a simulated hailstone impact test, was conducted on full size module superstrates to verify that the module's tempered glass superstrate can withstand specified hailstone impacts near the corners and edges of the module. Process sequence design work on the metallization process selective, liquid dopant investigation, dry processing, and antireflective/photoresist application technique tasks, and optimum thickness for Ti/Pd are discussed. A noncontact cleaning method for raw web cleaning was identified and antireflective and photoresist coatings for the dendritic webs were selected. The design of a cell string conveyor, an interconnect feed system, rolling ultrasonic spot bonding heat, and the identification of the optimal commercially available programmable control system are also discussed. An economic analysis to assess cost goals of the process sequence is also given.
Experimental determination of the impact of polysilicon LER on sub-100-nm transistor performance
NASA Astrophysics Data System (ADS)
Patterson, Kyle; Sturtevant, John L.; Alvis, John R.; Benavides, Nancy; Bonser, Douglas; Cave, Nigel; Nelson-Thomas, Carla; Taylor, William D.; Turnquest, Karen L.
2001-08-01
Photoresist line edge roughness (LER) has long been feared as a potential limitation to the application of various patterning technologies to actual devices. While this concern seems reasonable, experimental verification has proved elusive and thus LER specifications are typically without solid parametric rationale. We report here the transistor device performance impact of deliberate variations of polysilicon gate LER. LER magnitude was attenuated by more than a factor of 5 by altering the photoresist type and thickness, substrate reflectivity, masking approach, and etch process. The polysilicon gate LER for nominally 70 - 150 nm devices was quantified using digital image processing of SEM images, and compared to gate leakage and drive current for variable length and width transistors. With such comparisons, realistic LER specifications can be made for a given transistor. It was found that subtle cosmetic LER differences are often not discernable electrically, thus providing hope that LER will not limit transistor performance as the industry migrates to sub-100 nm patterning.
Measurement of the performance of a spiral wound polyimide regenerator in a pulse tube refrigerator
NASA Technical Reports Server (NTRS)
Rawlins, Wayne; Timmerhaus, Klaus D.; Radebaugh, Ray; Daney, D. E.
1991-01-01
A regenerator for use in a pulse tube refrigerator has been constructed from a polyimide (polypyromellitimide or PPMI) whose small ratio of thermal conductivity to heat capacity make it a good candidate for a regenerator material in cryocoolers. The regenerator was fabricated using 25 micron thick photoresist strips bonded to a 50 micron thick sheet of PPMI. This composite sheet was wound in jelly-roll fashion around a mandrel and inserted into the regenerator housing. The photoresist strips, formed using a photolithographic technique, provided a 25 micron spacing for the axial flow of gas between each layer of PPMI. Ineffectiveness results are presented for this material under actual operating conditions in a pulse tube refrigerator and compared with a numerical model. The numerical model indicated that a polyimide regenerator would perform much better than one constructed of stainless steel screen, but the experimental results showed the opposite behavior. Measured values for the ineffectiveness were 0.003 for the stainless steel screen and 0.017 for the polyimide.
X-ray lithography using holographic images
Howells, M.S.; Jacobsen, C.
1997-03-18
Methods for forming X-ray images having 0.25 {micro}m minimum line widths on X-ray sensitive material are presented. A holographic image of a desired circuit pattern is projected onto a wafer or other image-receiving substrate to allow recording of the desired image in photoresist material. In one embodiment, the method uses on-axis transmission and provides a high flux X-ray source having modest monochromaticity and coherence requirements. A layer of light-sensitive photoresist material on a wafer with a selected surface is provided to receive the image(s). The hologram has variable optical thickness and variable associated optical phase angle and amplitude attenuation for transmission of the X-rays. A second embodiment uses off-axis holography. The wafer receives the holographic image by grazing incidence reflection from a hologram printed on a flat metal or other highly reflecting surface or substrate. In this second embodiment, an X-ray beam with a high degree of monochromaticity and spatial coherence is required. 15 figs.
X-ray lithography using holographic images
Howells, Malcolm S.; Jacobsen, Chris
1997-01-01
Methods for forming X-ray images having 0.25 .mu.m minimum line widths on X-ray sensitive material are presented. A holgraphic image of a desired circuit pattern is projected onto a wafer or other image-receiving substrate to allow recording of the desired image in photoresist material. In one embodiment, the method uses on-axis transmission and provides a high flux X-ray source having modest monochromaticity and coherence requirements. A layer of light-sensitive photoresist material on a wafer with a selected surface is provided to receive the image(s). The hologram has variable optical thickness and variable associated optical phase angle and amplitude attenuation for transmission of the X-rays. A second embodiment uses off-axis holography. The wafer receives the holographic image by grazing incidence reflection from a hologram printed on a flat metal or other highly reflecting surface or substrate. In this second embodiment, an X-ray beam with a high degree of monochromaticity and spatial coherence is required.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Fedorov, I. V., E-mail: i-v-fedorov@mail.ru; Emel’yanov, A. V.; Romashkin, A. V.
2015-09-15
The present paper is devoted to observations of the photoresistive effect in multilayer structures with a sensitive layer of J-aggregates of thiamonomethinecyanine polymethine dye and a transparent electrode of a conductive carbon-nanotube network on a flexible polyethylenenaphtalate substrate. The effect of narrow-band emission with a wavelength of 465 nm on a change in the conductivity of the fabricated structures is studied. The prepared samples are studied by atomic-force microscopy, Raman spectroscopy, and spectrophotometry methods. It is shown that these structures are photosensitive to the indicated spectral region, and the dye layer is a film of dye J-aggregates. The change inmore » the sample conductivity upon exposure to light one hundred times exceeds the dark conductivity. In general, the principal possibility of developing a photoresistive detector based on J-aggregates of cyanine dyes on flexible supports on account of the use of transparent and conductive carbon-nanotube layers is shown.« less
Patterning of oxide-hardened gold black by photolithography and metal lift-off
Deep Panjwani; Mehmet Yesiltas; Janardan Nath; D.E. Maukonen; Imen Rezadad; Evan M. Smith; R.E. Peale; Carol Hirschmugl; Julia Sedlmair; Ralf Wehlitz; Miriam Unger; Glenn Boreman
2014-01-01
A method to pattern infrared-absorbing gold black by conventional photolithography and lift-off is described. A photo-resist pattern is developed on a substrate by standard photolithography. Gold black is deposited over the whole by thermal evaporation in an inert gas at
Micropatterned arrays of porous silicon: toward sensory biointerfaces.
Flavel, Benjamin S; Sweetman, Martin J; Shearer, Cameron J; Shapter, Joseph G; Voelcker, Nicolas H
2011-07-01
We describe the fabrication of arrays of porous silicon spots by means of photolithography where a positive photoresist serves as a mask during the anodization process. In particular, photoluminescent arrays and porous silicon spots suitable for further chemical modification and the attachment of human cells were created. The produced arrays of porous silicon were chemically modified by means of a thermal hydrosilylation reaction that facilitated immobilization of the fluorescent dye lissamine, and alternatively, the cell adhesion peptide arginine-glycine-aspartic acid-serine. The latter modification enabled the selective attachment of human lens epithelial cells on the peptide functionalized regions of the patterns. This type of surface patterning, using etched porous silicon arrays functionalized with biological recognition elements, presents a new format of interfacing porous silicon with mammalian cells. Porous silicon arrays with photoluminescent properties produced by this patterning strategy also have potential applications as platforms for in situ monitoring of cell behavior.
Sequential infiltration synthesis for enhancing multiple-patterning lithography
DOE Office of Scientific and Technical Information (OSTI.GOV)
Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih
Simplified methods of multiple-patterning photolithography using sequential infiltration synthesis to modify the photoresist such that it withstands plasma etching better than unmodified resist and replaces one or more hard masks and/or a freezing step in MPL processes including litho-etch-litho-etch photolithography or litho-freeze-litho-etch photolithography.
ERIC Educational Resources Information Center
Chen, Ying-Chieh
2009-01-01
Multibeam interference lithography is investigated as a manufacturing technique for three-dimensional photonic crystal templates. In this research, optimization of the optical setup and the photoresist initiation system leads to a significant improvement of the optical quality of the crystal, as characterized by normal incidence optical…
Low surface energy polymeric release coating for improved contact print lithography
NASA Astrophysics Data System (ADS)
Mancini, David P.; Resnick, Douglas J.; Gehoski, Kathleen A.; Popovich, Laura L.; Chang, Daniel
2002-03-01
Contact printing has been used for decades in many various lithography applications in the microelectronic industry. While vacuum contact printing processes offer sub-micron resolution and high throughput, they often suffer from some important drawbacks. One of the most common problems is degradation in both resolution and defect density which occurs when the same mask si used for multiple exposures without frequent mask cleans. This is largely due to the relatively high surface energy of both quartz and chrome and the tendency of most photoresists to adhere to these surfaces. As a result, when a mask and wafer are pressed into intimate contact, resist will tend to stick to the mask creating a defect on the wafer, effectively propagating defects to subsequent wafers. In this study, DuPont Teflon AF 1601S is used as a photomask coating and evaluated for its ability to act as a release agent and reduce defects while maintaining resolution for multiple exposures. Teflon AF is an amorphous, transparent, low surface energy, polymeric material that can be spin coated into a thin conformal film. Tests have shown that when using an uncoated mask in vacuum contact, resolution of 0.75 micrometers dense lines is severely degraded after less than 10 consecutive exposures. However, when the mask is coated, 0.75 micrometers dense lines were successfully resolved using vacuum contact for over 200 exposures without cleaning. In addition, it has been demonstrated that Teflon AF coatings impart to a mask a self-cleaning capability, since particles tend to stick to the photoresist rather than the mask. A coated mask, which was purposefully contaminated with particulates, resolved 0.75 micrometers dense lines on all but the first wafer of a series of 25 consecutive exposures. The patented mask releases layer process has successfully been demonstrated with a positive novolak resist. Additional data which describes the system chemistry, dilution and coating process, and film morphology are also presented.
Introduction to Photolithography: Preparation of Microscale Polymer Silhouettes
ERIC Educational Resources Information Center
Berkowski, Kimberly L.; Plunkett, Kyle N.; Moore, Jeffrey S.
2005-01-01
A study describes an easy procedure based on a negative photoresist process designed for junior high or high school students, which will introduce them to the key terms and concepts of photolithography. The experiment allows students to visualize the fundamental process behind microchip fabrication, observe the rapid prototyping enabled by such a…
Process for protecting bonded components from plating shorts
Tarte, Lisa A.; Bonde, Wayne L.; Carey, Paul G.; Contolini, Robert J.; McCarthy, Anthony M.
2000-01-01
A method which protects the region between a component and the substrate onto which the components is bonded using an electrically insulating fillet of photoresist. The fillet protects the regions from subsequent plating with metal and therefore shorting the plated conductors which run down the sides of the component and onto the substrate.
Ornoff, Douglas M.; Wang, Yuli; Proctor, Angela; Shah, Akash S.; Allbritton, Nancy L.
2015-01-01
Microfabrication technology offers the potential to create biological platforms with customizable patterns and surface chemistries, allowing precise control over the biochemical microenvironment to which a cell or group of cells is exposed. However, most microfabricated platforms grow cells on impermeable surfaces. This report describes the co-fabrication of a micropatterned epoxy photoresist film with a chitosan film to create a freestanding array of permeable, hydrogel-bottomed microwells. These films possess optical properties ideal for microscopy applications, and the chitosan layers are semi-permeable with a molecular exclusion of 9.9 ± 2.1 kDa. By seeding cells into the microwells, overlaying inert mineral oil, and supplying media via the bottom surface, this hybrid film permits cells to be physically isolated from one another but maintained in culture for at least 4 days. Arrays co-fabricated using these materials reduce both large-molecular-weight biochemical crosstalk between cells and mixing of different clonal populations, and will enable high-throughput studies of cellular heterogeneity with increased ability to customize dynamic interrogations compared to materials in currently available technologies. PMID:26447557
Study on thick film spin-on carbon hardmask
NASA Astrophysics Data System (ADS)
Kim, Taeho; Kim, Youngmin; Hwang, Sunmin; Lee, Hyunsoo; Han, Miyeon; Lim, Sanghak
2017-03-01
A thick spin-on carbon hardmask (SOH) material is designed to overcome inherent problems of amorphous deposited carbon layer (ACL) and thick photoresist. For ACL in use of semiconductor production process, especially when film thickness from sub-micrometer up to few micrometers is required, not only its inherent low transparency at long wavelength light often causes alignment problems with under layers, but also considerable variation of film thickness within a wafer can also cause patterning problems. To avoid these issues, a thick SOH is designed with monomers of high transparency and good solubility at the same time. In comparison with photoresist, the SOH has good etch resistance and high thermal stability, and it provides wide process window of decreased film thickness and increased thermal budget up to 400°C after processes such as high temperature deposition of SiON. In order to achieve high thickness along with uniform film, many solvent factors was considered such as solubility parameter, surface tension, vapor pressure, and others. By optimizing many solvent factors, we were able to develop a product with a good coating performance
193-nm multilayer imaging systems
NASA Astrophysics Data System (ADS)
Meador, James D.; Holmes, Doug; DiMenna, William; Nagatkina, Mariya I.; Rich, Michael D.; Flaim, Tony D.; Bennett, Randy; Kobayashi, Ichiro
2003-06-01
This paper highlights the performance of new materials that have been developed for use in 193-nm trilayer microlithography. The products are embedded etch masking layers (EMLs) and bottom antireflective coatings (BARCs). Both coatings are spin applied from organic solvent(s) and then thermoset during a hot plate bake. The EMLs (middle layers) are imaging compatible with JSR, Sumitomo, and TOK 193-nm photoresists. Best-case trilayer film stacks have given 100-nm dense and semi-dense L/S. Plasma etching, selectivities and solution compatibility performance of the EMLs meet or exceed proposed product targets. In addition, the EMLs exhibit both solution and plasma etching properties that should lead to successful rework processes for photoresists. The multiplayer BARCs offer good thick film coating quality and contribute to excellent images when used in trilayer applications. Combining the EMLs, which are nearly optically transparent (k=0.04) at 193-nm, with the new trilayer BARCs results in outstanding Prolith simulated reflectance control. In one modeling example, reflectance is a flat line at 0.5% on five different substrates for BARC thicknesses between 300 and 700-nm.
SURPHEX (tm): New dry photopolymers for replication of surface relief diffractive optics
NASA Technical Reports Server (NTRS)
Shvartsman, Felix P.
1993-01-01
High efficiency, deep groove, surface relief Diffractive Optical Elements (DOE) with various optical functions can be recorded in a photoresist using conventional interferometric holographic and computer generated photolithographic recording techniques. While photoresist recording media are satisfactory for recording individual surface relief DOE, a reliable and precise method is needed to replicate these diffractive microstructures to maintain the high aspect ratio in each replicated DOE. The term 'high aspect ratio' means that the depth of a groove is substantially greater, i.e. 2, 3, or more times greater, than the width of the groove. A new family of dry photopolymers SURPHEX was developed recently at Du Pont to replicate such highly efficient, deep groove DOE's. SURPHEX photopolymers are being utilized in Du Pont's proprietary Dry Photopolymer Embossing (DPE) technology to replicate with very high degree of precision almost any type of surface relief DOE. Surfaces relief microstructures with width/depth aspect ratio of 1:20 (0.1 micron/2.0 micron) were faithfully replicated by DPE technology. Several types of plastic and glass/quartz optical substrates can be used for economical replication of DOE.
NASA Astrophysics Data System (ADS)
Klötzer, Madlen; Afshar, Maziar; Feili, Dara; Seidel, Helmut; König, Karsten; Straub, Martin
2015-03-01
Indium-tin-oxide (ITO) is a widely used electrode material for liquid crystal cell applications because of its transparency in the visible spectral range and its high electrical conductivity. Important examples of applications are displays and optical phase modulators. We report on subwavelength periodic structuring and precise laser cutting of 150 nm thick indium-tin-oxide films on glass substrates, which were deposited by magnetron reactive DC-sputtering from an indiumtin target in a low-pressure oxygen atmosphere. In order to obtain nanostructured electrodes laser-induced periodic surface structures with a period of approximately 100 nm were generated using tightly focused high-repetition rate sub-15 femtosecond pulsed Ti:sapphire laser light, which was scanned across the sample by galvanometric mirrors. Three-dimensional spacers were produced by multiphoton photopolymerization in ma-N 2410 negative-tone photoresist spin-coated on top of the ITO layers. The nanostructured electrodes were aligned in parallel to set up an electrically switchable nematic liquid crystal cell.
Process effects resulting from an increased BARC thickness
NASA Astrophysics Data System (ADS)
Eakin, Ronald J.; Detweiler, Shangting F.; Stagaman, Gregory J.; Tesauro, Mark R.; Spak, Mark A.; Dammel, Ralph R.
1997-07-01
Process improvements attributed to the use of bottom anti- reflective coatings (B.A.R.C.s) are well documented. As our experience with these materials improves, so does our understanding of additional optimization. Recent supplier experiments suggest an increase in the thickness of AZR BARLiTM (bottom anti-reflective layer i-line) solution to reduce photoresist swing curve ratios. Also, changes in thin film stack on common substrates can adversely affect the degree of photoresist reflective notching. It is therefore of extreme importance to determine optimum thickness(es) of a B.A.R.C. material to ensure maximum process potential. We document several process effects in the conversion of a SRAM test device (0.38 - 0.45 micrometers) from a 650 angstrom to a 2000 angstrom BARLiTM film thickness using conventional i-line photolithography. Critical dimension (CD) uniformity and depth of focus (DOF) are evaluated. Defect density between the two processes are compared before and after etch employing optical metrology and electrical test structures. Sensitivity of overlay as a function of BARLiTM film thickness is investigated as well.
NASA Astrophysics Data System (ADS)
Entezarian, Majid; Geiger, Bob
2016-03-01
The trend in microelectronics fabrication is to produce nano-features measuring down to 10 nm and finer. The PPT levels of organic and inorganic contaminants in the photoresist, solvent and cleaning solutions are becoming a major processing variable affecting the process capability and defectivity. The photoresist usually contains gels, metals, and particulates that could interfere with the lithography process and cause microbridging defects. Nano filters of 5 nm polypropylene, 5 nm polyethylene, and 10 nm natural nylon were used to filter propylene glycol methyl ether acetate PGMEA containing 50 ppb of Na, Mg, Al, Ca, Cr, Mn, Fe, Cu, Zn, and Pb. All filters were effective in removing trivalent Al, Cr, and Fe metals indicating the mechanism for their removal as mechanical sieving. However, the nylon was also very effective in removing the divalent metals showing adsorptive properties. Furthermore, the metal removal of the nylon membrane was studied as a function of surface chemistry. Natural and charged 40 nm nylon membranes were tested and found that charged nylon is more effective for metal removal.
Optimal proximity correction: application for flash memory design
NASA Astrophysics Data System (ADS)
Chen, Y. O.; Huang, D. L.; Sung, K. T.; Chiang, J. J.; Yu, M.; Teng, F.; Chu, Lung; Rey, Juan C.; Bernard, Douglas A.; Li, Jiangwei; Li, Junling; Moroz, V.; Boksha, Victor V.
1998-06-01
Proximity Correction is the technology for which the most of IC manufacturers are committed already. The final intended result of correction is affected by many factors other than the optical characteristics of the mask-stepper system, such as photoresist exposure, post-exposure bake and development parameters, etch selectivity and anisotropy, and underlying topography. The most advanced industry and research groups already reported immediate need to consider wafer topography as one of the major components during a Proximity Correction procedure. In the present work we are discussing the corners rounding effect (which eventually cause electrical leakage) observed for the elements of Poly2 layer for a Flash Memory Design. It was found that the rounding originated by three- dimensional effects due to variation of photoresist thickness resulting from the non-planar substrate. Our major goal was to understand the reasons and correct corner rounding. As a result of this work highly effective layout correction methodology was demonstrated and manufacturable Depth Of Focus was achieved. Another purpose of the work was to demonstrate complete integration flow for a Flash Memory Design based on photolithography; deposition/etch; ion implantation/oxidation/diffusion; and device simulators.
NASA Astrophysics Data System (ADS)
Falzone, Nadia; Myhra, Sverre; Chakalova, Radka; Hill, Mark A.; Thomson, James; Vallis, Katherine A.
2013-11-01
The interactions between energetic ions and biological and/or organic target materials have recently attracted theoretical and experimental attention, due to their implications for detector and device technologies, and for therapeutic applications. Most of the attention has focused on detection of the primary ionization tracks, and their effects, while recoil target atom tracks remain largely unexplored. Detection of tracks by a negative tone photoresist (SU-8), followed by standard development, in combination with analysis by atomic force microscopy, shows that both primary and recoil tracks are revealed as conical spikes, and can be characterized at high spatial resolution. The methodology has the potential to provide detailed information about single impact events, which may lead to more effective and informative detector technologies and advanced therapeutic procedures. In comparison with current characterization methods the advantageous features include: greater spatial resolution by an order of magnitude (20 nm) detection of single primary and associated recoil tracks; increased range of fluence (to 2.5 × 109 cm-2) sensitivity to impacts at grazing angle incidence; and better definition of the lateral interaction volume in target materials.
NASA Astrophysics Data System (ADS)
Okhotnikov, V. V.; Linnik, S. A.; Gaidaichuk, A. V.; Shashev, D. V.; Nazarova, G. Yu; Yurchenko, V. I.
2016-02-01
A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·107 pcs/cm2). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,).
Successful photoresist removal: incorporating chemistry, conditions, and equipment
NASA Astrophysics Data System (ADS)
Moore, John C.
2002-07-01
The material make-up of photoresists span a wide polarity range and chemistry. Resists contain reactive components which are photochemically triggered to convert and condense to forms that result in a solubility change. When designing a cleaning process, a knowledge of the resist chemistry is fundamental. A DNQ/novolak system may follow a simple dissolution model under normal conditions. However, when the same resist is sent through a dry etch process, crosslinking and metallic impregnation occurs to form a residue that is insoluble by simple dissolution. The same applies for negative-tone resists, where bonds must be broken and a high chemical interaction is needed to facilitate solvent penetration. Negative resists of different chemistry, such as the benzoin/acrylic, trazine/novolak, and azide/isoprene, must be addressed separately for specific polarity and reactant requirements. When dissolving and removing these crosslinked systems, benefits in formulated chemistries such as GenSolveTM and GenCleanTM are immediately observed. Once the chemistry is identified, conditions can be optimized with process design using temperature, agitation, and rinsing to achieve a robust process with a wide process latitude.
Prospects for using existing resists for evaluating 157-nm imaging systems
NASA Astrophysics Data System (ADS)
Fedynyshyn, Theodore H.; Kunz, Roderick R.; Doran, Scott P.; Goodman, Russell B.; Lind, Michele L.; Curtin, Jane E.
2000-06-01
Lithography at 157 nm represents the next evolutionary step in the Great Optical Continuum and is currently under investigation as a possible successor to 193-nm lithography. If successful, the photoresists used for this technology must be initially capable of 100-nm resolution and be extendable to less than 70 nm. Unfortunately, as with the transition to shorter wavelengths in the past, the photoresist materials developed for longer wavelengths appear to be too absorbent for practical use as a traditional high resolution single layer resist imageable with 157 nm radiation. Until new photoresist materials are developed that are sufficiently transparent to be used as single layer resists, the existing need for a resist to be used to evaluate 157 nm imaging systems, such as the prototype steppers now under development, will have to be met by employing existing resists. We have surveyed the commercial resist market with the dual purpose of identifying the general categories of commercial resists that have potential for use as tool evaluation resist and to baseline these resists for comparison against future 157 nm resist candidates. Little difference was observed in the 157- nm absorbance between different classes of resists with most resists having an absorbance between 6 and 8 per micron. Due to the high absorbance at 157 nm of polyhydroxystyrene, polyacrylate, and polycyclic copolymer based resists, the coated resist thickness will need to be under 100 nm. All four commercial resists evaluated for imaging at 157 nm showed that they are capable of acting as a tool testing resist to identify issues attributed focus, illumination, and vibration. Finally, an improved tool testing resist can be developed within the existing resist material base, that is capable of 100 nm imaging with a binary mask and 70 nm imaging with a phase shift mask. Minor formulation modification can greatly improve resist performance including improved resolution and reduced line edge roughness.
NASA Astrophysics Data System (ADS)
Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.
2004-05-01
A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .
NASA Astrophysics Data System (ADS)
Pollentier, Ivan; Vesters, Yannick; Jiang, Jing; Vanelderen, Pieter; de Simone, Danilo
2017-10-01
The interaction of 91.6eV EUV photons with photoresist is very different to that of optical lithography at DUV wavelength. The latter is understood quite well and it is known that photons interact with the resist in a molecular way through the photoacid generator (PAG) of the chemically amplified resist (CAR). In EUV however, the high energy photons interact with the matter on atomic scale, resulting in the generation of secondary electrons. It is believed that these secondary electrons in their turn are responsible in chemical modification and lead to switching reactions that enable resist local dissolution. However, details of the interaction are still unclear, e.g. which reaction an electron with a given energy can initiate. In this work we have introduced a method to measure the chemical interaction of the secondary electrons with the EUV resist. The method is based on electron gun exposures of low energy electrons (range 1eV to 80eV) in the photoresist. The chemical interaction is then measured by Residual Gas Analysis (RGA), which can analyze out of the outgassing which and how much reaction products are generated. In this way a `chemical yield' can be quantified as function of electron energy. This method has been successfully applied to understand the interaction of secondary electrons on the traditional CAR materials. The understanding was facilitated by testing different compositions of an advanced EUV CAR, where resp. polymer only, polymer+PAG, and polymer+PAG+quencher are tested with the electron gun. It was found that low energy electrons down to 3-4eV can activate PAG dissociation, which can lead to polymer deprotection. However it was observed too that energy electrons of 12eV and higher can do direct deprotection even in absence of the PAG. In addition, testing suggests that electrons can generate also other chemical changes on the polymer chain that could lead to cross-linking.
Miklos, David B; Hartl, Rebecca; Michel, Philipp; Linden, Karl G; Drewes, Jörg E; Hübner, Uwe
2018-06-01
This study investigated the removal of 15 trace organic chemicals (TOrCs) occurring at ambient concentrations from municipal wastewater treatment plant effluent by advanced oxidation using UV/H 2 O 2 at pilot-scale. Pseudo first-order rate constants (k obs ) for photolytic as well as combined oxidative and photolytic degradation observed at pilot-scale were validated with results from a bench-scale collimated beam device. No significant difference was determined between pilot- and lab-scale performance. During continuous pilot-scale operation at constant UV fluence of 800 mJ/cm 2 and H 2 O 2 dosage of 10 mg/L, the removal of various TOrCs was investigated. The average observed removal for photo-susceptible (k UV >10 -3 cm 2 /mJ; like diclofenac, iopromide and sulfamethoxazole), moderately photo-susceptible (10 -4
NASA Technical Reports Server (NTRS)
Wade, Lawrence A.; Kartalov, Emil; Shibata, Darryl; Taylor, Clive
2011-01-01
Tissue lithography will enable physicians and researchers to obtain macromolecules with high purity (greater than 90 percent) from desired cells in conventionally processed, clinical tissues by simply annotating the desired cells on a computer screen. After identifying the desired cells, a suitable lithography mask will be generated to protect the contents of the desired cells while allowing destruction of all undesired cells by irradiation with ultraviolet light. The DNA from the protected cells can be used in a number of downstream applications including DNA sequencing. The purity (i.e., macromolecules isolated form specific cell types) of such specimens will greatly enhance the value and information of downstream applications. In this method, the specific cells are isolated on a microscope slide using photolithography, which will be faster, more specific, and less expensive than current methods. It relies on the fact that many biological molecules such as DNA are photosensitive and can be destroyed by ultraviolet irradiation. Therefore, it is possible to protect the contents of desired cells, yet destroy undesired cells. This approach leverages the technologies of the microelectronics industry, which can make features smaller than 1 micrometer with photolithography. A variety of ways has been created to achieve identification of the desired cell, and also to designate the other cells for destruction. This can be accomplished through chrome masks, direct laser writing, and also active masking using dynamic arrays. Image recognition is envisioned as one method for identifying cell nuclei and cell membranes. The pathologist can identify the cells of interest using a microscopic computerized image of the slide, and appropriate custom software. In one of the approaches described in this work, the software converts the selection into a digital mask that can be fed into a direct laser writer, e.g. the Heidelberg DWL66. Such a machine uses a metalized glass plate (with chrome metallization) on which there is a thin layer of photoresist. The laser transfers the digital mask onto the photoresist by direct writing, with typical best resolution of 2 micrometers. The plate is then developed to remove the exposed photoresist, which leaves the exposed areas susceptible to chemical chrome etch. The etch removes the unprotected chrome. The rest of the photoresist is then removed, by either ultraviolet organic solvent or over-development. The remaining chrome pattern is quickly oxidized by atmospheric exposure (typically within 30 seconds). The ready chrome mask is now applied to the tissue slide and aligned manually, or using automatic software and pre-designed alignment marks. The slide plate sandwich is then exposed to UV to destroy the DNA of the unwanted cells. The slide and plate are separated and the slide is processed in a standard way to prepare for polymerase chain reaction (PCR) and potential identification of cancer sequences.
Formation and Characterization of Silver Nanoparticle Composite with Poly(p-Br/F-phenylsilane).
Roh, Sung-Hee; Noh, Ji Eun; Woo, Hee-Gweon; Cho, Myong-Shik; Sohn, Honglae
2015-02-01
The one-pot production and structural characterization of composites of silver nanoparticles with poly(p-Br/F-phenylsilane), Br/F-PPS, have been performed. The conversion of Ag+ ions to stable Ag0 nanoparticles is mediated by the copolymer Br/F-PPS having both possibly reactive Si-H bonds in the polymer backbone and C-Br bonds in the substituents along with relatively inert C-F bonds. Transmission electron microscopy and field emission scanning electron microscopy analyses show the formation of the composites where silver nanoparticles (less than 30 nm of size) are well dispersed over the Br/F-PPS matrix. X-ray diffraction patterns are consistent with that for face-centered-cubic typed silver. The polymer solubility in toluene implys that the cleavage of C-Br bond and the Si-F dative bonding may not be occurred appreciably at ambient temperature. Nonetheless, thermogravimetric analysis data suggest that some sort of cross-linking could take place at high temperature. Most of the silver particles undergo macroscopic aggregation without Br/F-PPS, which indicates that the polysilane is necessary for stabilizing the silver nanoparticles.
Hybrid helical magnetic microrobots obtained by 3D template-assisted electrodeposition.
Zeeshan, Muhammad A; Grisch, Roman; Pellicer, Eva; Sivaraman, Kartik M; Peyer, Kathrin E; Sort, Jordi; Özkale, Berna; Sakar, Mahmut S; Nelson, Bradley J; Pané, Salvador
2014-04-09
Hybrid helical magnetic microrobots are achieved by sequential electrodeposition of a CoNi alloy and PPy inside a photoresist template patterned by 3D laser lithography. A controlled actuation of the microrobots by a rotating magnetic field is demonstrated in a fluidic environment. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Compact 2D OPC modeling of a metal oxide EUV resist for a 7nm node BEOL layer
NASA Astrophysics Data System (ADS)
Lyons, Adam; Rio, David; Lee, Sook; Wallow, Thomas; Delorme, Maxence; Fumar-Pici, Anita; Kocsis, Michael; de Schepper, Peter; Greer, Michael; Stowers, Jason K.; Gillijns, Werner; De Simone, Danilo; Bekaert, Joost
2017-03-01
Inpria has developed a directly patternable metal oxide hard-mask as a high-resolution photoresist for EUV lithography1. In this contribution, we describe a Tachyon 2D OPC full-chip model for an Inpria resist as applied to an N7 BEOL block mask application.
Response of the microwave-induced cyclotron harmonic resistance spike to an in-plane magnetic field
NASA Astrophysics Data System (ADS)
Dai, Yanhua; Stone, Kristjan; Knez, Ivan; Zhang, Chi; Du, R. R.; Yang, Changli; Pfeiffer, L. N.; West, K. W.
2011-12-01
Microwave-induced resistance oscillations (MIROs) have been commonly observed in high-mobility GaAs/AlGaAs two-dimensional electron systems (2DESs) under microwave irradiation. In ultraclean GaAs/AlGaAs quantum wells, we have recently observed a very large resistance spike at the second harmonic of cyclotron resonance. In order to elucidate its origin, we have studied the response of microwave photoresistances in a two-axis magnetic field configuration, where the perpendicular (Bz) and the in-plane (Bx) components can be independently applied to the sample. The experiments reveal a distinctive response of the spike to the Bx compared with that of the MIROs. While the major MIRO peaks show an increasing phase shift toward a quarter period in increasing Bx, the spike position shows an essentially zero shift. This finding lends additional support for the notion that the spike is a unique effect in the microwave-driven 2DES.
Microscale transport and sorting by kinesin molecular motors.
Jia, Lili; Moorjani, Samira G; Jackson, Thomas N; Hancock, William O
2004-03-01
As biomolecular detection systems shrink in size, there is an increasing demand for systems that transport and position materials at micron- and nanoscale dimensions. Our goal is to combine cellular transport machinery-kinesin molecular motors and microtubules-with integrated optoelectronics into a hybrid biological/engineered microdevice that will bind, transport, and detect specific proteins, DNA/RNA molecules, viruses, or cells. For microscale transport, 1.5 microm deep channels were created with SU-8 photoresist on glass, kinesin motors adsorbed to the bottom of the channels, and the channel walls used to bend and redirect microtubules moving over the immobilized motors. Novel channel geometries were investigated as a means to redirect and sort microtubules moving in these channels. We show that DC and AC electric fields are sufficient to transport microtubules in solution, establishing an approach for redirecting microtubules moving in channels. Finally, we inverted the geometry to demonstrate that kinesins can transport gold nanowires along surface immobilized microtubules, providing a model for nanoscale directed assembly.
Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations
NASA Astrophysics Data System (ADS)
Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.
2009-03-01
MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.
Effects of substrate on the femtosecond laser-induced damage properties of gold films
NASA Astrophysics Data System (ADS)
Huang, Haopeng; Wang, Leilei; Kong, Fanyu; Xia, Zhilin; Jin, Yunxia; Xu, Jiao; Chen, Junming; Cui, Yun; Shao, Jianda
2018-07-01
In this work, gold films on two different types of substrates were fabricated by electron beam (e-beam) evaporation, and the femtosecond laser-induced damage properties were evaluated. The first sample was gold film deposited on fused silica, whereas the second was gold deposited on photoresist. 1-on-1 damage tests were implemented by an 800 ± 30 nm laser with pulse duration of 30 fs. Different damage thresholds and morphologies were obtained for the two samples. The damage threshold of the gold film on fused silica was 0.64 J/cm2, with the typical damage morphology of thermal ablation and melting; the damage threshold of the gold film on photoresist was 0.30 J/cm2, with the typical damage morphology of blisters or peeling off. In order to better understand the impact of the substrate on the properties of the whole sample, the normalized electric field intensity, temperature, and thermal stress distributions were calculated. The adhesion between the gold film and substrate were measured and the experimental results well agreed with the theoretical analysis. The results indicate that gold films deposited onto grating-structured fused silica will have more powerful laser damage resistance performance.
Capabilities of ICP-RIE cryogenic dry etching of silicon: review of exemplary microstructures
NASA Astrophysics Data System (ADS)
Sökmen, Ü.; Stranz, A.; Fündling, S.; Wehmann, H.-H.; Bandalo, V.; Bora, A.; Tornow, M.; Waag, A.; Peiner, E.
2009-10-01
Inductively coupled plasma (ICP) cryogenic dry etching was used to etch submicron pores, nano contact lines, submicron diameter pillars, thin and thick cantilevers, membrane structures and anisotropic deep structures with high aspect ratios in silicon for bio-nanoelectronics, optoelectronics and nano-micro electromechanical systems (NMEMS). The ICP cryogenic dry etching gives us the advantage of switching plasmas between etch rates of 13 nm min-1 and 4 µm min-1 for submicron pores and for membrane structures, respectively. A very thin photoresist mask can endure at -75 °C even during etching 70 µm deep for cantilevers and 300 µm deep for membrane structures. Coating the backsides of silicon membrane substrates with a thin photoresist film inhibited the lateral etching of cantilevers during their front release. Between -95 °C and -140 °C, we realized crystallographic-plane-dependent etching that creates facets only at the etch profile bottom. By varying the oxygen content and the process temperature, we achieved good control over the shape of the etched structures. The formation of black silicon during membrane etching down to 300 µm was delayed by reducing the oxygen content.
Calcitic microlenses as part of the photoreceptor system in brittlestars
NASA Astrophysics Data System (ADS)
Aizenberg, Joanna; Tkachenko, Alexei; Weiner, Steve; Addadi, Lia; Hendler, Gordon
2001-08-01
Photosensitivity in most echinoderms has been attributed to `diffuse' dermal receptors. Here we report that certain single calcite crystals used by brittlestars for skeletal construction are also a component of specialized photosensory organs, conceivably with the function of a compound eye. The analysis of arm ossicles in Ophiocoma showed that in light-sensitive species, the periphery of the labyrinthic calcitic skeleton extends into a regular array of spherical microstructures that have a characteristic double-lens design. These structures are absent in light-indifferent species. Photolithographic experiments in which a photoresist film was illuminated through the lens array showed selective exposure of the photoresist under the lens centres. These results provide experimental evidence that the microlenses are optical elements that guide and focus the light inside the tissue. The estimated focal distance (4-7µm below the lenses) coincides with the location of nerve bundles-the presumed primary photoreceptors. The lens array is designed to minimize spherical aberration and birefringence and to detect light from a particular direction. The optical performance is further optimized by phototropic chromatophores that regulate the dose of illumination reaching the receptors. These structures represent an example of a multifunctional biomaterial that fulfills both mechanical and optical functions.
NASA Astrophysics Data System (ADS)
Jiang, Shulan; Shi, Tielin; Gao, Yang; Long, Hu; Xi, Shuang; Tang, Zirong
2014-04-01
An easily accessible method is proposed for the fabrication of a 3D micro/nano dual-scale carbon array with a large surface area. The process mainly consists of three critical steps. Firstly, a hemispherical photoresist micro-array was obtained by the cost-effective nanoimprint lithography process. Then the micro-array was transformed into hierarchical structures with longitudinal nanowires on the microstructure surface by oxygen plasma etching. Finally, the micro/nano dual-scale carbon array was fabricated by carbonizing these hierarchical photoresist structures. It has also been demonstrated that the micro/nano dual-scale carbon array can be used as the microelectrodes for supercapacitors by the electrodeposition of a manganese dioxide (MnO2) film onto the hierarchical carbon structures with greatly enhanced electrochemical performance. The specific gravimetric capacitance of the deposited micro/nano dual-scale microelectrodes is estimated to be 337 F g-1 at the scan rate of 5 mV s-1. This proposed approach of fabricating a micro/nano dual-scale carbon array provides a facile way in large-scale microstructures’ manufacturing for a wide variety of applications, including sensors and on-chip energy storage devices.
Photoresist and stochastic modeling
NASA Astrophysics Data System (ADS)
Hansen, Steven G.
2018-01-01
Analysis of physical modeling results can provide unique insights into extreme ultraviolet stochastic variation, which augment, and sometimes refute, conclusions based on physical intuition and even wafer experiments. Simulations verify the primacy of "imaging critical" counting statistics (photons, electrons, and net acids) and the image/blur-dependent dose sensitivity in describing the local edge or critical dimension variation. But the failure of simple counting when resist thickness is varied highlights a limitation of this exact analytical approach, so a calibratable empirical model offers useful simplicity and convenience. Results presented here show that a wide range of physical simulation results can be well matched by an empirical two-parameter model based on blurred image log-slope (ILS) for lines/spaces and normalized ILS for holes. These results are largely consistent with a wide range of published experimental results; however, there is some disagreement with the recently published dataset of De Bisschop. The present analysis suggests that the origin of this model failure is an unexpected blurred ILS:dose-sensitivity relationship failure in that resist process. It is shown that a photoresist mechanism based on high photodecomposable quencher loading and high quencher diffusivity can give rise to pitch-dependent blur, which may explain the discrepancy.
Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography
DOE Office of Scientific and Technical Information (OSTI.GOV)
Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao
2015-09-28
Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less
Electroless-plated Ni pattern with catalyst printing on indium-gallium-zinc oxide surface
NASA Astrophysics Data System (ADS)
Onoue, Miki; Ogura, Shintaro; Kusaka, Yasuyuki; Fukuda, Nobuko; Yamamoto, Noritaka; Kojima, Keisuke; Chikama, Katsumi; Ushijima, Hirobumi
2017-05-01
Electroless plated metals have been used for wiring and electrodes in the manufacture of electronic devices. To obtain plated patterns, etching and photoresist are generally used. However, through catalyst patterning by printing, we can obtain metal patterns without etching and photoresists by electroless plating. Solution-processed indium-gallium-zinc oxide (IGZO) has received significant attention for showing high performance and ease of preparation in air atmosphere. In this study, we prepared an electroless plated pattern by catalyst printing as electrodes of IGZO TFT. There are few reports on the application of plated metal electrodes prepared by catalyst printing to the source and drain electrodes of IGZO TFT. The prepared IGZO TFT exhibits a typical current-voltage (I-V) curve. The plated electrodes caused many problems such as performance degradation. However, our result showed that the plated metal electrodes can drive IGZO TFT. In addition, we confirm plated metal growth into the catalyst layer by cross sectional scanning electron microscopy and energy-dispersive X-ray spectroscopy (SEM/EDS) of the plated Ni. We discuss the relevance of the measured work function (WF) of the electrode materials and the performance of IGZO TFT.
Gao, Yang; Shi, Tielin; Tan, Xianhua; Liao, Guanglan
2014-06-01
We have developed a novel method to fabricate micro/nano structure based on the coherent diffraction lithography, and acquired periodic silicon tubular gratings with deep nano-scale tapered profiles at the top part. The optical properties of these tubular gratings were similar to an effective gradient-index antireflective surface, resulting in a broadband antireflective combining super-hydrophobic behavior. The mechanism of the method was simulated by rigorous coupled wave analysis algorithms. Then coherent diffraction lithography by use of suitable mask, in which periodic micro-scale circular opaque patters were distributed, was realized on the traditional aligner. Due to coherent diffraction, we obtained enough light intensity for photoresist exposure under the center of the opaque area in the mask together with transparent areas. The tapered line profiles and hollow photoresist gratings over large areas could be fabricated on the silicon wafer after development. The dry etching process was carried out, and high aspect ratio silicon tubular gratings with deep tapered profiles at the top were fabricated. The optical property and wettability of the structure were verified, proving that the proposed method and obtained micro/nano structure provide application potential in the future.
NASA Astrophysics Data System (ADS)
Xiang, Jing; Wang, Chong; Chen, Yuanming; Wang, Shouxu; Hong, Yan; Zhang, Huaiwu; Gong, Lijun; He, Wei
2017-07-01
The wettability of the photo-resistive film (PF) surfaces undergoing different pretreatments including the O2sbnd CF4 low-pressure plasma (OCLP) and air plasma (AP), is investigated by water contact angle measurement instrument (WCAMI) before the bottom-up copper pillar plating. Chemical groups analysis performed by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectra (XPS) shows that after the OCLP and wash treatment, the wettability of PF surface is attenuated, because embedded fluorine and decreased oxygen content both enhance hydrophobicity. Compared with OCLP treatment, the PF surface treatment by non-toxic air plasma displays features of Csbnd O, Osbnd Cdbnd O, Cdbnd O and sbnd NO2 by AIR-FTIR and XPS, and a promoted wettability by WCAM. Under the identical electroplating condition, the surface with a better wettability allows electrolyte to spontaneously soak all the places of vias, resulting in improved copper pillar uniformity. Statistical analysis of metallographic data shows that more coplanar and flat copper pillars are achieved with the PF treatment of air plasma. Such modified copper-pillar-plating technology meets the requirement of accurate impedance, the high density interconnection for IC substrates.
NASA Astrophysics Data System (ADS)
Liu, Lang; Li, Han-Yu; Yu, Yao; Liu, Lin; Wu, Yue
2018-02-01
The fabrication of a current collector-contained in-plane micro-supercapacitor (MSC) usually requires the patterning of the current collector first and then subsequent patterning of the active material with the assistance of a photoresist and mask. However, this two-step patterning process is too complicated and the photoresist used is harmful to the properties of nanomaterials. Here, we demonstrate a one-step, mask-free strategy to pattern the current collector and the active material at the same time, for the fabrication of an all-solid-state flexible in-plane MSC. Silver nanowires (AgNWs) are used as the current collector. An atmospheric pressure pulsed cold micro-plasma-jet is used to realize the one-step, mask-free production of interdigitated multi-walled carbon nanotube (MWCNT)/AgNW electrodes. Remarkably, the fabricated MWCNT/AgNW-based MSC shows good flexibility and excellent rate capability. Moreover, the performance of properties including cyclic stability, equivalent series resistance, relaxation time and energy/power densities of the MWCNT/AgNW-based MSC are significantly enhanced by the presence of the AgNW current collector.
Yuan, Liang Leon; Herman, Peter R
2015-12-21
A multi-level nanophotonic structure is a major goal in providing advanced optical functionalities as found in photonic crystals and metamaterials. A three-level nano-grating phase mask has been fabricated in an electron-beam resist (ma-N) to meet the requirement of holographic generation of a diamond-like 3D nanostructure in photoresist by a single exposure step. A 2D mask with 600 nm periodicity is presented for generating first order diffracted beams with a preferred π/2 phase shift on the X- and Y-axes and with sufficient 1(st) order diffraction efficiency of 3.5% at 800 nm wavelength for creating a 3D periodic nanostructure in SU-8 photoresist. The resulting 3D structure is anticipated to provide an 8% complete photonic band gap (PBG) upon silicon inversion. A thin SiO2 layer was used to isolate the grating layers and multiple spin-coating steps served to planarize the final resist layer. A reversible soft coating (aquaSAVE) was introduced to enable SEM inspection and verification of each insulating grating layer. This e-beam lithographic method is extensible to assembling multiple layers of a nanophotonic structure.
Lobo-Júnior, Eulício O; Gabriel, Ellen F M; Dos Santos, Rodrigo A; de Souza, Fabrício R; Lopes, Wanderson D; Lima, Renato S; Gobbi, Angelo L; Coltro, Wendell K T
2017-01-01
This study describes a simple, rapid, and cost-effective fabrication of PDMS electrophoresis microchips using poly(vinyl acetate) (PVAc) emulsion as photoresist master. High-relief microfluidic structures were defined on poly(vinyl acetate) previously deposited on printed circuit boards surfaces without cleanroom facilities and sophisticated instrumentation. After a UV exposure, channels with heights ranging from 30 to 140 μm were obtained by controlling the emulsion mass deposited on the master surface. The developing stage was performed using water rather than the organic solvents that are applied for conventional masks. The surface morphology was characterized by optical imaging, profilometry, and SEM. Based on the achieved results, the proposed method offers suitable reproducibility for the prototyping of electrophoresis microchips in PDMS. The feasibility of the resulting PDMS electrophoresis chips was successfully demonstrated with the separation of major inorganic cations within 100 s using a contactless conductivity detection system. The separation efficiencies ranged from ca. 67 900 to 125 600 plates/m. Due to the satisfactory performance and simplified instrumentation, we believe this fabrication protocol presents potential to be implemented in any chemical, biochemical, or biological laboratory. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
We present development of a process to perform greyscale photolithography on a 2.55-m thick photoresist in order to transfer tiered and sloped...platinum or iridium oxide (IrO2) electrodes above and below each layer. Process variables including resist rehydration , focus of the exposure, and UV cure...bake temperature were optimized to produce the best greyscale profile through the thickness of the resist.
Silicon Solar Cell Optimization.
1981-06-01
from the surface. (b) Oxide mask formation Etching grooves into the silicon requires an effective alkaline-resistant mask which will withstand the...face. This technique employs a very viscous photoresist, Furt #206, in conjunction with multiple spin-applications and bake periods, to effectively ...175 80 125 78 75 74 To compare the effects of groove depth, substrate thick- ness and bulk resistivity, an experiment was conducted. Using identical
Research and Infrastructure Development Center for Nanomaterials Research
2009-05-01
scale, this technique may prove highly valuable for optimizing the distance dependent energy transfer effects for maximum sensitivity to target...this technique may prove highly valuable for optimizing the distance dependent energy transfer effects for maximum sensitivity 0 20000 40000 60000... Pulsed laser deposition of carbon films on quartz and silicon simply did not work due to their poor conductivity. We found that pyrolized photoresist
Fabrication of a Silicon MOSFET Device with Bipolar Transistor Source,
1980-07-01
NEGATIVE PHOTORESIST PROCEDURE ’•J n •:• fi >. 3 u i fc- Process Coat wafer Air dry Pre bake the resist coating Expose Develop Method Time...Orange (rather broad for orange) 0.82 Salmon 0.85 Dull, light red-violet 0.86 Violet £ 0.87 Blue-violet 0.89 Blue ::’ 0.92 V Blue-green •I 0.95
Within-wafer CD variation induced by wafer shape
NASA Astrophysics Data System (ADS)
Huang, Chi-hao; Yang, Mars; Yang, Elvis; Yang, T. H.; Chen, K. C.
2016-03-01
In order to meet the increasing storage capacity demand and reduce bit cost of NAND flash memories, 3D stacked vertical flash cell array has been proposed. In constructing 3D NAND flash memories, the bit number per unit area is increased as increasing the number of stacked layers. However, the increased number of stacked layers has made the film stress control extremely important for maintaining good process quality. The residual film stress alters the wafer shape accordingly several process impacts have been readily observed across wafer, such as film deposition non-uniformity, etch rate non-uniformity, wafer chucking error on scanner, materials coating/baking defects, overlay degradation and critical dimension (CD) non-uniformity. The residual tensile and compressive stresses on wafers will result in concave and convex wafer shapes, respectively. This study investigates within-wafer CD uniformity (CDU) associated with wafer shape change induced by the 3D NAND flash memory processes. Within-wafer CDU was correlated with several critical parameters including different wafer bow heights of concave and convex wafer shapes, photo resists with different post exposure baking (PEB) temperature sensitivities, and DoseMapper compensation. The results indicated the trend of within-wafer CDU maintains flat for convex wafer shapes with bow height up to +230um and concave wafer shapes with bow height ranging from 0 ~ -70um, while the within-wafer CDU trends up from -70um to -246um wafer bow heights. To minimize the within-wafer CD distribution induced by wafer warpage, carefully tailoring the film stack and thermal budget in the process flow for maintaining the wafer shape at CDU friendly range is indispensable and using photo-resist materials with lower PEB temperature sensitivity is also suggested. In addition, DoseMapper compensation is also an alternative to greatly suppress the within-wafer CD non-uniformity but the photo-resist profile variation induced by across-wafer PEB temperature non-uniformity attributed to wafer warpage is uncorrectable, and the photo-resist profile variation is believed to affect across-wafer etch bias uniformity to some degree.
NASA Astrophysics Data System (ADS)
Randolph, Steven Jeffrey
Electron-beam-induced deposition (EBID) is a highly versatile nanofabrication technique that allows for growth of a variety of materials with nanoscale precision and resolution. While several applications and studies of EBID have been reported and published, there is still a significant lack of understanding of the complex mechanisms involved in the process. Consequently, EBID process control is, in general, limited and certain common experimental results regarding nanofiber growth have yet to be fully explained. Such anomalous results have been addressed in this work both experimentally and by computer simulation. Specifically, a correlation between SiOx nanofiber deposition observations and the phenomenon of electron beam heating (EBH) was shown by comparison of thermal computer models and experimental results. Depending on the beam energy, beam current, and nanostructure geometry, the heat generated can be substantial and may influence the deposition rate. Temperature dependent EBID growth experiments qualitatively verified the results of the EBH model. Additionally, EBID was used to produce surface image layers for maskless, direct-write lithography (MDL). A single layer process used directly written SiOx features as a masking layer for amorphous silicon thin films. A bilayer process implemented a secondary masking layer consisting of standard photoresist into which a pattern---directly written by EBID tungsten---was transferred. The single layer process was found to be extremely sensitive to the etch selectivity of the plasma etch. In the bilayer process, EBID tungsten was written onto photoresist and the pattern transferred by means of oxygen plasma dry development following a brief refractory descum. Conditions were developed to reduce the spatial spread of electrons in the photoresist layer and obtain ˜ 35 nm lines. Finally, an EBID-based technique for field emitter repair was applied to the Digital Electrostatically focused e-beam Array Lithography (DEAL) parallel electron beam lithography configuration to repair damaged or missing carbon nanofiber cathodes. The I-V response and lithography results from EBID tungsten-based devices were comparable to CNF-based DEAL devices indicating a successful repair technique.
The Physics of Ultracold Sr2 Molecules: Optical Production and Precision Measurement
NASA Astrophysics Data System (ADS)
Osborn, Christopher Butler
Colloidal quantum dots have desirable optical properties which can be exploited to realize a variety of photonic devices and functionalities. However, colloidal dots have not had a pervasive utility in photonic devices because of the absence of patterning methods. The electronic chip industry is highly successful due to the well-established lithographic procedures. In this thesis we borrow ideas from the semiconductor industry to develop lithographic techniques that can be used to pattern colloidal quantum dots while ensuring that the optical properties of the quantum dots are not affected by the process. In this thesis we have developed colloidal quantum dot based waveguide structures for amplification and switching applications for all-optical signal processing. We have also developed colloidal quantum dot based light emitting diodes. We successfully introduced CdSe/ZnS quantum dots into a UV curable photo-resist, which was then patterned to realize active devices. In addition, "passive" devices (devices without quantum dots) were integrated to "active" devices via waveguide couplers. Use of photo-resist devices offers two distinct advantages. First, they have low scattering loss and secondly, they allow good fiber to waveguide coupling efficiency due to the low refractive index which allows for large waveguide cross-sections while supporting single mode operation. Practical planar photonic devices and circuits incorporating both active and passive structures can now be realized, now that we have patterning capabilities of quantum dots while maintaining the original optical attributes of the system. In addition to the photo-resist host, we also explored the incorporation of colloidal quantum dots into a dielectric silicon dioxide and silicon nitride one-dimensional microcavity structures using low temperature plasma enhanced chemical vapor deposition. This material system can be used to realize microcavity light emitting diodes that can be realized on any substrate. As a proof of concept demonstration we show a 1550 nm emitting all-dielectric vertical cavity structure embedded with PbS quantum dots. Enhancement in spontaneous emission from the dots embedded in the microcavity is also demonstrated.
Zhu, Ying; Soeriyadi, Alexander H; Parker, Stephen G; Reece, Peter J; Gooding, J Justin
2014-06-21
Porous silicon (PSi) rugate filters modified with alkyne-terminated monolayers were chemically patterned using a combination of photolithography of photoresist and click chemistry. Two chemical functionalities were obtained by conjugating, via click reactions, ethylene glycol moieties containing two different terminal groups to discrete areas towards the exterior of a PSi rugate filter. The patterning of biological species to the functionalized surface was demonstrated through the conjugation of fluorescein isothiocyanate labelled bovine serum albumin (FITC-BSA). Fluorescence microscopy showed selective positioning of FITC-BSA at discretely functionalized areas. Meanwhile, the optical information from precisely defined positions on the patterned surface was monitored by optical reflectivity measurements. The optical measurements revealed successful step-wise chemical functionalization followed by immobilization of gelatin. Multiplex detection of protease activity from different array elements on the patterned surface was demonstrated by monitoring the blue shifts in the reflectivity spectra resulted from the digestion of gelatin by subtilisin. Precise information from both individual elements and average population was acquired. This technique is important for the development of PSi into a microarray platform for highly parallel biosensing applications, especially for cell-based assays.
Synthesis and characterization of silver nanoparticle composite with poly(p-Br-phenylsilane).
Kim, Myoung-Hee; Lee, Jun; Mo, Soo-Yong; Woo, Hee-Gweon; Yang, Kap Seung; Kim, Bo-Hye; Lee, Byeong-Gweon; Sohn, Honglae
2012-05-01
The one-pot synthesis and characterization of silver nanoparticle-poly(p-Br-phenylsilane) composites have been carried out. The conversion of silver(+1) salt to stable silver(0) nanoparticles is promoted by poly(p-Br-phenylsilane), Br-PPS possessing both possible reactive Si-H bonds in the polymer backbone and C-Br bonds in the substituents. The composites were characterized using XRD, TEM, FE-SEM, and solid-state UV-vis analytical techniques. TEM and FE-SEM data show the formation of the composites where large number of silver nanoparticles (less than 30 nm of size) are well dispersed throughout the Br-PPS matrix. XRD patterns are consistent with that for fcc-typed silver. The elemental analysis for Br atom and the polymer solubility confirm that the cleavage of C-Br bond and the Si-Br dative bonding were not occurred appreciably at ambient temperature. Nonetheless, TGA data suggest that some sort of cross-linking was occurred at high temperature. The size and processability of such nanoparticles depend on the ratio of metal to Br-PPS. In the absence of Br-PPS, most of the silver particles undergo macroscopic aggregation, which indicates that the polysilane is necessary for stabilizing the silver nanoparticles.
Phonon Avoided and Scalable Cascade Lasers (PASCAL)
2008-11-01
up We fully developed the mask-less nanolithography technique. The SEM micrographs show that highly uniform nanoholes and nanopillars array can be...by the technique and we produced a large area of high uniform nanoholes perforated in Al films, which is a big step towards making quantum dot...spheres on photoresist ’ • A. W A - " > EN • • • ^Ti—i Figure 14 - SEM images series showing nanoholes generated with
Active Materials for Photonic Systems (AMPS)
1998-04-13
titanium isopropoxide were used as metalorganic precursors. The PZT films grown on the (101) oriented Ru02 electrode layers are highly (001) oriented...fabrication it was noted mat adhesion loss occurred at the platinum/ titanium interface. This loss occurred during stripping of the photoresist layer used to...reveal that the titanium was present as titanium dioxide rather than as the original metal. This indicated that oxygen had diffused through the platinum
Fabrication of precision high quality facets on molecular beam epitaxy material
Petersen, Holly E.; Goward, William D.; Dijaili, Sol P.
2001-01-01
Fabricating mirrored vertical surfaces on semiconductor layered material grown by molecular beam epitaxy (MBE). Low energy chemically assisted ion beam etching (CAIBE) is employed to prepare mirrored vertical surfaces on MBE-grown III-V materials under unusually low concentrations of oxygen in evacuated etching atmospheres of chlorine and xenon ion beams. UV-stabilized smooth-surfaced photoresist materials contribute to highly vertical, high quality mirrored surfaces during the etching.
Low-temperature technique for thick film resist stabilization and curing
NASA Astrophysics Data System (ADS)
Minter, Jason P.; Wong, Selmer S.; Marlowe, Trey; Ross, Matthew F.; Narcy, Mark E.; Livesay, William R.
1999-06-01
For a range of thick film photoresist applications, including MeV ion implant processing, thin film head manufacturing, and microelectromechanical systems processing, there is a need for a low-temperature method for resist stabilization and curing. Traditional methods of stabilizing or curing resist films have relied on thermal cycling, which may not be desirable due to device temperature limitations or thermally-induced distortion of the resist features.
A low-cost, high-yield fabrication method for producing optimized biomimetic dry adhesives
NASA Astrophysics Data System (ADS)
Sameoto, D.; Menon, C.
2009-11-01
We present a low-cost, large-scale method of fabricating biomimetic dry adhesives. This process is useful because it uses all photosensitive polymers with minimum fabrication costs or complexity to produce molds for silicone-based dry adhesives. A thick-film lift-off process is used to define molds using AZ 9260 photoresist, with a slow acting, deep UV sensitive material, PMGI, used as both an adhesion promoter for the AZ 9260 photoresist and as an undercutting material to produce mushroom-shaped fibers. The benefits to this process are ease of fabrication, wide range of potential layer thicknesses, no special surface treatment requirements to demold silicone adhesives and easy stripping of the full mold if process failure does occur. Sylgard® 184 silicone is used to cast full sheets of biomimetic dry adhesives off 4" diameter wafers, and different fiber geometries are tested for normal adhesion properties. Additionally, failure modes of the adhesive during fabrication are noted and strategies for avoiding these failures are discussed. We use this fabrication method to produce different fiber geometries with varying cap diameters and test them for normal adhesion strengths. The results indicate that the cap diameters relative to post diameters for mushroom-shaped fibers dominate the adhesion properties.
NASA Astrophysics Data System (ADS)
Li, Minkang; Zhou, Changhe; Wei, Chunlong; Jia, Wei; Lu, Yancong; Xiang, Changcheng; Xiang, XianSong
2016-10-01
Large-sized gratings are essential optical elements in laser fusion and space astronomy facilities. Scanning beam interference lithography is an effective method to fabricate large-sized gratings. To minimize the nonlinear phase written into the photo-resist, the image grating must be measured to adjust the left and right beams to interfere at their waists. In this paper, we propose a new method to conduct wavefront metrology based on phase-stepping interferometry. Firstly, a transmission grating is used to combine the two beams to form an interferogram which is recorded by a charge coupled device(CCD). Phase steps are introduced by moving the grating with a linear stage monitored by a laser interferometer. A series of interferograms are recorded as the displacement is measured by the laser interferometer. Secondly, to eliminate the tilt and piston error during the phase stepping, the iterative least square phase shift method is implemented to obtain the wrapped phase. Thirdly, we use the discrete cosine transform least square method to unwrap the phase map. Experiment results indicate that the measured wavefront has a nonlinear phase around 0.05 λ@404.7nm. Finally, as the image grating is acquired, we simulate the print-error written into the photo-resist.
Kalsi, Sumit; Powl, Andrew M.; Wallace, B.A.; Morgan, Hywel; de Planque, Maurits R.R.
2014-01-01
Planar lipid bilayers suspended in apertures provide a controlled environment for ion channel studies. However, short lifetimes and poor mechanical stability of suspended bilayers limit the experimental throughput of bilayer electrophysiology experiments. Although bilayers are more stable in smaller apertures, ion channel incorporation through vesicle fusion with the suspended bilayer becomes increasingly difficult. In an alternative bilayer stabilization approach, we have developed shaped apertures in SU8 photoresist that have tapered sidewalls and a minimum diameter between 60 and 100 μm. Bilayers formed at the thin tip of these shaped apertures, either with the painting or the folding method, display drastically increased lifetimes, typically >20 h, and mechanical stability, being able to withstand extensive perturbation of the buffer solution. Single-channel electrical recordings of the peptide alamethicin and of the proteoliposome-delivered potassium channel KcsA demonstrate channel conductance with low noise, made possible by the small capacitance of the 50 μm thick SU8 septum, which is only thinned around the aperture, and unimpeded proteoliposome fusion, enabled by the large aperture diameter. We anticipate that these shaped apertures with micrometer edge thickness can substantially enhance the throughput of channel characterization by bilayer lipid membrane electrophysiology, especially in combination with automated parallel bilayer platforms. PMID:24739164
NASA Astrophysics Data System (ADS)
Varanasi, Rao; Mesawich, Michael; Connor, Patrick; Johnson, Lawrence
2017-03-01
Two versions of a specific 2nm rated filter containing filtration medium and all other components produced from high density polyethylene (HDPE), one subjected to standard cleaning, the other to specialized ultra-cleaning, were evaluated in terms of their cleanliness characteristics, and also defectivity of wafers processed with photoresist filtered through each. With respect to inherent cleanliness, the ultraclean version exhibited a 70% reduction in total metal extractables and 90% reduction in organics extractables compared to the standard clean version. In terms of particulate cleanliness, the ultraclean version achieved stability of effluent particles 30nm and larger in about half the time required by the standard clean version, also exhibiting effluent levels at stability almost 90% lower. In evaluating defectivity of blanket wafers processed with photoresist filtered through either version, initial defect density while using the ultraclean version was about half that observed when the standard clean version was in service, with defectivity also falling more rapidly during subsequent usage of the ultraclean version compared to the standard clean version. Similar behavior was observed for patterned wafers, where the enhanced defect reduction was primarily of bridging defects. The filter evaluation and actual process-oriented results demonstrate the extreme value in using filtration designed possessing the optimal intrinsic characteristics, but with further improvements possible through enhanced cleaning processes
Lost Mold Rapid Infiltration Forming of Mesoscale Ceramics: Part 1, Fabrication
Antolino, Nicholas E.; Hayes, Gregory; Kirkpatrick, Rebecca; Muhlstein, Christopher L.; Frecker, Mary I.; Mockensturm, Eric M.; Adair, James H.
2009-01-01
Free-standing mesoscale (340 μm × 30 μm × 20 μm) bend bars with an aspect ratio over 15:1 and an edge resolution as fine as a single grain diameter (∼400 nm) have been fabricated in large numbers on refractory ceramic substrates by combining a novel powder processing approach with photoresist molds and an innovative lost-mold thermal process. The colloid and interfacial chemistry of the nanoscale zirconia particulates has been modeled and used to prepare highly concentrated suspensions. Engineering solutions to challenges in mold fabrication and casting have yielded free-standing, crack-free parts. Molds are fabricated using high-aspect-ratio photoresist on ceramic substrates. Green parts are formed using a rapid infiltration method that exploits the shear thinning behavior of the highly concentrated ceramic suspension in combination with gelcasting. The mold is thermally decomposed and the parts are sintered in place on the ceramic substrate. Chemically aided attrition milling disperses and concentrates the as-received 3Y-TZP powder to produce a dense, fine-grained sintered microstructure. Initial three-point bend strength data are comparable to that of conventional zirconia; however, geometric irregularities (e.g., trapezoidal cross sections) are present in this first generation and are discussed with respect to the distribution of bend strength. PMID:19809595
Creating micro-scale surface topology to achieve anisotropic wettability on an aluminum surface
NASA Astrophysics Data System (ADS)
Sommers, Andrew D.; Jacobi, Anthony M.
2006-08-01
A technique for fabricating micropatterned aluminum surfaces with parallel grooves 30 µm wide and tens of microns in depth is described. Standard photolithographic techniques are used to obtain this precise surface-feature patterning. Positive photoresists, S1813 and AZ4620, are selected to mask the surface, and a mixture of BCl3 and Cl2 gases is used to perform the etching. Experimental data show that a droplet placed on the micro-grooved aluminum surface using a micro-syringe exhibits an increased apparent contact angle, and for droplets condensed on these etched surfaces, more than a 50% reduction in the volume needed for the onset of droplet sliding is manifest. No chemical surface treatment is necessary to achieve this water repellency; it is accomplished solely by an anisotropic surface morphology that manipulates droplet geometry and creates and exploits discontinuities in the three-phase contact line. These micro-structured surfaces are proposed for use in a broad range of air-cooling applications, where the management of condensate and defrost liquid on the heat transfer surface is essential to the energy-efficient operation of the machine.
Silk protein nanowires patterned using electron beam lithography.
Pal, Ramendra K; Yadavalli, Vamsi K
2018-08-17
Nanofabrication approaches to pattern proteins at the nanoscale are useful in applications ranging from organic bioelectronics to cellular engineering. Specifically, functional materials based on natural polymers offer sustainable and environment-friendly substitutes to synthetic polymers. Silk proteins (fibroin and sericin) have emerged as an important class of biomaterials for next generation applications owing to excellent optical and mechanical properties, inherent biocompatibility, and biodegradability. However, the ability to precisely control their spatial positioning at the nanoscale via high throughput tools continues to remain a challenge. In this study electron beam lithography (EBL) is used to provide nanoscale patterning using methacrylate conjugated silk proteins that are photoreactive 'photoresists' materials. Very low energy electron beam radiation can be used to pattern silk proteins at the nanoscale and over large areas, whereby such nanostructure fabrication can be performed without specialized EBL tools. Significantly, using conducting polymers in conjunction with these silk proteins, the formation of protein nanowires down to 100 nm is shown. These wires can be easily degraded using enzymatic degradation. Thus, proteins can be precisely and scalably patterned and doped with conducting polymers and enzymes to form degradable, organic bioelectronic devices.
NASA Astrophysics Data System (ADS)
Wang, Yuanyuan; Zhang, Deyuan; Cai, Jun
2016-02-01
Diatomite has delicate porous structures and various shapes, making them ideal templates for microscopic core-shell particles fabrication. In this study, a new process of magnetron sputtering assisted with photoresist positioning was proposed to fabricate lightweight silver coated porous diatomite with superior coating quality and performance. The diatomite has been treated with different sputtering time to investigate the silver film growing process on the surface. The morphologies, constituents, phase structures and surface roughness of the silver coated diatomite were analyzed with SEM, EDS, XRD and AFM respectively. The results showed that the optimized magnetron sputtering time was 8-16 min, under which the diatomite templates were successfully coated with uniform silver film, which exhibits face centered cubic (fcc) structure, and the initial porous structures were kept. Moreover, this silver coating has lower surface roughness (RMS 4.513 ± 0.2 nm) than that obtained by electroless plating (RMS 15.692 ± 0.5 nm). And the infrared emissivity of coatings made with magnetron sputtering and electroless plating silver coated diatomite can reach to the lowest value of 0.528 and 0.716 respectively.
Ruffato, Gianluca; Rossi, Roberto; Massari, Michele; Mafakheri, Erfan; Capaldo, Pietro; Romanato, Filippo
2017-12-21
In this paper, we present the design, fabrication and optical characterization of computer-generated holograms (CGH) encoding information for light beams carrying orbital angular momentum (OAM). Through the use of a numerical code, based on an iterative Fourier transform algorithm, a phase-only diffractive optical element (PO-DOE) specifically designed for OAM illumination has been computed, fabricated and tested. In order to shape the incident beam into a helicoidal phase profile and generate light carrying phase singularities, a method based on transmission through high-order spiral phase plates (SPPs) has been used. The phase pattern of the designed holographic DOEs has been fabricated using high-resolution Electron-Beam Lithography (EBL) over glass substrates coated with a positive photoresist layer (polymethylmethacrylate). To the best of our knowledge, the present study is the first attempt, in a comprehensive work, to design, fabricate and characterize computer-generated holograms encoding information for structured light carrying OAM and phase singularities. These optical devices appear promising as high-security optical elements for anti-counterfeiting applications.
Manufacturing Methods and Technology Project Summary Reports.
1980-12-01
deposition of chrome-copper (Cr- Cu ), dry-film photoresist application, photolithographic masking, spray etching, die bonding, ultrasonic...4) cold roll forging. Of these, the cold roll forging process is the most widely used for the pro- duction of steel and low alloy blades. It provides... sprayed Mo- Al -Ni both provide relatively good wear resistance, see Figure 1. The powder -flame sprayed aluminum bronze did not perform as well. 147 -S t. I
1995-05-15
cooled to room temperature. Titanium isopropoxide and zirconium n-propoxide were then added (inside a glove box) to levels that correspond to the...ously patterned with a 200-nm-thick evaporated platinum film. In addition to the platinum there was a 40-nm titanium adhesion layer between the...an etch composed of buffered HF, HC1 and H20 [6]. By using a photoresist lift-off process, the top titanium -gold layer is formed, which provides the
2009-08-31
Firstly we investigated the bend loss mechanism in a waveguide made using SU8 - a negative photosensitive polymer . Simulations were performed using...present demonstration, the polymer used was SU8 (Microchem Corp.), a negative photoresist. Patterning of the microdisks were achieved using both soft...Proposed All-optical flip flop which uses a passive microring resonator integrated with active elements. Also shown is the crossesction of the SU8
Application of Nondestructive Testing Techniques to Materials Testing.
1987-12-01
microscopy gives little quanti- image the center place of the Bragg cell to the back focal tative information on surface height. Nomarski differential...case we can write our technique in a shot-noise limited system, intensity (i2) f 2qloB = 2q 2 7PB measurements can yield interferometric accuracies. nh...comparable in sensitivity to OPTICAL AXIS phase-dependent interferometric techniques. Thedo--i thicknesses of photoresist films have been measured to f_
Holographic Optical Elements with Ultra-High Spatial Frequencies.
1983-01-01
optical film thickness is equal to one-quarter of the wavelength of the incident radiation and the film’s index of refraction is...Am amount of photoresist material removed by developer N diffractive order number n index of refraction nx index of refraction -- x direction ny index ...since a material with the required index of refraction is usually hard to find4 7 . For example, there is no inorganic material available for
Holographic recording materials - A review
NASA Technical Reports Server (NTRS)
Kurtz, R. L.; Owen, R. B.
1975-01-01
Holographic recording materials in current use are examined along with a few of their applications. Some experimental media are also studied. No effort is made to rank the commercial materials, since satisfactory results can be obtained with any of them. The discussion covers silver halide plates and films, photoresists, thermoplastics, photopolymers, dichromated gelatin, photochromic materials, electrooptical crystals, styryl free radical film, and TEP film. A convenient summation of some material properties is presented in tabular form.
Microwave zero-resistance states in a bilayer electron system.
Wiedmann, S; Gusev, G M; Raichev, O E; Bakarov, A K; Portal, J C
2010-07-09
Magnetotransport measurements on a high-mobility electron bilayer system formed in a wide GaAs quantum well reveal vanishing dissipative resistance under continuous microwave irradiation. Profound zero-resistance states (ZRS) appear even in the presence of additional intersubband scattering of electrons. We study the dependence of photoresistance on frequency, microwave power, and temperature. Experimental results are compared with a theory demonstrating that the conditions for absolute negative resistivity correlate with the appearance of ZRS.
A Research Program in Computer Technology
1979-01-01
barrier walls within the cell in a grid or "waffle" pattern, sepnrnting each pixel from its neighbors. The walls need not extend to the front surface...migration and degradation of display p(.rformanco. The grid can be made of photoresist film by standard photolithographic techniques. I xtruurrs. Using the EP...this variation is normally quite smooth, but significant. However, for use in a smart terminal, where visible cursor feedback is available or where
Integrated Optical Information Processing
1988-08-01
applications in optical disk memory systems [91. This device is constructed in a glass /SiO2/Si waveguide. The choice of a Si substrate allows for the...contact mask) were formed in the photoresist deposited on all of the samples, we covered the unwanted gratings on each sample with cover glass slides...processing, let us consider TeO2 (v, = 620 m/s) as a potential substrate for applications requiring large time delays. This con- sideration is despite
Rushford, Michael C.
2002-01-01
An optical monitoring instrument monitors etch depth and etch rate for controlling a wet-etching process. The instrument provides means for viewing through the back side of a thick optic onto a nearly index-matched interface. Optical baffling and the application of a photoresist mask minimize spurious reflections to allow for monitoring with extremely weak signals. A Wollaston prism enables linear translation for phase stepping.
Joint Services Electronics Program
1982-09-30
and angle both within the wafer and in the backscattered signal have been published by Y. C. Lin (Ph.D. thesis ). As an extension of that work, Albert...zositive photoresist," Ř.S. thesis , Department of Flectrical Engineering and Computer Sciences, University of California, Berkeley. Kim, W. 3. Oldham and A...Mehotra, "’Tnaracteriza :on of ?ositive Phcoresist," .!. S Thesis University of California, Berkeley, 1980. [31 d. 3. Oldham, "In Situ Characterization of
Development of a Biosensor Nanofluidic Platform for Integration with Terahertz Spectroscopic System
2014-06-27
space. The instrumentation for fabrication of micro/nano-fluidic chips including a Laser-Cutting System, a Sputtering system, a Spin Coating ...polyester (PET) substrate, as PET is more chemically and thermally resistant, and can be readily obtained in a variety of thicknesses down to 12.5 um...to create the array pattern on the silver coated PET substrate. Copper was then electrodeposited to a thickness of 5 um around the photoresist
Characterization of sub-0.18-μm critical dimension pattern collapse for yield improvement
NASA Astrophysics Data System (ADS)
Zhong, Tom X.; Gurer, Emir; Lee, Ed C.; Bai, Hong; Gendron, Bill; Krishna, Murthy S.; Reynolds, Reese M.
1999-09-01
In this study, we demonstrate that surface-resist interface interactions are becoming more crucial in DUV lithography as we enter deep into the sub-wavelength era of smaller critical dimension (CD) size and high aspect ratio. This interaction reveals itself as an adhesion reduction of the resist film due to the smaller contact area between the feature and the substrate. Considerable yield improvements in a manufacturing environment can be realized if pattern collapsing of smaller features is prevented by means of proper priming. In addition, next generation photoresist processing equipments must be able to deliver excellent on-wafer results with minimum chemical consumption as environmental health and safety (EHS) requirements are better appreciated in the marketplace. HMDS is not only highly toxic but it is also a prime threat to CD control of most deep ultra violet (DUV) photoresists used for sub-0.18 micrometer design rules. The by-product NH3 created during priming process with HMDS can neutralize the photo-acid created during the exposure step. There are many technical opportunities in this usually neglected priming process step. In this study, we characterized sub-0.18 micrometer isolated line pattern collapse for UV5 resist on bare Si wafers by using a scanning electron microscope (SEM). The smallest line width printability on wafers primed with different contact angles was analyzed by using both top down and cross section SEM images. Our results show that there is a strong effect of substrate surface and film interface interaction on device yields. More specifically, there is a strong correlation between pattern integrity of features down to 115 nm and vapor prime process conditions. In general, wafers with higher contact angle can support smaller line widths. These results suggest that higher contact angle than the current specification will be required for sub-0.1 micrometer design rule for improved yield. An alternative material to HMDS will probably be needed due to more stringent future requirements and weak bonding characteristics of HMDS. Based on the result of this study, we propose an HMDS consumption reduction scheme for line-widths above 0.2 micrometer. There are many priming-related modular and system level technical enhancements that can be designed in the next generation photoresist processing tools in order to extend 248 nm lithography towards smaller feature sizes.
Light Scattering from Rough Surfaces. Appendix. Angular Correlation of Speckle Patterns. Draft
1994-06-01
For his demonstrations of the various experimental techniques, I owe thanks to Andrew Sant. Also, on behalf of all students writing (and written) up ...less controllable, radar set up . 1.1.1 Theoretical Models This section will present some of the theoretical models which exist for determining the...centre of a turntable set up to spin at :300 revolutions per minute. While the turntable is stationary, photoresist is applied to the centre of the
Up-conversion in an Er-containing nanocomposite and microlasers based on it
NASA Astrophysics Data System (ADS)
Sobeshchuk, N. O.; Denisyuk, I. Yu.
2017-06-01
The results of an investigation of three-dimensional polymer microcavities doped with inorganic luminescent particles are presented. Microlasers in the form of rectangular parallelepipeds were fabricated based on the SU8 2025 photoresist by means of compact UV lithography. Luminescent particles containing erbium oxide were obtained by low-temperature synthesis of the corresponding chlorides in a nonaqueous medium. The obtained spectra confirm the presence of a narrowband laser radiation exhibiting a Stokes shift.
Fabrication of metallic microstructures by micromolding nanoparticles
Morales, Alfredo M.; Winter, Michael R.; Domeier, Linda A.; Allan, Shawn M.; Skala, Dawn M.
2002-01-01
A method is provided for fabricating metallic microstructures, i.e., microcomponents of micron or submicron dimensions. A molding composition is prepared containing an optional binder and nanometer size (1 to 1000 nm in diameter) metallic particles. A mold, such as a lithographically patterned mold, preferably a LIGA or a negative photoresist mold, is filled with the molding composition and compressed. The resulting microstructures are then removed from the mold and the resulting metallic microstructures so provided are then sintered.
Fabrication of freestanding, microperforated membranes and their applications in microfluidics
Zheng, Yizhe; Dai, Wen; Ryan, Declan; Wu, Hongkai
2010-01-01
This manuscript describes a convenient method for the fabrication of freestanding, microperforated membranes in photocurable polymers using only one step of photolithography. We used photosensitive prepolymers to make the membranes and photolithography to define the micropatterns. We demonstrated the fabrication of single- and multilayer microperforated membranes in SU-8 photoresist and Norland Optical Adhesive prepolymer. These membranes can be used to pattern surfaces in various materials and to fabricate complex three-dimensional microfluidic channel structures. PMID:21045933
Ghosh, Siddharth; Ananthasuresh, G K
2016-01-04
We report microstructures of SU-8 photo-sensitive polymer with high-aspect-ratio, which is defined as the ratio of height to in-plane feature size. The highest aspect ratio achieved in this work exceeds 250. A multi-layer and single-photon lithography approach is used in this work to expose SU-8 photoresist of thickness up to 100 μm. Here, multi-layer and time-lapsed writing is the key concept that enables nanometer localised controlled photo-induced polymerisation. We use a converging monochromatic laser beam of 405 nm wavelength with a controllable aperture. The reflection of the converging optics from the silicon substrate underneath is responsible for a trapezoidal edge profile of SU-8 microstructure. The reflection induced interfered point-spread-function and multi-layer-single-photon exposure helps to achieve sub-wavelength feature sizes. We obtained a 75 nm tip diameter on a pyramid shaped microstructure. The converging beam profile determines the number of multiple optical focal planes along the depth of field. These focal planes are scanned and exposed non-concurrently with varying energy dosage. It is notable that an un-automated height axis control is sufficient for this method. All of these contribute to realising super-high-aspect-ratio and 3D micro-/nanostructures using SU-8. Finally, we also address the critical problems of photoresist-based micro-/nanofabrication and their solutions.
Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching
NASA Astrophysics Data System (ADS)
Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li
2016-10-01
HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.
NASA Astrophysics Data System (ADS)
Singh, Vikram; Satyanarayana, Vardhineedi Sri Venkata; Batina, Nikola; Reyes, Israel Morales; Sharma, Satinder K.; Kessler, Felipe; Scheffer, Francine R.; Weibel, Daniel E.; Ghosh, Subrata; Gonsalves, Kenneth E.
2014-10-01
Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising next-generation lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ/cm2. The present work demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photoresists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containing the radiation sensitive sulfonium functionality. Investigations into the effect of several process parameters are reported. These include spinning conditions to obtain film thicknesses <50 nm, baking regimes, exposure conditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, and resolution has been assessed for the optimization of 20 nm features and the corresponding LER/line width roughness. These n-CARs have also been found to possess high etch resistance. The etch durability of MAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the silicon substrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing new resist materials with improved efficiency for EUVL through polymer microstructure engineering.
NASA Astrophysics Data System (ADS)
Kim, Jungkwun; Yoon, Yong-Kyu
2015-07-01
A rapid three-dimensional (3-D) ultraviolet (UV) lithography process for the fabrication of millimeter-tall high aspect ratio complex structures is presented. The liquid-state negative-tone photosensitive polyurethane, LF55GN, has been directly photopatterned using multidirectionally projected UV light for 3-D micropattern formation. The proposed lithographic scheme enabled us to overcome the maximum height obtained with a photopatternable epoxy, SU8, which has been conventionally most commonly used for the fabrication of tall and high aspect ratio microstructures. Also, the fabrication process time has been significantly reduced by eliminating photoresist-baking steps. Computer-controlled multidirectional UV lithography has been employed to fabricate 3-D structures, where the UV-exposure substrate is dynamically tilt-rotating during UV exposure to create various 3-D ray traces in the polyurethane layer. LF55GN has been characterized to provide feasible fabrication conditions for the multidirectional UV lithography. Very tall structures including a 6-mm tall triangular slab and a 5-mm tall hexablaze have been successfully fabricated. A 4.5-mm tall air-lifted polymer-core bowtie monopole antenna, which is the tallest monopole structure fabricated by photolithography and subsequent metallization, has been successfully demonstrated. The antenna shows a resonant radiation frequency of 12.34 GHz, a return loss of 36 dB, and a 10 dB bandwidth of 7%.
Rapid fabrication of a micro-ball lens array by extrusion for optical fiber applications.
Shen, S C; Huang, J C
2009-07-20
Batch-fabrication of a micro-ball lens array (MBA) could not only reduce micro assembly costs but also replace conventional ball lenses or costly GRINs (Gradient Refractive Index) without compromising performance. Compared with conventional half-spherical micro-lenses, the MBA is a spherical micro-lens that can focus light in all directions, thus providing the flexibility required for optical applications. Current MBAs are made of SU-8 photoresist by an extrusion process rather than the traditional thermal reflow process. The aim of this study was to develop a new process for MBA batch-fabrication, performed at ambient temperature, by spin-coating SU-8 onto a silicon-wafer surface, which serves as an extrusion plate, and extruding it through a nozzle to form an MBA. The nozzle consists of a nozzle orifice and nozzle cavity, the former being defined and made from SU-8 photoresist using ultra-violet (UV) lithography, which results in good mechanical properties. In this paper, the fabrication of 4 x 4 MBAs with diameters ranging from 60 to 550 um is described. Optical measurements indicated a diameter variance within 3% and a maximum coupling efficiency of approximately 62% when the single mode fiber (SMF) was placed at a distance of 10 um from the MBA. The results of this study proved that MBA fabrication by the extrusion process can enhance the coupling efficiency.
Direct laser writing for micro-optical devices using a negative photoresist.
Tsutsumi, Naoto; Hirota, Junichi; Kinashi, Kenji; Sakai, Wataru
2017-12-11
Direct laser writing (DLW) via two-photon absorption (TPA) has attracted much attention as a new microfabrication technique because it can be applied to fabricate complex, three-dimensional (3D) microstructures. In this study, 3D microstructures and micro-optical devices of micro-lens array on the micrometer scale are fabricated using the negative photoresist SU-8 through TPA with a femtosecond laser pulse under a microscope. The effects of the irradiation conditions on linewidths, such as laser power, writing speed, and writing cycles (a number of times a line is overwritten), are investigated before the fabrication of the 3D microstructures. Various microstructures such as woodpiles, hemisphere and microstructures, 3D micro-lens and micro-lens array for micro-optical devices are fabricated. The shape of the micro-lens is evaluated using the shape analysis mode of a laser microscope to calculate the working distance of the fabricated micro-lenses. The calculated working distance corresponds well to the experimentally measured value. The focusing performance of the fabricated micro-lens is confirmed by the TPA fluorescence of an isopropyl thioxanthone (ITX) ethanol solution excited by a Ti:sapphire femtosecond laser at 800 nm. Micro-lens array (assembled 9 micro-lenses) are fabricated. Nine independent woodpile structures are simultaneously manufactured by DLW via TPA to confirm the multi-focusing ability using the fabricated micro-lens array.
High-Q and highly reproducible microdisks and microlasers.
Zhang, Nan; Wang, Yujie; Sun, Wenzhao; Liu, Shuai; Huang, Can; Jiang, Xiaoshun; Xiao, Min; Xiao, Shumin; Song, Qinghai
2018-01-25
High quality (Q) factor microdisks are fundamental building blocks of on-chip integrated photonic circuits and biological sensors. The resonant modes in microdisks circulate near their boundaries, making their performances strongly dependent upon surface roughness. Surface-tension-induced microspheres and microtoroids are superior to other dielectric microdisks when comparing Q factors. However, most photonic materials such as silicon and negative photoresists are hard to be reflowed and thus the realizations of high-Q microdisks are strongly dependent on electron-beam lithography. Herein, we demonstrate a robust, cost-effective, and highly reproducible technique to fabricate ultrahigh-Q microdisks. By using silica microtoroids as masks, we have successfully replicated their ultrasmooth boundaries in a photoresist via anisotropic dry etching. The experimentally recorded Q factors of passive microdisks can be as large as 1.5 × 10 6 . Similarly, ultrahigh Q microdisk lasers have also been replicated in dye-doped polymeric films. The laser linewidth is only 8 pm, which is limited by the spectrometer and is much narrower than that in previous reports. Meanwhile, high-Q deformed microdisks have also been fabricated by controlling the shape of microtoroids, making the internal ray dynamics and external directional laser emissions controllable. Interestingly, this technique also applies to other materials. Silicon microdisks with Q > 10 6 have been experimentally demonstrated with a similar process. We believe this research will be important for the advances of high-Q micro-resonators and their applications.
Molnar, Wolfgang; Wojcik, Tomasz; Pongratz, Peter; Auner, Norbert; Bauch, Christian; Bertagnolli, Emmerich
2012-01-01
Summary Perchlorinated polysilanes were synthesized by polymerization of tetrachlorosilane under cold plasma conditions with hydrogen as a reducing agent. Subsequent selective cleavage of the resulting polymer yielded oligochlorosilanes SinCl2 n +2 (n = 2, 3) from which the octachlorotrisilane (n = 3, Cl8Si3, OCTS) was used as a novel precursor for the synthesis of single-crystalline Si nanowires (NW) by the well-established vapor–liquid–solid (VLS) mechanism. By adding doping agents, specifically BBr3 and PCl3, we achieved highly p- and n-type doped Si-NWs by means of atmospheric-pressure chemical vapor deposition (APCVD). These as grown NWs were investigated by means of scanning electron microscopy (SEM) and transmission electron microscopy (TEM), as well as electrical measurements of the NWs integrated in four-terminal and back-gated MOSFET modules. The intrinsic NWs appeared to be highly crystalline, with a preferred growth direction of [111] and a specific resistivity of ρ = 6 kΩ·cm. The doped NWs appeared to be [112] oriented with a specific resistivity of ρ = 198 mΩ·cm for p-type Si-NWs and ρ = 2.7 mΩ·cm for n-doped Si-NWs, revealing excellent dopant activation. PMID:23019552
DCT-TCI: Real Gas Characterization of Plasma Flow Control - An Integrated Approach
2011-12-23
as Navier-Stokes equations are solved in this study. We utilize the two-species basic model to reduce the computational complexity of plasma...constant of 3.0. Copper tape was first adhered to both sides of a 3 mm thick acrylic plate. A negative photo-resist, a transparent film and a UV light...ferric chloride. The reminiscence of the adhesive glue left behind by the copper tape was removed using a solvent such as methanol or acetone. The
Lab on a Chip Packing of Submicron Particles for High Performance EOF Pumping
2010-08-26
and wet etching techniques, using a soda lime glass substrate coated with chromium and photoresist (Nanofilm, Westlake Village, CA). A weir structure...observed previously for these soda lime glass microchips [8]. Images of the three segments of different sized particles con- tainedwithin the packed... Silica beads High pressure Lab on a chip a b s t r a c t The packing of submicrometer sized silica beads inside a microchannel was enabled by a novel
Process For Patterning Dispenser-Cathode Surfaces
NASA Technical Reports Server (NTRS)
Garner, Charles E.; Deininger, William D.
1989-01-01
Several microfabrication techniques combined into process cutting slots 100 micrometer long and 1 to 5 micrometer wide into tungsten dispenser cathodes for traveling-wave tubes. Patterned photoresist serves as mask for etching underlying aluminum. Chemically-assisted ion-beam etching with chlorine removes exposed parts of aluminum layer. Etching with fluorine or chlorine trifluoride removes tungsten not masked by aluminum layer. Slots enable more-uniform low-work function coating dispensed to electron-emitting surface. Emission of electrons therefore becomes more uniform over cathode surface.
Double exposure using 193nm negative tone photoresist
NASA Astrophysics Data System (ADS)
Kim, Ryoung-han; Wallow, Tom; Kye, Jongwook; Levinson, Harry J.; White, Dave
2007-03-01
Double exposure is one of the promising methods for extending lithographic patterning into the low k I regime. In this paper, we demonstrate double patterning of k 1-effective=0.25 with improved process window using a negative resist. Negative resist (TOK N- series) in combination with a bright field mask is proven to provide a large process window in generating 1:3 = trench:line resist features. By incorporating two etch transfer steps into the hard mask material, frequency doubled patterns could be obtained.
Creation of Scalable, Cartridge-Based Microreactor Reformers
2010-10-31
empty. In all cases, dense fired cordierite honeycomb- monoliths with a cell density of 72 cells per square inch (CPS1) were supplied from Rauschert...34 nominal pipe thread (NPT) fittings for fluidic connections to the experimental apparatus. All prototype features and fluidic connections were 1/16", with...of 3000 rpm for 1 min. The photoresist is then soft baked at 90°C for 1 minute on a hot plate. The resist is exposed to Mask Al/Cl by proximity
Tunable Far Infrared Semiconductor Sources.
1984-01-01
plasmons in Si-MOS4 hot electron transport in Si-MOS-devices a , ABSTR ACT (Coathwe st e verse 8641 It ut’.weemY dmd ideti ty by block tnmber) {fhe...After baking at 900C for 20 minutes the photoresist was -17- exposed for 8 seconds on the SUss-MJB3-contact lithography machine. To obtain grating...could fabricate Al gratings with 1.5 am - periods on Si-MOSFETs and GaAs-samples by optical contact lithography and lift-off metallization. Fig. 8 shows
Selective formation of porous silicon
NASA Technical Reports Server (NTRS)
Fathauer, Jones (Inventor)
1993-01-01
A pattern of porous silicon is produced in the surface of a silicon substrate by forming a pattern of crystal defects in said surface, preferably by applying an ion milling beam through openings in a photoresist layer to the surface, and then exposing said surface to a stain etchant, such as HF:HNO3:H20. The defected crystal will preferentially etch to form a pattern of porous silicon. When the amorphous content of the porous silicon exceeds 70 percent, the porous silicon pattern emits visible light at room temperature.
Broadly Applicable Nanowafer Drug Delivery System for Treating Eye Injuries
2017-08-01
INTRODUCTION Soldiers affected by eye injuries require immediate and effective treatment. The acute phase occurs at the time of the injury and results...C for 3min. The photoresist coated silicon wafer was exposed to 8 UV radiation through a mask containing a 3μm diameter circular pattern for 12s... Syndrome . Eur. J. Ophthalmol. 2013, 23, 368–376. 23. Acharya, G.; Shin, C. S.; McDermott, M.; Mishra, H.; Park, H.; Kwon, I. C.; Park, K. The Hydrogel
Fabrication of polydimethylsiloxane (PDMS) - based multielectrode array for neural interface.
Kim, Jun-Min; Oh, Da-Rong; Sanchez, Joaquin; Kim, Shang-Hyub; Seo, Jong-Mo
2013-01-01
Flexible multielectrode arrays (MEAs) are being developed with various materials, and polyimide has been widely used due to the conveniece of process. Polyimide is developed in the form of photoresist. And this enable precise and reproducible fabrication. PDMS is another good candidate for MEA base material, but it has poor surface energy and etching property. In this paper, we proposed a better fabrication process that could modify PDMS surface for a long time and open the site of electrode and pad efficiently without PDMS etching.
Graphene fixed-end beam arrays based on mechanical exfoliation
NASA Astrophysics Data System (ADS)
Li, Peng; You, Zheng; Haugstad, Greg; Cui, Tianhong
2011-06-01
A low-cost mechanical exfoliation method is presented to transfer graphite to graphene for free-standing beam arrays. Nickel film or photoresist is used to peel off and transfer patterned single-layer or multilayer graphene onto substrates with macroscopic continuity. Free-standing graphene beam arrays are fabricated on both silicon and polymer substrates. Their mechanical properties are studied by atomic force microscopy. Finally, a graphene based radio frequency switch is demonstrated, with its pull-in voltage and graphene-silicon junction investigated.
Microring resonator based modulator made by direct photodefinition of an electro-optic polymer
NASA Astrophysics Data System (ADS)
Balakrishnan, M.; Faccini, M.; Diemeer, M. B. J.; Klein, E. J.; Sengo, G.; Driessen, A.; Verboom, W.; Reinhoudt, D. N.
2008-04-01
A laterally coupled microring resonator was fabricated by direct photodefinition of negative photoresist SU8, containing tricyanovinylidenediphenylaminobenzene chromophore, by exploiting the low ultraviolet absorption window of this chromophore. The ring resonator was first photodefined by slight cross-linking. Thereafter, poling (to align the chromophores) and further cross-linking (to increase the glass transition temperature) were simultaneously carried out. The material showed excellent photostability and the electro-optic modulation with an r33 of 11pm/V was demonstrated at 10MHz.
All-optical Integrated Switches Based on Azo-benzene Liquid Crystals on Silicon
2011-11-01
Glass D263 SU8 Polymer Polymer NLC n̂ n̂ Refractive index @1.55 µm Materials n// = 1.689 n⊥= 1.502 n = 1.575 n = 1.516 E7 Glass D263 SU8 ...In the other case we have a nonlinear LCW based on glass substrates. It consists in a rectangular hollow realized in SU8 photoresist two glass...and discussion 5. All optical polymeric waveguide: methods, assumptions and procedure 6. All optical polymeric waveguide: results and discussion 7
Early Intervention Stem Cell-Based Therapy (EISCBT) for Corneal Burns and Trauma
2016-10-01
formation through material chemistry, topography, and mechanical properties (Clark et al., 1991; Engler et al., 2006; LeGeros, 2008). During development...methods ( Sun et al., 2015). Glass wafers were cleaned with 70% ethanol spin, coated with a 5 µm thick layer of SPR220.3 photoresist (MicroChem Corp...which point a tissue sheet could be mechanically separated from the substrate. Select tissue sheets were stained with Vybrant DiI ((2Z)-2-[(E)-3-(3,3
Bilayer lift-off process for aluminum metallization
NASA Astrophysics Data System (ADS)
Wilson, Thomas E.; Korolev, Konstantin A.; Crow, Nathaniel A.
2015-01-01
Recently published reports in the literature for bilayer lift-off processes have described recipes for the patterning of metals that have recommended metal-ion-free developers, which do etch aluminum. We report the first measurement of the dissolution rate of a commercial lift-off resist (LOR) in a sodium-based buffered commercial developer that does not etch aluminum. We describe a reliable lift-off recipe that is safe for multiple process steps in patterning thin (<100 nm) and thick aluminum devices with micron-feature sizes. Our patterning recipe consists of an acid cleaning of the substrate, the bilayer (positive photoresist/LOR) deposition and development, the sputtering of the aluminum film along with a palladium capping layer and finally, the lift-off of the metal film by immersion in the LOR solvent. The insertion into the recipe of postexposure and sequential develop-bake-develop process steps are necessary for an acceptable undercut. Our recipe also eliminates any need for accompanying sonication during lift-off that could lead to delamination of the metal pattern from the substrate. Fine patterns were achieved for both 100-nm-thick granular aluminum/palladium bilayer bolometers and 500-nm-thick aluminum gratings with 6-μm lines and 4-μm spaces.
Scalable fabrication of carbon-based MEMS/NEMS and their applications: a review
NASA Astrophysics Data System (ADS)
Jiang, Shulan; Shi, Tielin; Zhan, Xiaobin; Xi, Shuang; Long, Hu; Gong, Bo; Li, Junjie; Cheng, Siyi; Huang, Yuanyuan; Tang, Zirong
2015-11-01
The carbon-based micro/nano electromechanical system (MEMS/NEMS) technique provides a powerful approach to large-scale manufacture of high-aspect-ratio carbon structures for wafer-level processing. The fabricated three-dimensional (3D) carbon structures have the advantages of excellent electrical and electrochemical properties, and superior biocompatibility. In order to improve their performance for applications in micro energy storage devices and microsensors, an increase in the footprint surface area is of great importance. Various approaches have been proposed for fabricating large surface area carbon-based structures, including the integration of nanostructures such as carbon nanotubes (CNTs), graphene, nanowires, nanofilms and nanowrinkles onto 3D structures, which has been proved to be effective and productive. Moreover, by etching the 3D photoresist microstructures through oxygen plasma or modifying the photoresist with specific materials which can be etched in the following pyrolysis process, micro/nano hierarchical carbon structures have been fabricated. These improved structures show excellent performance in various applications, especially in the fields of biological sensors, surface-enhanced Raman scattering, and energy storage devices such as micro-supercapacitors and fuel cells. With the rapid development of microelectronic devices, the carbon-based MEMS/NEMS technique could make more aggressive moves into microelectronics, sensors, miniaturized power systems, etc. In this review, the recent advances in the fabrication of micro/nano hierarchical carbon-based structures are introduced and the technical challenges and future outlook of the carbon-based MEMS/NEMS techniques are also analyzed.
NASA Astrophysics Data System (ADS)
Chung, C. K.; Hong, Y. Z.
2007-02-01
The effect of O2 plasma treatment on the surface property of exposed and unexposed SU8 photoresist has been investigated for the fabrication of a monolithic MEMS microstructure. It can solve the non-uniformity problem of second resist coating on the SU8 with high intrinsic shrinkage after exposure and post-exposure baking (PEB) in the fabrication of the stacked polymer-metal or polymer-polymer structure, which was used in the application of microfluid, bio and chemistry. The thickness difference of untreated SU8 before PEB between the exposed and unexposed SU8 was about 0.3% while that after PEB increased to about 6%. It could result in large non-uniformity of about 18 µm thickness difference for the following second resist coating on the hydrophobic surface without plasma treatment. The surface property of SU8 in terms of the contact angle and surface energy can be adjusted by O2 plasma treatment for enhancing the coating uniformity of the following resist. The measured contact angles of the exposed and unexposed SU8 decrease with O2 plasma time, corresponding to the increased surface energy determined by the Lifshitz-van der Waals/Lewis acid-base approach. It displayed that the similar hydrophilic surface property can minimize the thickness difference of second resist coating on the first shrunken SU8. A monolithic nozzle plate with a physical resolution of 600 dpi in a single column was demonstrated for an inkjet application based on the improved uniformity.
TiO2 micro-flowers composed of nanotubes and their application to dye-sensitized solar cells.
Kim, Woong-Rae; Park, Hun; Choi, Won-Youl
2014-02-24
TiO2 micro-flowers were made to bloom on Ti foil by the anodic oxidation of Ti-protruding dots with a cylindrical shape. Arrays of the Ti-protruding dots were prepared by photolithography, which consisted of coating the photoresists, attaching a patterned mask, illuminating with UV light, etching the Ti surface by reactive ion etching (RIE), and stripping the photoresist on the Ti foil. The procedure for the blooming of the TiO2 micro-flowers was analyzed by field emission scanning electron microscopy (FESEM) as the anodizing time was increased. Photoelectrodes of dye-sensitized solar cells (DSCs) were fabricated using TiO2 micro-flowers. Bare TiO2 nanotube arrays were used for reference samples. The short-circuit current (Jsc) and the power conversion efficiency of the DSCs based on the TiO2 micro-flowers were 4.340 mA/cm2 and 1.517%, respectively. These values of DSCs based on TiO2 micro-flowers were higher than those of bare samples. The TiO2 micro-flowers had a larger surface area for dye adsorption compared to bare TiO2 nanotube arrays, resulting in improved Jsc characteristics. The structure of the TiO2 micro-flowers allowed it to adsorb dyes very effectively, also demonstrating the potential to achieve higher power conversion efficiency levels for DSCs compared to a bare TiO2 nanotube array structure and the conventional TiO2 nanoparticle structure.
TiO2 micro-flowers composed of nanotubes and their application to dye-sensitized solar cells
2014-01-01
TiO2 micro-flowers were made to bloom on Ti foil by the anodic oxidation of Ti-protruding dots with a cylindrical shape. Arrays of the Ti-protruding dots were prepared by photolithography, which consisted of coating the photoresists, attaching a patterned mask, illuminating with UV light, etching the Ti surface by reactive ion etching (RIE), and stripping the photoresist on the Ti foil. The procedure for the blooming of the TiO2 micro-flowers was analyzed by field emission scanning electron microscopy (FESEM) as the anodizing time was increased. Photoelectrodes of dye-sensitized solar cells (DSCs) were fabricated using TiO2 micro-flowers. Bare TiO2 nanotube arrays were used for reference samples. The short-circuit current (Jsc) and the power conversion efficiency of the DSCs based on the TiO2 micro-flowers were 4.340 mA/cm2 and 1.517%, respectively. These values of DSCs based on TiO2 micro-flowers were higher than those of bare samples. The TiO2 micro-flowers had a larger surface area for dye adsorption compared to bare TiO2 nanotube arrays, resulting in improved Jsc characteristics. The structure of the TiO2 micro-flowers allowed it to adsorb dyes very effectively, also demonstrating the potential to achieve higher power conversion efficiency levels for DSCs compared to a bare TiO2 nanotube array structure and the conventional TiO2 nanoparticle structure. PMID:24565201
TiO2 micro-flowers composed of nanotubes and their application to dye-sensitized solar cells
NASA Astrophysics Data System (ADS)
Kim, Woong-Rae; Park, Hun; Choi, Won-Youl
2014-02-01
TiO2 micro-flowers were made to bloom on Ti foil by the anodic oxidation of Ti-protruding dots with a cylindrical shape. Arrays of the Ti-protruding dots were prepared by photolithography, which consisted of coating the photoresists, attaching a patterned mask, illuminating with UV light, etching the Ti surface by reactive ion etching (RIE), and stripping the photoresist on the Ti foil. The procedure for the blooming of the TiO2 micro-flowers was analyzed by field emission scanning electron microscopy (FESEM) as the anodizing time was increased. Photoelectrodes of dye-sensitized solar cells (DSCs) were fabricated using TiO2 micro-flowers. Bare TiO2 nanotube arrays were used for reference samples. The short-circuit current ( J sc) and the power conversion efficiency of the DSCs based on the TiO2 micro-flowers were 4.340 mA/cm2 and 1.517%, respectively. These values of DSCs based on TiO2 micro-flowers were higher than those of bare samples. The TiO2 micro-flowers had a larger surface area for dye adsorption compared to bare TiO2 nanotube arrays, resulting in improved J sc characteristics. The structure of the TiO2 micro-flowers allowed it to adsorb dyes very effectively, also demonstrating the potential to achieve higher power conversion efficiency levels for DSCs compared to a bare TiO2 nanotube array structure and the conventional TiO2 nanoparticle structure.
Petritz, Andreas; Wolfberger, Archim; Fian, Alexander; Krenn, Joachim R.; Griesser, Thomas; Stadlober, Barbara
2013-01-01
A high-performing bottom-gate top-contact pentacene-based oTFT technology with an ultrathin (25–48 nm) and electrically dense photopatternable polymeric gate dielectric layer is reported. The photosensitive polymer poly((±)endo,exo-bicyclo[2.2.1]hept-5-ene-2,3-dicarboxylic acid, diphenylester) (PNDPE) is patterned directly by UV-exposure (λ = 254 nm) at a dose typical for conventionally used negative photoresists without the need for any additional photoinitiator. The polymer itself undergoes a photo-Fries rearrangement reaction under UV illumination, which is accompanied by a selective cross-linking of the macromolecules, leading to a change in solubility in organic solvents. This crosslinking reaction and the negative photoresist behavior are investigated by means of sol–gel analysis. The resulting transistors show a field-effect mobility up to 0.8 cm2 V−1 s−1 at an operation voltage as low as −4.5 V. The ultra-low subthreshold swing in the order of 0.1 V dec−1 as well as the completely hysteresis-free transistor characteristics are indicating a very low interface trap density. It can be shown that the device performance is completely stable upon UV-irradiation and development according to a very robust chemical rearrangement. The excellent interface properties, the high stability and the small thickness make the PNDPE gate dielectric a promising candidate for fast organic electronic circuits. PMID:24748853
Chen, Lung-Tai; Chang, Jin-Sheng; Hsu, Chung-Yi; Cheng, Wood-Hi
2009-01-01
A novel plastic packaging of a piezoresistive pressure sensor using a patterned ultra-thick photoresist is experimentally and theoretically investigated. Two pressure sensor packages of the sacrifice-replacement and dam-ring type were used in this study. The characteristics of the packaged pressure sensors were investigated by using a finite-element (FE) model and experimental measurements. The results show that the thermal signal drift of the packaged pressure sensor with a small sensing-channel opening or with a thin silicon membrane for the dam-ring approach had a high packaging induced thermal stress, leading to a high temperature coefficient of span (TCO) response of −0.19% span/°C. The results also show that the thermal signal drift of the packaged pressure sensors with a large sensing-channel opening for sacrifice-replacement approach significantly reduced packaging induced thermal stress, and hence a low TCO response of −0.065% span/°C. However, the packaged pressure sensors of both the sacrifice-replacement and dam-ring type still met the specification −0.2% span/°C of the unpackaged pressure sensor. In addition, the size of proposed packages was 4 × 4 × 1.5 mm3 which was about seven times less than the commercialized packages. With the same packaging requirement, the proposed packaging approaches may provide an adequate solution for use in other open-cavity sensors, such as gas sensors, image sensors, and humidity sensors. PMID:22454580
Estimation of line dimensions in 3D direct laser writing lithography
NASA Astrophysics Data System (ADS)
Guney, M. G.; Fedder, G. K.
2016-10-01
Two photon polymerization (TPP) based 3D direct laser writing (3D-DLW) finds application in a wide range of research areas ranging from photonic and mechanical metamaterials to micro-devices. Most common structures are either single lines or formed by a set of interconnected lines as in the case of crystals. In order to increase the fidelity of these structures and reach the ultimate resolution, the laser power and scan speed used in the writing process should be chosen carefully. However, the optimization of these writing parameters is an iterative and time consuming process in the absence of a model for the estimation of line dimensions. To this end, we report a semi-empirical analytic model through simulations and fitting, and demonstrate that it can be used for estimating the line dimensions mostly within one standard deviation of the average values over a wide range of laser power and scan speed combinations. The model delimits the trend in onset of micro-explosions in the photoresist due to over-exposure and of low degree of conversion due to under-exposure. The model guides setting of high-fidelity and robust writing parameters of a photonic crystal structure without iteration and in close agreement with the estimated line dimensions. The proposed methodology is generalizable by adapting the model coefficients to any 3D-DLW setup and corresponding photoresist as a means to estimate the line dimensions for tuning the writing parameters.
A novel approach: high resolution inspection with wafer plane defect detection
NASA Astrophysics Data System (ADS)
Hess, Carl; Wihl, Mark; Shi, Rui-fang; Xiong, Yalin; Pang, Song
2008-05-01
High Resolution reticle inspection is well-established as a proven, effective, and efficient means of detecting yield-limiting mask defects as well as defects which are not immediately yield-limiting yet can enable manufacturing process improvements. Historically, RAPID products have enabled detection of both classes of these defects. The newly-developed Wafer Plane Inspection (WPI) detector technology meets the needs of some advanced mask manufacturers to identify the lithographically-significant defects while ignoring the other non-lithographically-significant defects. Wafer Plane Inspection accomplishes this goal by performing defect detection based on a modeled image of how the mask features would actually print in the photoresist. This has the effect of reducing sensitivity to non-printing defects while enabling higher sensitivity focused in high MEEF areas where small reticle defects still yield significant printing defects on wafers. WPI is a new inspection mode that has been developed by KLA-Tencor and is currently under test with multiple customers. It employs the same transmitted and reflected-light high-resolution images as the industry-standard high-resolution inspections, but with much more sophisticated processing involved. A rigorous mask pattern recovery algorithm is used to convert the transmitted and reflected light images into a modeled representation of the reticle. Lithographic modeling of the scanner is then used to generate an aerial image of the mask. This is followed by resist modeling to determine the exposure of the photoresist. The defect detectors are then applied on this photoresist plane so that only printing defects are detected. Note that no hardware modifications to the inspection system are required to enable this detector. The same tool will be able to perform both our standard High Resolution inspections and the Wafer Plane Inspection detector. This approach has several important features. The ability to ignore non-printing defects and to apply additional effective sensitivity in high MEEF areas enables advanced node development. In addition, the modeling allows the inclusion of important polarization effects that occur in the resist for high NA operation. This allows for the results to better match wafer print results compared to alternate approaches. Finally, the simulation easily allows for the application of arbitrary illumination profiles. With this approach, users of WPI can make use of unique or custom scanner illumination profiles. This allows the more precise modeling of profiles without inspection system hardware modification or loss of company intellectual property. This paper examines WPI in Die:Die mode. Future work includes a review of Die:Database WPI capability.
NASA Technical Reports Server (NTRS)
Angus, J. C.; Coffield, F. E.; Edwards, R. V.; Mann, J. A., Jr.; Rugh, R. W.; Gallagher, N. C.
1977-01-01
Computer-generated reflection holograms hold substantial promise as a means of carrying out complex machining, marking, scribing, welding, soldering, heat treating, and similar processing operations simultaneously and without moving the work piece or laser beam. In the study described, a photographically reduced transparency of a 64 x 64 element Lohmann hologram was used to make a mask which, in turn, was used (with conventional photoresist techniques) to produce a holographic reflector. Images from a commercial CO2 laser (150W TEM(00)) and the holographic reflector are illustrated and discussed.
Microfabricated Amorphous Silicon Nanopillars on an Ultrasmooth 500-nm-thick Titanium Adhesion Layer
2012-09-01
After Ti deposition, the wafers were pretreated with 10 ml of liquid hexamethyldisilazane ( HMDS ) to promote adhesion by photoresist. The HMDS was...film with a high flux of Ti atoms and shows large grains and a rough surface. In figure 2b, some residue can be seen near the 1000-nm pillars on the...closely to the SEM image shown in appendix B, which has a measured angle near 51°. In the future, a more vertical sidewall is likely to be desired
UV-LIGA Microfabrication of 220 GHz Sheet Beam Amplifier Gratings with SU-8 Photoresists
2010-01-01
4 4 4a Diethyl ether 3d, RT 0 Tetrahydrofuran (THF) 3d, RT 1 2 4 3 3 Py + pyridinium (Pym) HBr crystals 2d, RT 1 2 3 2 Py + Pym HCl crystals 2d, RT 1...1 1 Acetone + Pym HBr crystals 2d, RT 1 0 Pym dichromate in Py 2d, RT 1 2 1 Pym chlorochromate in Py 2d, RT 4 1 2 1a Propylamine (PA) 2d, RT 1 2 3 2
A capillary-driven micromixer: idea and fabrication
NASA Astrophysics Data System (ADS)
Lee, Chun-Te; Lee, Chun-Che
2012-10-01
Microfluidic systems have been drawing attention upon the various branches of engineering science and the allied areas within biology and biomedicine. In this paper, a fabrication of a capillary-driven micromixer using photoresist JSR and glasses is proposed. We design three types of planar capillary-driven micormixers with different sizes of baffles in the channel. Flow tests have shown that the micromixer with a baffle gap of 100 μm and space of 100 μm reaches a best mixing performance of 93% in gray-level image analysis.
Selective formation of porous silicon
NASA Technical Reports Server (NTRS)
Fathauer, Robert W. (Inventor); Jones, Eric W. (Inventor)
1993-01-01
A pattern of porous silicon is produced in the surface of a silicon substrate by forming a pattern of crystal defects in said surface, preferably by applying an ion milling beam through openings in a photoresist layer to the surface, and then exposing said surface to a stain etchant, such as HF:HNO3:H2O. The defected crystal will preferentially etch to form a pattern of porous silicon. When the amorphous content of the porous silicon exceeds 70 percent, the porous silicon pattern emits visible light at room temperature.
The Effect of the Elimination of Micromotion and Tissue Strain on Intracortical Device Performance
2017-10-01
dry mode and after soaking for 60 min in PBS at 37 °C. 6 3. Connectivity Issue: An additional issue emerged while trying to establish an electrical...patterned to dry etch the Parylene-C film using DMA ribbons photo mask using O2 plasma. The photoresist is then stripped off to get the wafers ready for...investigated visually by the naked eye and with an optical microscope and only those who pass (substrates with very low number of small particles, if
Semiconductor technology program. Progress briefs
NASA Technical Reports Server (NTRS)
Bullis, W. M. (Editor)
1979-01-01
The current status of NBS work on measurement technology for semiconductor materials, process control, and devices is reported. Results of both in-house and contract research are covered. Highlighted activities include modeling of diffusion processes, analysis of model spreading resistance data, and studies of resonance ionization spectroscopy, resistivity-dopant density relationships in p-type silicon, deep level measurements, photoresist sensitometry, random fault measurements, power MOSFET thermal characteristics, power transistor switching characteristics, and gross leak testing. New and selected on-going projects are described. Compilations of recent publications and publications in press are included.
NASA Astrophysics Data System (ADS)
Kohyama, Tetsu; Kaneko, Fumiya; Ly, Saksatha; Hamzik, James; Jaber, Jad; Yamada, Yoshiaki
2017-03-01
Weak-polar solvents like PGMEA (Propylene Glycol Monomethyl Ether Acetate) or CHN (Cyclohexanone) are used to dissolve hydrophobic photo-resist polymers, which are challenging for traditional cleaning methods such as distillation, ion-exchange resins service or water-washing processes. This paper investigated two novel surface modifications to see their effectiveness at metal removal and to understand the mechanism. The experiments yielded effective purification methods for metal reduction, focusing on solvent polarities based on HSP (Hansen Solubility Parameters), and developing optimal purification strategies.
Chen, Wei-Ting; Li, Shao-Sian; Chu, Jinn P; Feng, Kuei Chih; Chen, Jem-Kun
2018-04-15
In this study, a photoresist template with well-defined contact hole array was fabricated, to which radio frequency magnetron sputtering process was then applied to deposit an alloyed Zr 55 Cu 30 Al 10 Ni 5 target, and finally resulted in ordered metallic glass nanotube (MGNT) arrays after removal of the photoresist template. The thickness of the MGNT walls increased from 98 to 126nm upon increasing the deposition time from 225 to 675s. The wall thickness of the MGNT arrays also increased while the dimensions of MGNT reduced under the same deposition condition. The MGNT could be filled with biomacromolecules to change the effective refractive index. The air fraction of the medium layer were evaluated through static water contact angle measurements and, thereby, the effective refractive indices the transverse magnetic (TM) and transverse electric (TE) polarized modes were calculated. A standard biotin-streptavidin affinity model was tested using the MGNT arrays and the fundamental response of the system was investigated. Results show that filling the MGNT with streptavidin altered the effective refractive index of the layer, the angle of reflectance and color changes identified by an L*a*b* color space and color circle on an a*b* chromaticity diagram. The limit of detection (LOD) of the MGNT arrays for detection of streptavidin was estimated as 25nM, with a detection time of 10min. Thus, the MGNT arrays may be used as a versatile platform for high-sensitive label-free optical biosensing. Copyright © 2017 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Wang, Lanlan; Liu, Hongzhong; Jiang, Weitao; Gao, Wei; Chen, Bangdao; Li, Xin; Ding, Yucheng; An, Ningli
2014-12-01
A freestanding multilayer ultrathin nano-membrane (FUN-membrane) with a micro-ring array (MRA) is successfully fabricated through the controllable film deposition. Each micro-ring of FUN-membrane is 3 μm in diameter, 2 μm in height and sub-100 nm in sidewall thickness, demonstrating an ultrahigh sidewall aspect ratio of 20:1. In our strategy, a silica layer (200 nm in thickness), a chromium transition layer (5 nm-thick) and a gold layer (40 nm-thick), were in sequence deposited on patterned photoresist. After removal of the photoresist by lift-off process, a FUN-membrane with MRA was peeled off from the substrate, where the gold layer acted as a protecting layer to prevent the MRA from fracture. The FUN-membrane was then transferred to a flexible polycarbonate (PC) sheet coated with indium tin oxide (ITO) layer, which was then used as a flexible and lightweight cathode. Remarkably, the field emission effect of the fabricated FUN-membrane cathode performs a high field-enhancement factor of 1.2 × 104 and a low turn-on voltage of 2 V/μm, indicating the advantages of the sharp metal edge of MRA. Due to the rational design and material versatility, the FUN-membrane thus could be transferred to either rigid or flexible substrate, even curved surface, such as the skin of bio-robot's arm or leg. Additionally, the FUN-membrane composed of MRA with extremely high aspect ratio of insulator-metal sidewall, also provides potential applications in optical devices, lightweight and flexible display devices, and electronic eye imagers.
Stirling Microregenerators Fabricated and Tested
NASA Technical Reports Server (NTRS)
Moran, Matthew E.
2004-01-01
A mesoscale Stirling refrigerator patented by the NASA Glenn Research Center is currently under development. This refrigerator has a predicted efficiency of 30 percent of Carnot and potential uses in electronics, sensors, optical and radiofrequency systems, microarrays, and microsystems. The mesoscale Stirling refrigerator is most suited to volume-limited applications that require cooling below the ambient or sink temperature. Primary components of the planar device include two diaphragm actuators that replace the pistons found in traditional-scale Stirling machines and a microregenerator that stores and releases thermal energy to the working gas during the Stirling cycle. Diaphragms are used to eliminate frictional losses and bypass leakage concerns associated with pistons, while permitting reversal of the hot and cold sides of the device during operation to allow precise temperature control. Three candidate microregenerators were fabricated under NASA grants for initial evaluation: two constructed of porous ceramic, which were fabricated by Johns Hopkins Applied Physics Laboratory, and one made of multiple layers of nickel and photoresist, which was fabricated by Polar Thermal Technologies. The candidate regenerators are being tested by Johns Hopkins Applied Physics in a custom piezoelectric-actuated test apparatus designed to produce the Stirling refrigeration cycle. In parallel with the regenerator testing, Johns Hopkins is using deep reactive ion etching to fabricate electrostatically driven, comb-drive diaphragm actuators. These actuators will drive the Stirling cycle in the prototype device. The top photograph shows the porous ceramic microregenerators. Two microregenerators were fabricated with coarse pores and two with fine pores. The bottom photograph shows the test apparatus parts for evaluating the microregenerators, including the layered nickel-and-photoresist regenerator fabricated using LIGA techniques.
Sensitizers in EUV chemically amplified resist: mechanism of sensitivity improvement
NASA Astrophysics Data System (ADS)
Vesters, Yannick; Jiang, Jing; Yamamoto, Hiroki; De Simone, Danilo; Kozawa, Takahiro; De Gendt, Stefan; Vandenberghe, Geert
2018-03-01
EUV lithography utilizes photons with 91.6 eV energy to ionize resists, generate secondary electrons, and enable electron driven reactions that produce acid in chemically amplified photoresist. Efficiently using the available photons is of key importance. Unlike DUV lithography, where photons are selectively utilized by photoactive compounds, photons at 13.5nm wavelength ionize almost all materials. Nevertheless, specific elements have a significantly higher atomic photon-absorption cross section at 91.6 eV. To increase photon absorption, sensitizer molecules, containing highly absorbing elements, can be added to photoresist formulations. These sensitizers have gained growing attention in recent years, showing significant sensitivity improvement. But there are few experimental evidences that the sensitivity improvement is due to the higher absorption only, as adding metals salts into the resist formulation can induce other mechanisms, like modification of the dissolution rate, potentially affecting patterning performance. In this work, we used different sensitizers in chemically amplified resist. We measured experimentally the absorption of EUV light, the acid yield, the dissolution rate and the patterning performance of the resists. Surprisingly, the absorption of EUV resist was decreased with addition of metal salt sensitizers. Nevertheless, the resist with sensitizer showed a higher acid yield. Sensitizer helps achieving higher PAG conversion to acid, notably due to an increase of the secondary electron generation. Patterning data confirm a significant sensitivity improvement, but at the cost of roughness degradation at high sensitizer loading. This can be explained by the chemical distribution of the sensitizer in the resist combined with a modification of the dissolution contrast, as observed by Dissolution Rate Monitor.
Lithium insertion in carbonaceous materials containing silicon
NASA Astrophysics Data System (ADS)
Wilson, Alfred Macdonald
Three different series of silicon-containing carbonaceous materials were synthesized for use as anodes in lithium ion cells. Disordered (or pregraphitic) carbons containing nanodispersed silicon were prepared by the chemical vapour deposition (CVD) of various chlorosilanes (SiClsb4, (CHsb3)sb2Clsb2Si, and (CHsb3)sb3ClSi) with benzene in two different apparatuses. Silicon oxycarbide glasses were synthesized by the pyrolysis of over 50 silicon-containing polymers at various temperatures, although the principal materials in the study were prepared at 1000sp°C. Finally, materials which we believe to be similar to disordered carbons containing nanodispersed silicon were prepared by the pyrolysis of various blends of pitches with polysilanes. Powder X-ray diffraction was used to learn about the structure of all the materials made. Thermal gravimetric analysis was used to determine the silicon content in the CVD materials and, when coupled to a residual gas analyzer, to study the decomposition process of the polymers. Near edge X-ray absorption spectroscopy measurements of the silicon L- and K-edges of CVD materials and the silicon K-edges of silicon oxycarbides were used to learn about local chemical environments of the silicon atoms. Lithium metal electrochemical test cells of the silicon-containing CVD materials showed larger capacities (up to 500 mAh/g) than pure carbons prepared in the same way (˜300 mAh/g). The additional capacity was observed to be centered near 0.4 V on charge, the average voltage observed for the removal of lithium from a silicon-lithium alloy. Chemical analysis showed that the stoichiometries of materials made by polymer pyrolysis were distributed over a well-defined region in the Si-O-C Gibbs phase diagram. An interesting series of materials is found near the line in the Si-O-C Gibbs triangle connecting carbon to SiOsb{1.3}. Lithium metal electrochemical test cells made using all the silicon oxycarbides synthesized showed that a stoichiometry of about Sisb{.25}Csb{.45}Osb{.30} gave the maximum reversible capacity (about 900 mAh/g). However, materials near this stoichiometry exhibit large irreversible capacities (>350 mAh/g) and significant hysteresis (the voltage difference between charge and discharge) in the voltage profile (˜0.8 V). In an attempt to reduce the oxygen content in one of the silicon oxycarbide glasses, a sample was washed in a dilute solution of hydrofluoric acid (HF) for times ranging from 2 minutes to 24 hours. The material lost, at most, 40 percent of its initial mass, although there was only a small change in its stoichiometry. In addition to the techniques mentioned above, small angle X-ray scattering and BET surface area measurements were used to study the microscopic pore network that was created by the HF washing. Lithium metal electrochemical test cells made using the product of pyrolysing pitch-polysilane blends showed that the capacity increased with silicon content from 340 mAh/g for pure carbon to a maximum of 600 mAh/g for samples with about 15 atomic % silicon (Sisb{.14}Osb{.09}Csb{.77}). The capacity then decreased to near zero as the composition approached SiC. These materials contain oxygen which is correlated to irreversible capacity loss. (Abstract shortened by UMI.)
Light Trapping in Thin Film Silicon Solar Cells on Plastic Substrates
NASA Astrophysics Data System (ADS)
de Jong, M. M.
2013-01-01
In the search for sustainable energy sources, solar energy can fulfil a large part of the growing demand. The biggest threshold for large-scale solar energy harvesting is the solar panel price. For drastic cost reductions, roll-to-roll fabrication of thin film silicon solar cells using plastic substrates can be a solution. In this thesis, we investigate the possibilities of depositing thin film solar cells directly onto cheap plastic substrates. Micro-textured glass and sheets, which have a wide range of applications, such as in green house, lighting etc, are applied in these solar cells for light trapping. Thin silicon films can be produced by decomposing silane gas, using a plasma process. In these types of processes, the temperature of the growing surface has a large influence on the quality of the grown films. Because plastic substrates limit the maximum tolerable substrate temperature, new methods have to be developed to produce device-grade silicon layers. At low temperature, polysilanes can form in the plasma, eventually forming dust particles, which can deteriorate device performance. By studying the spatially resolved optical emission from the plasma between the electrodes, we can identify whether we have a dusty plasma. Furthermore, we found an explanation for the temperature dependence of dust formation; Monitoring the formation of polysilanes as a function of temperature using a mass-spectrometer, we observed that the polymerization rate is indeed influenced by the substrate temperature. For solar cell substrate material, our choice was polycarbonate (PC), because of its low cost, its excellent transparency and its relatively high glass transition temperature of 130-140°C. At 130°C we searched for deposition recipes for device quality silicon, using a very high frequency plasma enhanced chemical deposition process. By diluting the feedstock silane with hydrogen gas, the silicon quality can be improved for amorphous silicon (a-Si), until we reach the nanocrystalline silicon (nc-Si) regime. In the nc-Si regime, the crystalline fraction can be further controlled by changing the power input into the plasma. With these layers, a-Si thin film solar cells were fabricated, on glass and PC substrates. The adverse effect of the low temperature growth on the photoactive material is further mitigated by using thinner silicon layers, which can deliver a good current only with an adequate light trapping technique. We have simulated and experimentally tested three light trapping techniques, using embossed structures in PC substrates and random structures on glass: regular pyramid structures larger than the wavelength of light (micropyramids), regular pyramid structures comparable to the wavelength of light (nanopyramids) and random nano-textures (Asahi U-type). The use of nanostructured polycarbonate substrates results in initial conversion efficiencies of 7.4%, compared to 7.6% for cells deposited under identical conditions on Asahi U-type glass. The potential of manufacturing thin film solar cells at processing temperatures lower than 130oC is further illustrated by obtained results on texture-etched aluminium doped zinc-oxide (ZnO:Al) on glass: we achieved 6.9% for nc-Si cells using a very thin absorber layer of only 750 nm, and by combining a-Si and nc-Si cells in tandem solar cells we reached an initial conversion efficiency of 9.5%.
Novel fabrication technique of hybrid structure lens array for 3D images
NASA Astrophysics Data System (ADS)
Lee, Junsik; Kim, Junoh; Kim, Cheoljoong; Shin, Dooseub; Koo, Gyohyun; Won, Yong Hyub
2016-03-01
Tunable liquid lens arrays can produce three dimensional images by using electrowetting principle that alters surface tensions by applying voltage. This method has advantages of fast response time and low power consumption. However, it is challenging to fabricate a high fill factor liquid lens array and operate three dimensional images which demand high diopter. This study describes a hybrid structure lens array which has not only a liquid lens array but a solid lens array. A concave-shape lens array is unavoidable when using only the liquid lens array and some voltages are needed to make the lens flat. By placing the solid lens array on the liquid lens array, initial diopter can be positive. To fabricate the hybrid structure lens array, a conventional lithographic process in semiconductor manufacturing is needed. A negative photoresist SU-8 was used as chamber master molds. PDMS and UV adhesive replica molding are done sequentially. Two immiscible liquids, DI water and dodecane, are injected in the fabricated chamber, followed by sealing. The fabricated structure has a 20 by 20 pattern of cylindrical shaped circle array and the aperture size of each lens is 1mm. The thickness of the overall hybrid structure is about 2.8mm. Hybrid structure lens array has many advantages. Solid lens array has almost 100% fill factor and allow high efficiency. Diopter can be increased by more than 200 and negative diopter can be shifted to the positive region. This experiment showed several properties of the hybrid structure and demonstrated its superiority.
Le Gac, Séverine; Rolando, Christian; Arscott, Steve
2006-01-01
This paper describes a novel emitter tip having the shape of a nib and based on an open structure for nano-electrospray ionization mass spectrometry (nanoESI-MS). The nib structure is fabricated with standard lithography techniques using SU-8, an epoxy-based negative photoresist. The tip is comprised of a reservoir, a capillary slot and a point-like feature, and is fabricated on a silicon wafer. We present here a novel scheme for interfacing such nib tips to MS by applying the ionization voltage directly onto the semi-conductor support. The silicon support is in direct contact with the liquid to be analyzed at the reservoir and microchannel level, thus allowing easy use in ESI-MS. This scheme is especially advantageous for automated analysis as the manual step of positioning a metallic wire into the reservoir is avoided. In addition, the analysis performance was enhanced compared with the former scheme, as demonstrated by the tests of standard peptides (gramicidin S, Glu-fibrinopeptide B). The limit of detection was determined to be lower than 10(-2) microM. Due to their enhanced performance, these microfabricated sources might be of great interest for analysis requiring very high sensitivity, such as proteomics analysis using nanoESI-MS.
Advances in maskless and mask-based optical lithography on plastic flexible substrates
NASA Astrophysics Data System (ADS)
Barbu, Ionut; Ivan, Marius G.; Giesen, Peter; Van de Moosdijk, Michel; Meinders, Erwin R.
2009-12-01
Organic flexible electronics is an emerging technology with huge potential growth in the future which is likely to open up a complete new series of potential applications such as flexible OLED-based displays, urban commercial signage, and flexible electronic paper. The transistor is the fundamental building block of all these applications. A key challenge in patterning transistors on flexible plastic substrates stems from the in-plane nonlinear deformations as a consequence of foil expansion/shrinkage, moisture uptake, baking etc. during various processing steps. Optical maskless lithography is one of the potential candidates for compensating for these foil distortions by in-situ adjustment prior to exposure of the new layer image with respect to the already patterned layers. Maskless lithography also brings the added value of reducing the cost-of-ownership related to traditional mask-based tools by eliminating the need for expensive masks. For the purpose of this paper, single-layer maskless exposures at 355 nm were performed on gold-coated poly(ethylenenaphthalate) (PEN) flexible substrates temporarily attached to rigid carriers to ensure dimensional stability during processing. Two positive photoresists were employed for this study and the results on plastic foils were benchmarked against maskless as well as mask-based (ASML PAS 5500/100D stepper) exposures on silicon wafers.
NASA Astrophysics Data System (ADS)
Hummatov, Ruslan; Hall, John A.; Kim, Geon-Bo; Friedrich, Stephan; Cantor, Robin; Boyd, S. T. P.
2018-05-01
We are developing metallic magnetic calorimeters for high-resolution gamma-ray spectroscopy for non-destructive assay of nuclear materials. Absorbers for these higher-energy photons can require substantial thickness to achieve adequate stopping power. We developed a new absorber fabrication process using dry-film photoresists to electroform cantilevered, thick absorbers. Gamma detectors with these absorbers have an energy resolution of 38 eV FWHM at 60 keV. In this report, we summarize modifications to STARCryo's "Delta 1000" process for our devices and describe the new absorber fabrication process.
1988-01-01
Stable Photoresist’, Polym. Figure 71 Eng. Sci. 26 1101(1986) 2) W.E. Feely, " Microplastic Structures, SPIE 631 48(1986) Figure 12 15 A Miniature...unhulbt k- beanils than i ntrini fl t iilins. polys5tilicin sea led cavities fo r re’.i t rain~d uc cr arrays," in Technical Die I IFF IFDN1 p 2;3. I...the The experience with thermal sealing indicates that glass. Sufficient charge transfer occurs to allow th, sea . of glass to metl form when tile metal
Lithography With Metallo-Organic Resists
NASA Astrophysics Data System (ADS)
Pastor, A. C.; Pastor, R. C.; Braunstein, M.; Tangonan, G. L.
1981-02-01
Photolithography with metallo-organic resists is a relatively new addition to photo-engraving technology, and involves the chemical incorporation of inorganic constituents into photopolymerizable organic compounds, so that the photoresist functions not merely as a masking material, as in conventional photolithography, but also as the mass transference vehicle itself. The deposition of thin structured films of metal oxides with this method has been accomplished, the metal-doped resist in each case being the metal acrylate in acrylic acid, except in those cases where the metal acrylate was insoluble. Polymerization was effected with uv irradiation. The criteria for depositing other classes of inorganic compounds are outlined.
Cavalli, Gabriel; Banu, Shahanara; Ranasinghe, Rohan T; Broder, Graham R; Martins, Hugo F P; Neylon, Cameron; Morgan, Hywel; Bradley, Mark; Roach, Peter L
2007-01-01
SU-8 is an epoxy-novolac resin and a well-established negative photoresist for microfabrication and microengineering. The photopolymerized resist is an extremely highly crosslinked polymer showing outstanding chemical and physical robustness with residual surface epoxy groups amenable for chemical functionalization. In this paper we describe, for the first time, the preparation and surface modification of SU-8 particles shaped as microbars, the attachment of appropriate linkers, and the successful application of these particles to multistep solid-phase synthesis leading to oligonucleotides and peptides attached in an unambiguous manner to the support surface.
Heat pipe with improved wick structures
Benson, David A.; Robino, Charles V.; Palmer, David W.; Kravitz, Stanley H.
2000-01-01
An improved planar heat pipe wick structure having projections formed by micromachining processes. The projections form arrays of interlocking, semi-closed structures with multiple flow paths on the substrate. The projections also include overhanging caps at their tops to increase the capillary pumping action of the wick structure. The capped projections can be formed in stacked layers. Another layer of smaller, more closely spaced projections without caps can also be formed on the substrate in between the capped projections. Inexpensive materials such as Kovar can be used as substrates, and the projections can be formed by electrodepositing nickel through photoresist masks.
Shubnikov-de Haas oscillations in a two-dimensional electron gas under subterahertz radiation
NASA Astrophysics Data System (ADS)
Shi, Q.; Martin, P. D.; Hatke, A. T.; Zudov, M. A.; Watson, J. D.; Gardner, G. C.; Manfra, M. J.; Pfeiffer, L. N.; West, K. W.
2015-08-01
We report on magnetotransport measurements in a two-dimensional (2D) electron gas subject to subterahertz radiation in the regime where Shubnikov-de Haas oscillations (SdHOs) and microwave-induced resistance oscillations (MIROs) coexist over a wide magnetic field range, spanning several harmonics of the cyclotron resonance. Surprisingly, we find that the SdHO amplitude is modified by the radiation in a nontrivial way, owing to the oscillatory correction which has the same period and phase as MIROs. This finding challenges our current understanding of microwave photoresistance in 2D electron gas, calling for future investigations.
NASA Technical Reports Server (NTRS)
St. Clair, Anne K.; St. Clair, Terry L.; Winfree, William P.; Emerson, Bert R., Jr.
1989-01-01
New process developed to produce aromatic condensation polyimide films and coatings having dielectric constants in range of 2.4 to 3.2. Materials better electrical insulators than state-of-the-art commercial polyimides. Several low-dielectric-constant polyimides have excellent resistance to moisture. Useful as film and coating materials for both industrial and aerospace applications where high electrical insulation, resistance to moisture, mechanical strength, and thermal stability required. Applicable to production of high-temperature and moisture-resistance adhesives, films, photoresists, and coatings. Electronic applications include printed-circuit boards, both of composite and flexible-film types and potential use in automotive, aerospace, and electronic industries.
Brightness field distributions of microlens arrays using micro molding.
Cheng, Hsin-Chung; Huang, Chiung-Fang; Lin, Yi; Shen, Yung-Kang
2010-12-20
This study describes the brightness field distributions of microlens arrays fabricated by micro injection molding (μIM) and micro injection-compression molding (μICM). The process for fabricating microlens arrays used room-temperature imprint lithography, photoresist reflow, electroforming, μIM, μICM, and optical properties measurement. Analytical results indicate that the brightness field distribution of the molded microlens arrays generated by μICM is better than those made using μIM. Our results further demonstrate that mold temperature is the most important processing parameter for brightness field distribution of molded microlens arrays made by μIM or μICM.
Feasibility Study of Thin Film Thermocouple Piles
NASA Technical Reports Server (NTRS)
Sisk, R. C.
2001-01-01
Historically, thermopile detectors, generators, and refrigerators based on bulk materials have been used to measure temperature, generate power for spacecraft, and cool sensors for scientific investigations. New potential uses of small, low-power, thin film thermopiles are in the area of microelectromechanical systems since power requirements decrease as electrical and mechanical machines shrink in size. In this research activity, thin film thermopile devices are fabricated utilizing radio frequency sputter coating and photoresist lift-off techniques. Electrical characterizations are performed on two designs in order to investigate the feasibility of generating small amounts of power, utilizing any available waste heat as the energy source.
Optical lithography of three-dimensional magnetophotonic microdevices
NASA Astrophysics Data System (ADS)
Nguyen, Dam Thuy Trang; Del Guercio, Olivia; Au, Thi Huong; Trinh, Duc Thien; Mai, Nguyen Phuong Thao; Lai, Ngoc Diep
2018-04-01
We have recently demonstrated a simple and low-cost fabrication technique, called low one-photon absorption direct laser writing, to realize desired polymeric microstructures. We present the use of this technique for fabrication of three-dimensional magnetophotonic devices on a photocurable homogeneous nanocomposite consisting of magnetite (Fe3O4) nanoparticles and a commercial SU8 photoresist. The fabricated magnetophotonic microstructures show strong response to an applied external magnetic field. Thus, various three-dimensional submicromechanical magnetophotonic devices, which can be mechanically driven by magnetic force, are designed and created. Potential applications of these devices are also discussed.
Refractive index modulation in polymer film doped with diazo Meldrum's acid
NASA Astrophysics Data System (ADS)
Zanutta, Alessio; Villa, Filippo; Bertarelli, Chiara; Bianco, Andrea
2016-08-01
Diazo Meldrum's acid undergoes a photoreaction induced by UV light and it is used as photosensitizer in photoresists. Upon photoreaction, a change in refractive index occurs, which makes this system interesting for volume holography. We report on the sublimation effect at room temperature and the effect of photoirradiation on the refractive index in thin films of CAB (Cellulose acetate butyrate) doped with different amount of diazo Meldrum's acid. A net modulation of the refractive index of 0.01 is achieved with 40% of doping ratio together with a reduction of the film thickness.
Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon
NASA Astrophysics Data System (ADS)
Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang
2018-02-01
We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Donner, Sebastian
2007-01-01
The work presented herein describes a fundamental investigations of carbon as electrode material by using the pyrolysis of photoresist to create an optically transparent material. The development of these carbon-based optically transparent electrodes (C-OTEs) enables investigations of molecular interactions within the electrical double layer, processes that are central to a wide range of important phenomena, including the impact of changes in the surface charge density on adsorption. The electrochemical importance of carbon cannot be understated, having relevance to separations and detection by providing a wide potential window and low background current in addition to being low cost and light weight.more » The interactions that govern the processes at the carbon electrode surface has been studied extensively. A variety of publications from the laboratories of McCreery and Kinoshita provide in depth summaries about carbon and its many applications in electrochemistry. These studies reveal that defects, impurities, oxidation, and a variety of functional groups create adsorption sites on carbon surfaces with different characteristics. The interest in C-OTEs was sparked by the desire to study and understand the behavior of individual molecules at electrified interfaces. It draws on the earlier development of Electrochemically Modulated Liquid Chromatography (EMLC), which uses carbon as the stationary phase. EMLC takes advantage of changing the applied potential to the carbon electrode to influence the retention behavior of analytes. However, perspectives gained from, for example, chromatographic measurements reflect the integrated response of a large ensemble of potentially diverse interactions between the adsorbates and the carbon electrode. Considering the chemically and physically heterogeneous surface of electrode materials such as glassy carbon, the integrated response provides little insight into the interactions at a single molecule level. To investigate individual processes, they have developed C-OTEs in order to couple electrochemistry with single molecule spectroscopy (SMS). Like EMLC, the novel merger of SMS with electrochemistry is a prime example of how a hybrid method can open new and intriguing avenues that are of both fundamental and technological importance. They show that by taking the benefits of total internal reflection fluorescence microscopy (TIRFM) and incorporating carbon as electrode material observations central to the interactions between single DNA molecules and an electrified carbon surface can be delineated. Using TIRFM while applying a positive potential to the electrode, individual molecules can be observed as they reversibly and irreversibly adsorb to the carbon surface. The positive potential attracts the negatively charged DNA molecules to the electrode surface. Dye labels on the DNA within the evanescent wave are excited and their fluorescence is captured by an intensified charge coupled device (ICCD) camera. Results are therefore presented regarding the interactions of λ-DNA, 48,502 base pairs (48.5 kbp), HPV-16, 7.9 kbp, and 1 kbp fraction of pBR322 DNA. In addition to the influence of molecular size on adsorption, the fabrication, characterization, and more conventional spectroelectrochemical applications of these novel C-OTEs are presented.« less
NASA Astrophysics Data System (ADS)
Hirabayashi, Mieko; Mehta, Beejal; Vahidi, Nasim W.; Khosla, Ajit; Kassegne, Sam
2013-11-01
In this study, the investigation of surface-treatment of chemically inert graphitic carbon microelectrodes (derived from pyrolyzed photoresist polymer) for improving their attachment chemistry with DNA molecular wires and ropes as part of a bionanoelectronics platform is reported. Polymer microelectrodes were fabricated on a silicon wafer using standard negative lithography procedures with negative-tone photoresist. These microelectrode structures were then pyrolyzed and converted to a form of conductive carbon that is referred to as PP (pyrolyzed polymer) carbon throughout this paper. Functionalization of the resulting pyrolyzed structures was done using nitric, sulfuric, 4-amino benzoic acids (4-ABA), and oxygen plasma etching and the surface modifications confirmed with Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and electron dispersion x-ray spectroscopy (EDS). Post surface-treatment analysis of microelectrodes with FTIR and Raman spectroscopy showed signature peaks characteristics of carboxyl functional groups while EDS showed an increase in oxygen content in the surface-treatment procedures (except 4-ABA) indicating an increase in carboxyl functional group. These functional groups form the basis for peptide bond with aminated oligonucleotides that in turn could be used as molecular wires and interconnects in a bionanoelectronics platform. Post-pyrolysis analysis using EDS showed relatively higher oxygen concentrations at the edges and location of defects compared to other locations on these microelectrodes. In addition, electrochemical impedance measurements showed metal-like behavior of PP carbon with high conductivity (|Z| <1 KΩ) and no detectable detrimental effect of oxygen plasma surface-treatment on electrical characteristic. In general, characterization results—taken together—indicated that oxygen plasma surface-treatment produced more reliable, less damaging, and consistently repeatable generation of carboxyl functional groups than diazonium salt and strong acid treatments.
SU-8 negative photoresist for optical mask manufacturing
NASA Astrophysics Data System (ADS)
Bogdanov, Alexei L.
2000-06-01
The requirements for better control, linearity, and uniformity of critical dimension (CD) on photomasks in fabrication of 180 and 150 nm generation devices result in increasing demand for thinner, more etching durable, and more sensitive e-beam resists. Novolac based resists with chemical amplification have been a choice for their sensitivity and stability during etching. However, difficult CD control due to the acid catalyzer diffusion and quite narrow post exposure bake (PEB) process window are some of the major drawbacks of these resists. SU-8 is recently introduced to the market negative photoresist. High sensitivity, fairly good adhesion properties, and relatively simple processing of SU-8 make it a good substitution for novolac based chemically amplified negative e-beam resists in optical mask manufacturing. The replacement of traditional chemically amplified resists by SU- 8 can increase the process latitude and reduce resist costs. Among the obvious drawbacks of SU-8 are the use of solvent- based developer and demand of oxygen plasma for resist removal. In this paper the use of SU-8 for optical mask manufacturing is reported. All steps of resist film preparation, exposure and development are paid a share of attention. Possibilities to use reactive ion etching (RIE) with oxygen in order to increase resist mask contrast are discussed. Special exposure strategy (pattern outlining) was employed to further improve the edge definition. The resist PEB temperature and time were studied to estimate their weight in overall CD control performance. Specially designed test patterns with 0.25 micrometer design rule could be firmly transferred into a chromium layer both by wet etching and ion milling. Influence of exposure dose variation on the pattern CD change was studied.
NASA Astrophysics Data System (ADS)
Cartlidge, Rhys; Campana, Olivia; Nugegoda, Dayanthi; Wlodkowic, Donald
2016-12-01
Behavioural alterations can occur as a result of a toxicant exposure at concentrations significantly lower than lethal effects that are commonly measured in acute toxicity testing. The use of alternating light and dark photoperiods to test phototactic responses of aquatic invertebrates in the presence of environmental contaminants provides an attractive analytical avenue. Quantification of phototactic responses represents a sublethal endpoint that can be employed as an early warning signal. Despite the benefits associated with the assessment of these endpoints, there is currently a lack of automated and miniaturized bioanalytical technologies to implement the development of toxicity testing with small aquatic species. In this study we present a proof-of-concept microfluidic Lab-on-a-Chip (LOC) platform for the assessment of rotifer swimming behavior in the presence of the toxicant copper sulfate. The device was designed to assess impact of toxicants at sub-lethal concentrations on freshwater crustacean Brachionus calyciflorus, testing behavioral endpoints such as animal swimming distance, speed and acceleration. The LOC device presented in this work enabled straightforward caging of microscopic crustaceans as well as non-invasive analysis of rapidly swimming animals in a focal plane of a video-microscopy system. The chip-based technology was fabricated using a new photolithography method that enabled formation of thick photoresist layers with minimal distortion. Photoresist molds were then employed for replica molding of LOC devices with poly(dimethylsiloxane) (PDMS) elastomer. The complete bioanalytical system consisted of: (i) microfluidic PDMS chip-based device; (ii) peristaltic microperfusion pumping manifold; (iii) miniaturized CMOS camera for video data acquisition; and (iv) video analysis software algorithms for quantification of changes in swimming behaviour of B. calyciflorus in response to reference toxicants.
Photonic band gap templating using optical interference lithography
NASA Astrophysics Data System (ADS)
Chan, Timothy Y. M.; Toader, Ovidiu; John, Sajeev
2005-04-01
We describe the properties of three families of inversion-symmetric, large photonic band-gap (PBG) template architectures defined by iso-intensity surfaces in four beam laser interference patterns. These templates can be fabricated by optical interference (holographic) lithography in a suitable polymer photo-resist. PBG materials can be synthesized from these templates using two stages of infiltration and inversion, first with silica and second with silicon. By considering point and space group symmetries to produce laser interference patterns with the smallest possible irreducible Brillouin zones, we obtain laser beam intensities, directions, and polarizations which generate a diamond-like (fcc) crystal, a novel body-centered cubic (bcc) architecture, and a simple-cubic (sc) structure. We obtain laser beam parameters that maximize the intensity contrasts of the interference patterns. This optimizes the robustness of the holographic lithography to inhomogeneity in the polymer photo-resist. When the optimized iso-intensity surface defines a silicon to air boundary (dielectric contrast of 11.9 to 1), the fcc, bcc, and sc crystals have PBG to center frequency ratios of 25%, 21%, and 11%, respectively. A full PBG forms for the diamond-like crystal when the refractive index contrast exceeds 1.97 to 1. We illustrate a noninversion symmetric PBG architecture that interpolates between a simple fcc structure and a diamond network structure. This crystal exhibits two distinct and complete photonic band gaps. We also describe a generalized class of tetragonal photonic crystals that interpolate between and extrapolate beyond the diamond-like crystal and the optimized bcc crystal. We demonstrate the extent to which the resulting PBG materials are robust against perturbations to the laser beam amplitudes and polarizations, and template inhomogeneity. The body centered cubic structure exhibits the maximum robustness overall.
NASA Astrophysics Data System (ADS)
Malekabadi, Ali; Paoloni, Claudio
2016-09-01
A microfabrication process based on UV LIGA (German acronym of lithography, electroplating and molding) is proposed for the fabrication of relatively high aspect ratio sub-terahertz (100-1000 GHz) metal waveguides, to be used as a slow wave structure in sub-THz vacuum electron devices. The high accuracy and tight tolerances required to properly support frequencies in the sub-THz range can be only achieved by a stable process with full parameter control. The proposed process, based on SU-8 photoresist, has been developed to satisfy high planar surface requirements for metal sub-THz waveguides. It will be demonstrated that, for a given thickness, it is more effective to stack a number of layers of SU-8 with lower thickness rather than using a single thick layer obtained at lower spin rate. The multiple layer approach provides the planarity and the surface quality required for electroforming of ground planes or assembly surfaces and for assuring low ohmic losses of waveguides. A systematic procedure is provided to calculate soft and post-bake times to produce high homogeneity SU-8 multiple layer coating as a mold for very high quality metal waveguides. A double corrugated waveguide designed for 0.3 THz operating frequency, to be used in vacuum electronic devices, was fabricated as test structure. The proposed process based on UV LIGA will enable low cost production of high accuracy sub-THz 3D waveguides. This is fundamental for producing a new generation of affordable sub-THz vacuum electron devices, to fill the technological gap that still prevents a wide diffusion of numerous applications based on THz radiation.
Integrating nanosphere lithography in device fabrication
NASA Astrophysics Data System (ADS)
Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.
2016-03-01
This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.
Graphitization in Carbon MEMS and Carbon NEMS
NASA Astrophysics Data System (ADS)
Sharma, Swati
Carbon MEMS (CMEMS) and Carbon NEMS (CNEMS) are an emerging class of miniaturized devices. Due to the numerous advantages such as scalable manufacturing processes, inexpensive and readily available precursor polymer materials, tunable surface properties and biocompatibility, carbon has become a preferred material for a wide variety of future sensing applications. Single suspended carbon nanowires (CNWs) integrated on CMEMS structures fabricated by electrospinning of SU8 photoresist on photolithographially patterned SU8 followed by pyrolysis are utilized for understanding the graphitization process in micro and nano carbon materials. These monolithic CNW-CMEMS structures enable the fabrication of very high aspect ratio CNWs of predefined length. The CNWs thus fabricated display core---shell structures having a graphitic shell with a glassy carbon core. The electrical conductivity of these CNWs is increased by about 100% compared to glassy carbon as a result of enhanced graphitization. We explore various tunable fabrication and pyrolysis parameters to improve graphitization in the resulting CNWs. We also suggest gas-sensing application of the thus fabricated single suspended CNW-CMEMS devices by using the CNW as a nano-hotplate for local chemical vapor deposition. In this thesis we also report on results from an optimization study of SU8 photoresist derived carbon electrodes. These electrodes were applied to the simultaneous detection of traces of Cd(II) and Pb(II) through anodic stripping voltammetry and detection limits as low as 0.7 and 0.8 microgL-1 were achieved. To further improve upon the electrochemical behavior of the carbon electrodes we elucidate a modified pyrolysis technique featuring an ultra-fast temperature ramp for obtaining bubbled porous carbon from lithographically patterned SU8. We conclude this dissertation by suggesting the possible future works on enhancing graphitization as well as on electrochemical applications
NASA Astrophysics Data System (ADS)
Huby, Nolwenn; Bigeon, John; Lagneaux, Quentin; Amela-Cortes, Maria; Garreau, Alexandre; Molard, Yann; Fade, Julien; Desert, Anthony; Faulques, Eric; Bêche, Bruno; Duvail, Jean-Luc; Cordier, Stéphane
2016-02-01
Integration of stable emissive entities into organic waveguide with minimum scattering is essential to design efficient optically active devices. Here we present a new class of doped nanocomposite waveguides exploiting 1-nm diameter metallic cluster-based building blocks as red-NIR luminescent dyes embedded in a SU8 polymeric matrix, a reference photoresist for organic photonics. These building blocks are [Mo6Ii8(OOCC2F5)a6]2- cluster anionic units with unique chemical and physical features well suited for optical nanocomposites such as a ligand-promoted dispersibility, a large Stokes shift with a broad absorption window and an emission window in the range 600-900 nm. A whole investigation of the nanocomposite has been first performed. Optical characterizations of Cs2[Mo6Ii8(OOCCnF2n+1)a6]@SU8 nanocomposites thin film and waveguiding structures show their relevance as active layers in integrated structures with a significant increase of the refractive index of 3 × 10-2 when the cluster concentration increases up to 4 wt%, while keeping high values for the transmitted power, as shown for different waveguide dimensions and clusters concentrations. The efficiency of photoluminescence propagation is investigated as a function of clusters concentration in the excitation area for several waveguides dimensions. Attenuation coefficient ranges between 5 and 18 dB/cm, values of the same order of magnitude as those obtained in polymeric waveguide doped with QDs or organic dyes. This original, stable and efficient nanocomposite is promising for downscaling complex nanosources and active waveguides in the visible and NIR range.
Force measurements of a magnetic micro actuator proposed for a microvalve array
NASA Astrophysics Data System (ADS)
Chang, Pauline J.; Chang, Frank W.; Yuen, Michelle C.; Otillar, Robert; Horsley, David A.
2014-03-01
Low-cost, easily-fabricated and power-efficient microvalves are necessary for many microfluidic lab-on-a-chip applications. In this study, we present a simple, low-power, scalable, CMOS-compatible magnetic actuator for microvalve applications composed of a paramagnetic bead as the ball valve over a picoliter reaction well etched into a silicon substrate. The paramagnetic bead, composed of either pure FeSi or magnetite in a SiO2 matrix, is actuated by the local magnetic field gradient generated by a microcoil in an aqueous environment, and the reaction well is situated at the microcoil center. A permanent magnet beneath the microvalve device provides an external magnetic biasing field that magnetizes the bead, enabling bidirectional actuation and reducing the current required to actuate the bead to a level below 10 mA. The vertical and radial magnetic forces exerted on the bead by the microcoil were measured for both pure FeSi and composite beads and agree well with the predictions of 2D axisymmetric finite element method models. Vertical forces were within a range of 13-80 nN, and radial forces were 11-60 nN depending on the bead type. The threshold current required to initiate bead actuation was measured as a function of bead diameter and is found to scale inversely with volume for small beads, as expected based on the magnetic force model. To provide an estimate of the stiction force acting between the bead and the passivation layer on the substrate, repeated actuation trials were used to study the bead throw distance for substrates coated with silicon dioxide, Parylene-C, and photoresist. The stiction observed was lowest for a photoresist-coated substrate, while silicon dioxide and Parylene-C coated substrates exhibited similar levels of stiction.
Exploring the readiness of EUV photo materials for patterning advanced technology nodes
NASA Astrophysics Data System (ADS)
De Simone, Danilo; Vesters, Yannick; Shehzad, Atif; Vandenberghe, Geert; Foubert, Philippe; Beral, Christophe; Van Den Heuvel, Dieter; Mao, Ming; Lazzarino, Fred
2017-03-01
Imec is currently driving the extreme ultraviolet (EUV) photo material development within the imec material and equipment supplier hub. EUV baseline processes using the ASML NXE3300 full field scanner have been setup for the critical layers of the imec N7 (iN7) BEOL process modules with a resist sensitivity of 35mJ/cm2, 40mJ/cm2 and 60mJ/cm2 for metal, block and vias layer, respectively. A feasibility study on higher sensitivity resists for HVM has been recently conducted looking at 16nm dense line-space at a targeted exposure dose of 20mJ/cm2. Such a study reveals that photoresist formulations with a cost-effective resist sensitivity are feasible today. Moreover, recent advances in enhanced underlayers are further offering novel development opportunities to increase the resist sensitivity. However, line width roughness (LWR) and pattern defectivity at nano scale are the major limiting factors of the lithographic process window and further efforts are needed to reach a HVM maturity level. We will present the results of the photo material screening and we examine in detail the lithography patterning results for the best performing photoresists. We further discuss the fundamental aspects of photo materials from a light-matter interaction standpoint looking at the photo emission yield at the EUV light for different photo materials towards a better understanding of the relation between photon efficiency and patterning performance. Finally, as metal containing resists are becoming part of the EUV material landscape, we also review the manufacturing aspects of a such class of resists looking at metal cross contamination pattern and defectivity on the process equipment.
Maskless lithography using off-the-shelf inkjet printer
NASA Astrophysics Data System (ADS)
Seng, Leo Cheng; Chollet, Franck
2006-12-01
Photolithography is the most important process used to pattern the surface of silicon wafers in IC fabrication. It has shown high performance but its use is not cost-effective for small series or prototyping as it necessitates a costly infrastructure (mask aligner) and requires the fabrication of masks which can be expensive and timeconsuming. Recently, the high resolution achieved by ink-jet printer (> 1200 DPI) starts to make an interesting alternative to obtain a patterned protective layer instead of using photolithography. This is particularly true for MEMS which often need a resolution of only 10 to 20 μm. After studying the different architecture of inkjet printer available in the market, a commercial S$100-printer was selected and modified to allow printing on a rigid silicon wafer. We then developed three different patterning processes using the printer. In a first process the ink was directly used as a protective layer for patterning. A second process modified the photolithography by using the printed ink as a photo-mask on a spun layer of photoresist. In each case we had to modify the surface energy of the wafer by surface treatment to improve the resolution. Finally we replaced the ink with a modified photoresist solution and directly printed a protective mask onto the wafer. Design of Experiment (DOE) methods were systematically employed to study the main and interaction effects of the parameters on the lithography and on the pattern transfer. The series of experiment showed that off-the-shelf ink-jet printer could be used easily for pattern with a resolution below 50 μm, but could not yet reach the 20 μm range.
Theory of even-parity states and two-photon spectra of conjugated polymers
NASA Astrophysics Data System (ADS)
McWilliams, P. C. M.; Hayden, G. W.; Soos, Z. G.
1991-04-01
The two-photon absorption (TPA) spectrum of interacting π electrons in conjugated polymers is shown to be qualitatively different from any single-particle description, including the Hartree-Fock limit. Alternating transfer integrals t(1+/-δ) along the backbone lead to a weak TPA below the one-photon gap Eg for arbitrarily weak correlations at δ=0, for intermediate correlations at δ=0.07 in polyenes, and for strong correlations at any δ<1. More intense TPA is derived from two-electron transfer across Eg; this even-parity state shifts from 2Eg in single-particle theory to Eg in the limit of strong correlations in Hubbard models and is around 1.5Eg for Pariser-Parr-Pople (PPP) parameters. The PPP model, which accounts for one- and two-photon excitations of finite polyenes, is extended to even-parity states in polydiacetylenes (PDA's), polyacetylene (PA), and polysilanes (PS's). Previous experimental data for PDA and PS support both the strong TPA above Eg and weak TPA slightly below Eg for δ=0.15 in PDA and above Eg for δ~0.3 in PS. The strong TPA expected around 1.5Eg in isolated PA strands shifts to ~Eg due to interchain π-electron dispersion forces. TPA intensities in correlated states are shown to reflect both ionicity and mean-square charge separation. The even-parity states of conjugated polymers, like those of polyenes, show qualitatively different features associated with electron-electron correlations.
Effect of dimethicone (polysilane gel) on the stereoselective pharmacokinetics of ketoprofen.
Presle, N; Lapicque, F; Gillet, P; Herrmann, M A; Bannwarth, B; Netter, P
1998-06-01
Since dimethicone may be employed to improve gastrointestinal tolerability of non steroidal anti-inflammatory drugs (NSAIDs), we studied its influence on the pharmacokinetics of ketoprofen in subjects receiving a single oral dose of racemic ketoprofen. In a cross-over experimental design, 12 healthy fasting volunteers were given a single oral dose (100 mg) of racemic ketoprofen, administered with or without dimethicone. The kinetic parameters measured were area under the concentration (AUC), maximum peak plasma concentration (Cmax), time to reach peak concentration (tmax), elimination half-life (t1/2), mean residence time (MRT) and urinary excretion for R and S enantiomers. Dimethicone reduced the peak concentration of both R and S ketoprofen by about 10% (P<0.05) and also induced a slight but non-significant increase in the mean time to achieve peak concentration. However, this treatment had no significant effect on the bioavailability and the elimination of R and S enantiomers, as shown by AUC, t1/2 and MRT values. The absorption patterns were equivalent for both ketoprofen isomers, since plasma pharmacokinetic parameters were similar. Nevertheless, the urinary recovery was significantly lower for R ketoprofen than for its antipode. The administration of dimethicone did not alter this stereoselectivity. The administration of dimethicone to alleviate the epigastralgic effects related to NSAIDs does not affect the efficacy of the treatment. Dimethicone did not significantly alter the bioavailability of ketoprofen, chosen as an example of an NSAID, especially that of the pharmacologically active S enantiomer.
Trends in imprint lithography for biological applications.
Truskett, Van N; Watts, Michael P C
2006-07-01
Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.
NASA Astrophysics Data System (ADS)
Yoshimoto, Yuuki; Li, Jinwang; Shimoda, Tatsuya
2018-04-01
A gel state exists in the solution-solid conversion process. We found that solidification can be promoted by irradiating the gel with ultraviolet (UV) light. In this study, a patterning method without using a vacuum system or employing photoresist materials has been proposed wherein solidification was applied to a gel by UV irradiation. Indium oxide gel, indium gallium oxide gel, lanthanum zirconium oxide gel, and lanthanum ruthenium oxide gels were successfully patterned by using our technique. Moreover, an oxide thin-film transistor was fabricated by our novel patterning method and was successfully operated.
Micromachined microwave signal control device and method for making same
Forman, Michael A [San Francisco, CA
2008-09-02
A method for fabricating a signal controller, e.g., a filter or a switch, for a coplanar waveguide during the LIGA fabrication process of the waveguide. Both patterns for the waveguide and patterns for the signal controllers are created on a mask. Radiation travels through the mask and reaches a photoresist layer on a substrate. The irradiated portions are removed and channels are formed on the substrate. A metal is filled into the channels to form the conductors of the waveguide and the signal controllers. Micromachined quasi-lumped elements are used alone or together as filters. The switch includes a comb drive, a spring, a metal plunger, and anchors.
Assembly of optical fibers for the connection of polymer-based waveguide
NASA Astrophysics Data System (ADS)
Ansel, Yannick; Grau, Daniel; Holzki, Markus; Kraus, Silvio; Neumann, Frank; Reinhard, Carsten; Schmitz, Felix
2003-03-01
This paper describes the realization of polymer-based optical structures and the assembly and packaging strategy to connect optical fiber ribbons to the waveguides. For that a low cost fabrication process using the SU-8TM thick photo-resist is presented. This process consists in the deposition of two photo-structurized resist layers filled up with epoxy glue realising the core waveguide. For the assembly, a new modular vacuum gripper was realised and installed on an automatic pick and place assembly robot to mount precisely and efficiently the optical fibers in the optical structures. First results have shown acceptable optical propagation loss for the complete test structure.
Anisotropic Etching Using Reactive Cluster Beams
NASA Astrophysics Data System (ADS)
Koike, Kunihiko; Yoshino, Yu; Senoo, Takehiko; Seki, Toshio; Ninomiya, Satoshi; Aoki, Takaaki; Matsuo, Jiro
2010-12-01
The characteristics of Si etching using nonionic cluster beams with highly reactive chlorine-trifluoride (ClF3) gas were examined. An etching rate of 40 µm/min or higher was obtained even at room temperature when a ClF3 molecular cluster was formed and irradiated on a single-crystal Si substrate in high vacuum. The etching selectivity of Si with respect to a photoresist and SiO2 was at least 1:1000. We also succeeded in highly anisotropic etching with an aspect ratio of 10 or higher. Moreover, this etching method has a great advantage of low damage, compared with the conventional plasma process.
2016-06-23
somnath.chattopadhyay@csun.edu 1-818-677-7197 clean/etch. Excessively hard- baked photoresist can usually be dissolved in piranha etching solution. 48 hours of...coated onto the freshly cleaned and dried wafer at 3000RPM, then soft- baked at 180ºC for 120 seconds. This gives a PMGI layer of about 0.4µm. Then the...PR is spin coated onto the wafer at about 4000RPM and soft baked at 115ºC for 90seconds, resulting in a PR layer about 1.3µm thick. The wafer is
Quantum lithography beyond the diffraction limit via Rabi-oscillations
NASA Astrophysics Data System (ADS)
Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail
2011-03-01
We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).
Deposition of InP-ON-Si Substrates for Monolithic Integration of Advanced Electronics
1991-04-19
efficiency, 3 low-cost solar cells , optoelectronic IC’s and GaAs IC’s on large-area Si substrates. Although much work has been done, the performance of the...1. Mesa Diode Fabrication A small piece was cut from the edge of the InP/InP solar cell ---;fr .12-1) I back contact was protected by photoresist. On...1~~~~ A,,).’,**** LLS - .* .’ ~*Ii* En - in - C *- -**0:; iU.)~ ILI Y a.E - CU CU tnJn ~c~C"+ m 00 -~4 CD J - -4i - - Ln cI- C2- El4 wi -r Lf
Binary-mask generation for diffractive optical elements using microcomputers.
O'Shea, D C; Beletic, J W; Poutous, M
1993-05-10
A new technique for generation of binary masks for the fabrication of diffractive optical elements is investigated. This technique, which uses commercially available desktop-publishing hardware and software in conjunction with a standard photoreduction camera, is much faster and less expensive thanhe conventional methods. The short turnaround time and low cost should give researchers a much greater degree of flexibility in the field of binary optics and enable wider application of diffractive-optics technology. Techniques for generating optical elements by using standard software packages that produce PostScript output are described. An evaluation of the dimensional fidelity of the mask reproduction from design to its realization in photoresist is presented.
Method for making a micromachined microwave signal control device
Forman, Michael A [Mountain House, CA
2011-02-15
A method for fabricating a signal controller, e.g., a filter or a switch, for a coplanar waveguide during the LIGA fabrication process of the waveguide. Both patterns for the waveguide and patterns for the signal controllers are created on a mask. Radiation travels through the mask and reaches a photoresist layer on a substrate. The irradiated portions are removed and channels are formed on the substrate. A metal is filled into the channels to form the conductors of the waveguide and the signal controllers. Micromachined quasi-lumped elements are used alone or together as filters. The switch includes a comb drive, a spring, a metal plunger, and anchors.
NASA Astrophysics Data System (ADS)
Nashida, Norihiro; Suzuki, Hiroaki
A microfluidic system with injecting and flushing functions was developed. In the system, hydrophilic flow channels have a dry-film photoresist layer which facilitates the introduction of solutions from four injection ports. The injection and flushing of solutions are controlled by valves operated by electrowetting. The valves consist of gold working electrodes in the flow channels or a through-hole in the glass substrate. Solutions can be sequentially introduced through the injection ports into a reaction chamber and flushed through a valve in the through-hole. Necessary immunoassay steps can be conducted on the chip, and a target antibody can be detected electrochemically.
Phase elements by means of a photolithographic system employing a spatial light modulator
NASA Astrophysics Data System (ADS)
Aubrecht, Ivo; Miler, Miroslav; Pala, Jan
2003-07-01
The system employs a spatial light modulator (SLM), between a pair of crossed polarizers, and an electronic shutter. Transmission of the SLM with the polarizers is controlled by graphical software that defines which pixels are fully transparent and which are fully opaque. While a particular binary graphics is on the SLM the electronic shutter allows light to pass for a certain time. The graphics is imaged, by an objective, onto a photoresist plate. A mercury lamp is used as a light source. The graphics changes after each exposition and the whole sequence of images determines the resultant surface-relief modulation.
NASA Astrophysics Data System (ADS)
Sitterly, Jacob; Murphy, Michael; Grzeskowiak, Steven; Denbeaux, Greg; Brainard, Robert L.
2018-03-01
This paper describes the photoreactivity of six organometallic complexes of the type PhnMX2 containing bismuth, antimony and tellurium, where n = 3 for bismuth and antimony and n = 2 for tellurium, and where X = acetate (O2CCH3) or pivalate (O2CC(CH3)3). These compounds were exposed to EUV light to monitor photodecomposition via in situ mass spectral analysis of the primary outgassing products of CO2, benzene and phenol. This paper explores the effect of metal center and carboxylate ligand on the EUV reactivity of these EUV photoresists.
Fast-Response Single-Nanowire Photodetector Based on ZnO/WS2 Core/Shell Heterostructures.
Butanovs, Edgars; Vlassov, Sergei; Kuzmin, Alexei; Piskunov, Sergei; Butikova, Jelena; Polyakov, Boris
2018-04-25
The surface plays an exceptionally important role in nanoscale materials, exerting a strong influence on their properties. Consequently, even a very thin coating can greatly improve the optoelectronic properties of nanostructures by modifying the light absorption and spatial distribution of charge carriers. To use these advantages, 1D/1D heterostructures of ZnO/WS 2 core/shell nanowires with a-few-layers-thick WS 2 shell were fabricated. These heterostructures were thoroughly characterized by scanning and transmission electron microscopy, X-ray diffraction, and Raman spectroscopy. Then, a single-nanowire photoresistive device was assembled by mechanically positioning ZnO/WS 2 core/shell nanowires onto gold electrodes inside a scanning electron microscope. The results show that a few layers of WS 2 significantly enhance the photosensitivity in the short wavelength range and drastically (almost 2 orders of magnitude) improve the photoresponse time of pure ZnO nanowires. The fast response time of ZnO/WS 2 core/shell nanowire was explained by electrons and holes sinking from ZnO nanowire into WS 2 shell, which serves as a charge carrier channel in the ZnO/WS 2 heterostructure. First-principles calculations suggest that the interface layer i-WS 2 , bridging ZnO nanowire surface and WS 2 shell, might play a role of energy barrier, preventing the backward diffusion of charge carriers into ZnO nanowire.
Fabrication of Free-Standing, Self-Aligned, High-Aspect-Ratio Synthetic Ommatidia.
Jun, Brian M; Serra, Francesca; Xia, Yu; Kang, Hong Suk; Yang, Shu
2016-11-16
Free-standing, self-aligned, high-aspect-ratio (length to cross-section, up to 15.5) waveguides that mimic insects' ommatidia are fabricated. Self-aligned waveguides under the lenses are created after exposing photoresist SU-8 film through the negative polydimethylsiloxane (PDMS) lens array. Instead of drying from the developer, the waveguides are coated with poly(vinyl alcohol) and then immersed into a mixture of PDMS precursor and diethyl ether. The slow drying of diethyl ether, followed by curing and peeling off PDMS, allows for the fabrication of free-standing waveguides without collapse. We show that the synthetic ommatidia can confine light and propagate it all the way to the tips.
The automated counting of beating rates in individual cultured heart cells.
Collins, G A; Dower, R; Walker, M J
1981-12-01
The effect of drugs on the beating rate of cultured heart cells can be monitored in a number of ways. The simultaneous automated measurement of beating rates of a number of cells allows drug effects to be rapidly quantified. A photoresistive detector placed on a television image of a cell, when coupled to operational amplifiers, gives binary signals that can be processed by a microprocessor. On this basis, we have devised a system that is capable of simultaneously monitoring the individual beating of six single cultured heart cells. A microprocessor automatically processes data obtained under different experimental conditions and records it in suitable descriptive formats such as dose-response curves and double reciprocal plots.
Suspension and simple optical characterization of two-dimensional membranes
NASA Astrophysics Data System (ADS)
Northeast, David B.; Knobel, Robert G.
2018-03-01
We report on a method for suspending two-dimensional crystal materials in an electronic circuit using an only photoresists and solvents. Graphene and NbSe2 are suspended tens of nanometers above metal electrodes with clamping diameters of several microns. The optical cavity formed from the membrane/air/metal structures enables a quick method to measure the number of layers and the gap separation using comparisons between the expected colour and optical microscope images. This characterization technique can be used with just an illuminated microscope with a digital camera which makes it adaptable to environments where other means of characterization are not possible, such as inside nitrogen glove boxes used in handling oxygen-sensitive materials.
Broadband infrared light emitting waveguides based on UV curable PbS quantum dot composites
NASA Astrophysics Data System (ADS)
Shen, Kai; Baig, Sarfaraz; Jiang, Guomin; Paik, Young-hun; Kim, Sung Jin; Wang, Michael R.
2018-02-01
We present herein the active PbS-photopolymer waveguide fabricated by vacuum assisted microfluidic (VAM) soft lithography technique. The PbS Quantum Dots (QDs) were synthesized using colloidal chemistry methods with tunable sizes and emission wavelengths, resulting in efficient light emission around 1000 nm center wavelength. The PbS QDs have demonstrated much better solubility in our newly synthesized UV curable polymer than SU-8 photoresist, verified by Photoluminescence (PL) testing. Through refractive index control, the PbS QDs-polymer core material and polymer cladding material can efficiently confine the infrared emitting light with a broad spectral bandwidth of 180 nm. Both single-mode and multi-mode light emitting waveguides have been realized.
Morales, Alfredo M [Livermore, CA; Gonzales, Marcela [Seattle, WA
2006-03-07
The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.
NASA Astrophysics Data System (ADS)
Sekiguchi, Atsushi
2013-03-01
The QCM method allows measurements of impedance, an index of swelling layer viscosity in a photoresist during development. While impedance is sometimes used as a qualitative index of change in the viscosity of the swelling layer, it has to date not been used quantitatively, for data analysis. We explored a method for converting impedance values to elastic modulus (Pa), a coefficient expressing viscosity. Applying this method, we compared changes in the viscosity of the swelling layer in an ArF resist generated during development in a TMAH developing solution and in a TBAH developing solution. This paper reports the results of this comparative study.
Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.
Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin
2014-04-15
Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.
Fabrication of a micromold using negative PMER
NASA Astrophysics Data System (ADS)
Kwon, Young A.; Chae, Kyoung-Soo; Jeoung, Dae S.; Kim, Jong Y.; Moon, Sung
2001-10-01
We fabricated a micro mold using UV-lithography process with a novel mold material, negative PMER. Negative PMER(TOK, PMER N-CA3000) is a chemically amplified negative tone photoresist on a novolak resin base. It can be processed using standard equipment such as standard spin coater, baking with ovens or hotplates, and immersion development tools. Good quality resist patterns of up to 36μm thickness were achieved by means of this equipment in a short time. The conditions of this process were pre-exposure bake of 110 degree(s)C/12min, exposure dose of 675mJ/cm2 post-exposure bake of 100 degree(s)C/9min, and development for 10min.
Novel fluoro copolymers for 157-nm photoresists: a progress report
NASA Astrophysics Data System (ADS)
Hohle, Christoph; Hien, Stefan; Eschbaumer, Christian; Rottstegge, Joerg; Sebald, Michael
2002-07-01
Several fluoro-substituted polymers consisting of acid cleavable methacryoic or cinnamic acid tert.-butyl ester compounds copolymerized with maleic acid anhydride derivatives were synthesized by radical copolymerization. Vacuum ultraviolet transmission measurements of the samples reveal absorbances down to 5micrometers -1 despite of the strongly absorbing anhydride moiety which serves as silylation anchor for the application of the Chemical Amplification of Resist Lines (CARL) process, one of the promising approaches for sub-90nm pattern fabrication. Some of the samples exhibit resolutions down to 110nm dense at 157nm exposure using an alternating phase shift mask. The feasibility of the CARL principle including the silylation reaction after development has been demonstrated with selected fluorinated polymer samples.
Method of fabricating reflection-mode EUV diffusers
Anderson, Erik; Naulleau, Patrick P.
2005-03-01
Techniques for fabricating well-controlled, random relief, engineered surfaces that serve as substrates for EUV optical devices are accomplished with grayscale exposure. The method of fabricating a multilevel EUV optical element includes: (a) providing a substrate; (b) depositing a layer of curable material on a surface of the substrate; (c) creating a relief profile in a layer of cured material from the layer of curable material wherein the relief profile comprises multiple levels of cured material that has a defined contour; and (d) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. The curable material can comprise photoresist or a low dielectric constant material.
Photolithographic surface micromachining of polydimethylsiloxane (PDMS).
Chen, Weiqiang; Lam, Raymond H W; Fu, Jianping
2012-01-21
A major technical hurdle in microfluidics is the difficulty in achieving high fidelity lithographic patterning on polydimethylsiloxane (PDMS). Here, we report a simple yet highly precise and repeatable PDMS surface micromachining method using direct photolithography followed by reactive ion etching (RIE). Our method to achieve surface patterning of PDMS applied an O(2) plasma treatment to PDMS to activate its surface to overcome the challenge of poor photoresist adhesion on PDMS for photolithography. Our photolithographic PDMS surface micromachining technique is compatible with conventional soft lithography techniques and other silicon-based surface and bulk micromachining methods. To illustrate the general application of our method, we demonstrated fabrication of large microfiltration membranes and free-standing beam structures in PDMS.
Photolithographic surface micromachining of polydimethylsiloxane (PDMS)
Chen, Weiqiang; Lam, Raymond H. W.
2014-01-01
A major technical hurdle in microfluidics is the difficulty in achieving high fidelity lithographic patterning on polydimethylsiloxane (PDMS). Here, we report a simple yet highly precise and repeatable PDMS surface micromachining method using direct photolithography followed by reactive ion etching (RIE). Our method to achieve surface patterning of PDMS applied an O2 plasma treatment to PDMS to activate its surface to overcome the challenge of poor photoresist adhesion on PDMS for photolithography. Our photolithographic PDMS surface micromachining technique is compatible with conventional soft lithography techniques and other silicon-based surface and bulk micromachining methods. To illustrate the general application of our method, we demonstrated fabrications of large microfiltration membranes and free-standing beam structures in PDMS. PMID:22089984
Large-core single-mode rib SU8 waveguide using solvent-assisted microcontact molding.
Huang, Cheng-Sheng; Wang, Wei-Chih
2008-09-01
This paper describes a novel fabrication technique for constructing a polymer-based large-core single-mode rib waveguide. A negative tone SU8 photoresist with a high optical transmission over a large wavelength range and stable mechanical properties was used as a waveguide material. A waveguide was constructed by using a polydimethylsiloxane stamp combined with a solvent-assisted microcontact molding technique. The effects on the final pattern's geometry of four different process conditions were investigated. Optical simulations were performed using beam propagation method software. Single-mode beam propagation was observed at the output of the simulated waveguide as well as the actual waveguide through the microscope image.
Evaluation of electron beam stabilization for ion implant processing
NASA Astrophysics Data System (ADS)
Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.
1999-06-01
With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.
NASA Astrophysics Data System (ADS)
Ishimoto, Jun; Oh, U.; Guanghan, Zhao; Koike, Tomoki; Ochiai, Naoya
2014-01-01
The ultra-high heat flux cooling characteristics and impingement behavior of cryogenic micro-solid nitrogen (SN2) particles in relation to a heated wafer substrate were investigated for application to next generation semiconductor wafer cleaning technology. The fundamental characteristics of cooling heat transfer and photoresist removal-cleaning performance using micro-solid nitrogen particulate spray impinging on a heated substrate were numerically investigated and experimentally measured by a new type of integrated computational-experimental technique. This study contributes not only advanced cryogenic cooling technology for high thermal emission devices, but also to the field of nano device engineering including the semiconductor wafer cleaning technology.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Smith, D.J.; Warner, J.A.; LeBarron, N.
Processes that use energetic ions for large substrates require that the time-averaged erosion effects from the ion flux be uniform across the surface. A numerical model has been developed to determine this flux and its effects on surface etching of a silica/photoresist combination. The geometry of the source and substrate is very similar to a typical deposition geometry with single or planetary substrate rotation. The model was used to tune an inert ion-etching process that used single or multiple Kaufman sources to less than 3% uniformity over a 30-cm aperture after etching 8 {micro}m of material. The same model canmore » be used to predict uniformity for ion-assisted deposition (IAD).« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Weilnboeck, F.; Metzler, D.; Kumar, N.
2011-12-26
Roughening of nanoscale polymer masks during plasma etching (PE) limits feature critical dimensions in current and future lithographic technologies. Roughness formation of 193 nm photoresist (PR) is mechanistically explained by plasma-induced changes in mechanical properties introduced at the PR surface ({approx}2 nm) by ions and in parallel in the material bulk ({approx}200 nm) by ultraviolet (UV) plasma radiation. Synergistic roughening of polymer masks can be prevented by pretreating PR patterns with a high dose of He plasma UV exposure to saturate bulk material modifications. During subsequent PE, PR patterns are stabilized and exhibit improved etch resistance and reduced surface/line-edge roughness.
Flavel, Benjamin S; Gross, Andrew J; Garrett, David J; Nock, Volker; Downard, Alison J
2010-04-01
A highly versatile method utilizing diazonium salt chemistry has been developed for the fabrication of protein arrays. Conventional ultraviolet mask lithography was used to pattern micrometer sized regions into a commercial photoresist on a highly doped p-type silicon (100) substrate. These patterned regions were used as a template for the electrochemical grafting of the in situ generated p-aminobenzenediazonium cation to form patterns of aminophenyl film on silicon. Immobilization of biomolecules was demonstrated by coupling biotin to the aminophenyl regions followed by reaction with fluorescently labeled avidin and visualization with fluorescence microscopy. This simple patterning strategy is promising for future application in biosensor devices.
Yun, Joho; Kim, Jinhwan; Lee, Jong-Hyun
2017-11-28
We have introduced a fabrication method for electrical impedance spectroscopy (EIS)-on-a-needle (EoN: EIS-on-a-needle) to locate target tissues in the body by measuring and analyzing differences in the electrical impedance between dissimilar biotissues. This paper describes the fabrication method of fine interdigitated electrodes (IDEs) at the tip of a hypodermic needle using a photoresist spray coating and flexible film photomask in the photolithography process. A polyethylene terephthalate (PET) heat shrink tube (HST) with a wall thickness of 25 µm is employed as the insulation and passivation layer. The PET HST shows a higher mechanical durability compared with poly(p-xylylene) polymers, which have been widely used as a dielectric coating material. Furthermore, the HST shows good chemical resistance to most acids and bases, which is advantageous for limiting chemical damage to the EoN. The use of the EoN is especially preferred for the characterization of chemicals/biomaterials or fabrication using acidic/basic chemicals. The fabricated gap and width of the IDEs are as small as 20 µm, and the overall width and length of the IDEs are 400 µm and 860 µm, respectively. The fabrication margin from the tip (distance between the tip of hypodermic needle and starting point of the IDEs) of the hypodermic needle is as small as 680 µm, which indicates that unnecessarily excessive invasion into biotissues can be avoided during the electrical impedance measurement. The EoN has a high potential for clinical use, such as for thyroid biopsies and anesthesia drug delivery in a spinal space. Further, even in surgery that involves the partial resection of tumors, the EoN can be employed to preserve as much normal tissue as possible by detecting the surgical margin (normal tissue that is removed with the surgical excision of a tumor) between the normal and lesion tissues.
NASA Astrophysics Data System (ADS)
Pollentier, Ivan; Vesters, Yannick; Petersen, John S.; Vanelderen, Pieter; Rathore, Atish; de Simone, Danilo; Vandenberghe, Geert
2018-03-01
The interaction of 91.6 eV EUV photons with photoresist - in particular chemically amplified resist (CAR) - is different than exposure at 193 nm and 248 nm wavelengths. The latter is understood well and it is known that photons interact with electrons in the resist's molecular valence orbitals (for chemically amplified resist (CAR) the photon interacts with the photo acid generator (PAG), which leads to a deprotection reaction on a polymer after a thermal catalytic reaction during a post-exposure-bake.). At EUV however, more steps are involved in the radiolysis process between the absorption of the photon and the final chemical modification. These are related to the generation of primary electrons and their decay to lower energy secondary electrons, and most of this steps are not well understood. In this paper, the reaction products from EUV and low energy electron exposure are examined using Residual Gas Analysis (RGA), which measures and analyzes the outgassing products related to the ongoing reactions. This investigation is applied firstly on a model CAR where details of the resist chemical constituents were known prior to testing. The measurement not only resolved information on the expected acid related reactions from the PAG and protection groups, but also exhibited direct scission reactions of the polymer, where some of them lead to polymerization reactions. Moreover, the measurement quantifies the balance between the different ongoing reactions, which were confirmed by contrast curve measurements. Based on learnings on the model resist, applied the measurement technique to commercial resists, where actual resist chemistry composition is not known. Despite that, it was found that information could be deduced to distinguish between acid related ongoing reactions and direct scission of reaction on the base polymer and quantify their relation. Moreover, different generations of commercial resists based on similar chemistry platform were investigated, which revealed that improvements in printing performance could be explained by PAG reaction yield increase.
High-performance polymer waveguide devices via low-cost direct photolithography process
NASA Astrophysics Data System (ADS)
Wang, Jianguo; Shustack, Paul J.; Garner, Sean M.
2002-09-01
All-optical networks provide unique opportunities for polymer waveguide devices because of their excellent mechanical, thermo-optic, and electro-optic properties. Polymer materials and components have been viewed as a viable solution for metropolitan and local area networks where high volume and low cost components are needed. In this paper, we present our recent progress on the design and development of photoresist-like highly fluorinated maleimide copolymers including waveguide fabrication and optical testing. We have developed and synthesized a series of thermally stable, (Tg>150 oC, Td>300 oC) highly fluorinated (>50%) maleimide copolymers by radical co-polymerization of halogenated maleimides with various halogenated co-monomers. A theoretical correlation between optical loss and different co-polymer structures has been quantitatively established from C-H overtone analysis. We studied this correlation through design and manipulation of the copolymer structure by changing the primary properties such as molecular weight, copolymer composition, copolymer sequence distribution, and variations of the side chain including photochemically functional side units. Detailed analysis has been obtained using various characterization methods such as (H, C13, F19) NMR, UV-NIR, FTIR, GPC and so forth. The co-polymers exhibit excellent solubility in ketone solvents and high quality thin films can be prepared by spin coating. The polymer films were found to have a refractive index range of 1.42-1.67 and optical loss in the range of 0.2 to 0.4 dB/cm at 1550nm depending on the composition as extrapolated from UV-NIR spectra. When glycidyl methacrylate is incorporated into the polymer backbone, the material behaves like a negative photoresist with the addition of cationic photoinitiator. The final crosslinked waveguides show excellent optical and thermal properties. The photolithographic processing of the highly fluorinated copolymer material was examined in detail using in-situ FTIR. The influence of various polymer
Integrated approach to improving local CD uniformity in EUV patterning
NASA Astrophysics Data System (ADS)
Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader
2017-03-01
Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination conditions in EUV lithography were optimized to improve normalized image log slope (NILS), which is expected to reduce shot noise related effects. It can be seen that the EUV imaging contrast improvement can further reduce post-develop LCDU from 4.1 nm to 3.9 nm and from 2.8 nm to 2.6 nm. In parallel, etch processes were developed to further reduce LCDU, to control CD, and to transfer these improvements into the final target substrate. We also demonstrate that increasing post-develop CD through dose adjustment can enhance the LCDU reduction from etch. Similar trends were also observed in different pitches down to 40 nm. The solutions demonstrated here are critical to the introduction of EUV lithography in high volume manufacturing. It can be seen that through a synergistic deposition, lithography, and etch optimization, LCDU at a 40 nm pitch can be improved to 1.6 nm (3-sigma) in a target oxide layer and to 1.4 nm (3-sigma) at the photoresist layer.
A paper-based microbial fuel cell: instant battery for disposable diagnostic devices.
Fraiwan, Arwa; Mukherjee, Sayantika; Sundermier, Steven; Lee, Hyung-Sool; Choi, Seokheun
2013-11-15
We present a microfabricated paper-based microbial fuel cell (MFC) generating a maximum power of 5.5 μW/cm(2). The MFC features (1) a paper-based proton exchange membrane by infiltrating sulfonated sodium polystyrene sulfonate and (2) micro-fabricated paper chambers by patterning hydrophobic barriers of photoresist. Once inoculum and catholyte were added to the MFC, a current of 74 μA was generated immediately. This paper-based MFC has the advantages of ease of use, low production cost, and high portability. The voltage produced was increased by 1.9 × when two MFC devices were stacked in series, while operating lifetime was significantly enhanced in parallel. Copyright © 2013 Elsevier B.V. All rights reserved.
Method for extreme ultraviolet lithography
Felter, T. E.; Kubiak, Glenn D.
1999-01-01
A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.
Chang, Yun-Chorng; Lu, Sih-Chen; Chung, Hsin-Chan; Wang, Shih-Ming; Tsai, Tzung-Da; Guo, Tzung-Fang
2013-01-01
Various infra-red and planar chiral metamaterials were fabricated using the modified Nanospherical-Lens Lithography. By replacing the light source with a hand-held ultraviolet lamp, its asymmetric light emission pattern produces the elliptical-shaped photoresist holes after passing through the spheres. The long axis of the ellipse is parallel to the lamp direction. The fabricated ellipse arrays exhibit localized surface plasmon resonance in mid-infra-red and are ideal platforms for surface enhanced infra-red absorption (SEIRA). We also demonstrate a way to design and fabricate complicated patterns by tuning parameters in each exposure step. This method is both high-throughput and low-cost, which is a powerful tool for future infra-red metamaterials applications. PMID:24284941
Method for extreme ultraviolet lithography
Felter, T. E.; Kubiak, G. D.
2000-01-01
A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.
X-ray mask and method for providing same
Morales, Alfredo M [Pleasanton, CA; Skala, Dawn M [Fremont, CA
2004-09-28
The present invention describes a method for fabricating an x-ray mask tool which can achieve pattern features having lateral dimension of less than 1 micron. The process uses a thin photoresist and a standard lithographic mask to transfer an trace image pattern in the surface of a silicon wafer by exposing and developing the resist. The exposed portion of the silicon substrate is then anisotropically etched to provide an etched image of the trace image pattern consisting of a series of channels in the silicon having a high depth-to-width aspect ratio. These channels are then filled by depositing a metal such as gold to provide an inverse image of the trace image and thereby providing a robust x-ray mask tool.
X-ray mask and method for providing same
Morales, Alfredo M.; Skala, Dawn M.
2002-01-01
The present invention describes a method for fabricating an x-ray mask tool which can achieve pattern features having lateral dimension of less than 1 micron. The process uses a thin photoresist and a standard lithographic mask to transfer an trace image pattern in the surface of a silicon wafer by exposing and developing the resist. The exposed portion of the silicon substrate is then anisotropically etched to provide an etched image of the trace image pattern consisting of a series of channels in the silicon having a high depth-to-width aspect ratio. These channels are then filled by depositing a metal such as gold to provide an inverse image of the trace image and thereby providing a robust x-ray mask tool.
Electromagnetic properties of photodefinable barium ferrite polymer composites
NASA Astrophysics Data System (ADS)
Sholiyi, Olusegun; Lee, Jaejin; Williams, John D.
2014-07-01
This article reports the magnetic and microwave properties of a Barium ferrite powder suspended in a polymer matrix. The sizes for Barium hexaferrite powder are 3-6 μm for coarse and 0.8-1.0 μm for the fine powder. Ratios 1:1 and 3:1 (by mass) of ferrite to SU8 samples were characterized and analyzed for predicting the necessary combinations of these powders with SU8 2000 Negative photoresist. The magnetization properties of these materials were equally determined and were analyzed using Vibrating Sample Magnetometer (VSM). The Thru, Reflect, Line (TRL) calibration technique was employed in determining complex relative permittivity and permeability of the powders and composites with SU8 between 26.5 and 40 GHz.
Low Power Consumption Design and Fabrication of Thin Film Core for Micro Fluxgate.
Lv, Hui; Liu, Shibin
2016-03-01
The soft magnetic characteristic of core is a critical factor to performance of the micro fluxgate. Porous thin film core can be effectively used to decrease the value of saturation magnetic field strength (H(s)) and improve soft magnetic behavior. It is conducive to impelling the micro fluxgate toward the direction of low power consumption. In this work, negative photoresist is used to fabricate a porous core by MEMS technology. Through the processes of ultraviolet-lithography, the porous pattern transfer from the mask to the microstructure on silicon substrate. The experiment result complies with the anticipation and indicates that this MEMS technique can be applied to improve the characteristic of thin film core and decrease power consumption of fluxgate sensor.
NASA Astrophysics Data System (ADS)
Aubrecht, Ivo
2015-05-01
Optimal design of security holograms or diffractive optically variable image devices (DOVIDs) that would be complex enough to deter counterfeiters from attempts of mimicking but contains features readily recognizable by laymen has been addressed by many experts. This paper tries to discuss effects of mechanical bending of a flexible substrate to visual appearance of a glued-on foil DOVID. Initially plane, the DOVID is deformed to a convex- or concave-shaped curved surface. Theoretical analyses and experimental results assume the surface to be a cylindrical segment and concern rainbow-type surface-relief holograms that are recorded piecewise in a photoresist material, coated on planar and non-planar substrates.
Morales, Alfredo M.; Gonzales, Marcela
2004-06-15
The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.
Effective cleaning of hexagonal boron nitride for graphene devices.
Garcia, Andrei G F; Neumann, Michael; Amet, François; Williams, James R; Watanabe, Kenji; Taniguchi, Takashi; Goldhaber-Gordon, David
2012-09-12
Hexagonal boron nitride (h-BN) films have attracted considerable interest as substrates for graphene. ( Dean, C. R. et al. Nat. Nanotechnol. 2010 , 5 , 722 - 6 ; Wang, H. et al. Electron Device Lett. 2011 , 32 , 1209 - 1211 ; Sanchez-Yamagishi, J. et al. Phys. Rev. Lett. 2012 , 108 , 1 - 5 .) We study the presence of organic contaminants introduced by standard lithography and substrate transfer processing on h-BN films exfoliated on silicon oxide substrates. Exposure to photoresist processing adds a large broad luminescence peak to the Raman spectrum of the h-BN flake. This signal persists through typical furnace annealing recipes (Ar/H(2)). A recipe that successfully removes organic contaminants and results in clean h-BN flakes involves treatment in Ar/O(2) at 500 °C.
Photolithographic patterning of vacuum-deposited organic light emitting devices
NASA Astrophysics Data System (ADS)
Tian, P. F.; Burrows, P. E.; Forrest, S. R.
1997-12-01
We demonstrate a photolithographic technique to fabricate vacuum-deposited organic light emitting devices. Photoresist liftoff combined with vertical deposition of the emissive organic materials and the metal cathode, followed by oblique deposition of a metal cap, avoids the use of high processing temperatures and the exposure of the organic materials to chemical degradation. The unpackaged devices show no sign of deterioration in room ambient when compared with conventional devices fabricated using low-resolution, shadow mask patterning. Furthermore, the devices are resistant to rapid degradation when operated in air for extended periods. This work illustrates a potential foundation for the volume production of very high-resolution, full color, flat panel displays based on small molecular weight organic light emitting devices.
Plasma generating apparatus for large area plasma processing
Tsai, C.C.; Gorbatkin, S.M.; Berry, L.A.
1991-07-16
A plasma generating apparatus for plasma processing applications is based on a permanent magnet line-cusp plasma confinement chamber coupled to a compact single-coil microwave waveguide launcher. The device creates an electron cyclotron resonance (ECR) plasma in the launcher and a second ECR plasma is created in the line cusps due to a 0.0875 tesla magnetic field in that region. Additional special magnetic field configuring reduces the magnetic field at the substrate to below 0.001 tesla. The resulting plasma source is capable of producing large-area (20-cm diam), highly uniform (.+-.5%) ion beams with current densities above 5 mA/cm[sup 2]. The source has been used to etch photoresist on 5-inch diam silicon wafers with good uniformity. 3 figures.
Plasma generating apparatus for large area plasma processing
Tsai, Chin-Chi; Gorbatkin, Steven M.; Berry, Lee A.
1991-01-01
A plasma generating apparatus for plasma processing applications is based on a permanent magnet line-cusp plasma confinement chamber coupled to a compact single-coil microwave waveguide launcher. The device creates an electron cyclotron resonance (ECR) plasma in the launcher and a second ECR plasma is created in the line cusps due to a 0.0875 tesla magnetic field in that region. Additional special magnetic field configuring reduces the magnetic field at the substrate to below 0.001 tesla. The resulting plasma source is capable of producing large-area (20-cm diam), highly uniform (.+-.5%) ion beams with current densities above 5 mA/cm.sup.2. The source has been used to etch photoresist on 5-inch diam silicon wafers with good uniformity.
Lithographic process window optimization for mask aligner proximity lithography
NASA Astrophysics Data System (ADS)
Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen
2014-03-01
We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.
Enhancement of image contrast by fluorescence in microtechnology
NASA Astrophysics Data System (ADS)
Berndt, Michael; Tutsch, Rainer
2005-06-01
New developments in production technology increasingly focus on hybrid microsystems. Especially for systems with movable components, the process step of assembly is mandatory. In general, the accuracy of positioning of the parts has to be better than 1 μm. This makes specialized and automated production equipment necessary, which can lead to a conflict with the aim of flexibility of the range of products. Design for manufacturing is a well known remedy. Assembly aids are common practice today. These features of the workpieces bear no functionality for the end product but considerably ease certain process steps. By standardization of assembly aids generalized production equipment free from product-specific features could be developed. In our contribution, we demonstrate the photogrammetric determination of the positions of workpieces without reference to their exterior shape, using circular fiducial marks of 150 μm in diameter. The surface properties of the workpieces, however, still have an influence on image formation. As an example, the marks may be hidden by local specular reflections. A solution to this problem is to add an exclusive optical property to the fiducial marks to get an image with high contrast against the surface of the workpiece. In biology and medicine samples are stained with fluorescing dyes to enhance the contrast in optical microscopy. In fluorochromes, light of a characteristic wavelength is emitted after the absorption of light with a shorter wavelength. In our experiments we added a fluorochrome to a common photoresist and coated the surface of the workpiece with a thin layer thereof. Using photolithography as a patterning technique we generated fiducial marks with structures down to 25 μm. These marks can be identified by their characteristic emission wavelength under short-wavelength illumination. Only the fiducial marks remain visible in the images and processing these images is straightforward. The generation of fluorescing patterns by photolithography opens new possibilities for testing and process control in many fields of microtechnology.
Ma, Huan; Mismar, Wael; Wang, Yuli; Small, Donald W.; Ras, Mat; Allbritton, Nancy L.; Sims, Christopher E.; Venugopalan, Vasan
2012-01-01
We use time-resolved interferometry, fluorescence assays and computational fluid dynamics (CFD) simulations to examine the viability of confluent adherent cell monolayers to selection via laser microbeam release of photoresist polymer micropallets. We demonstrate the importance of laser microbeam pulse energy and focal volume position relative to the glass–pallet interface in governing the threshold energies for pallet release as well as the pallet release dynamics. Measurements using time-resolved interferometry show that increases in laser pulse energy result in increasing pallet release velocities that can approach 10 m s−1 through aqueous media. CFD simulations reveal that the pallet motion results in cellular exposure to transient hydrodynamic shear stress amplitudes that can exceed 100 kPa on microsecond timescales, and which produces reduced cell viability. Moreover, CFD simulation results show that the maximum shear stress on the pallet surface varies spatially, with the largest shear stresses occurring on the pallet periphery. Cell viability of confluent cell monolayers on the pallet surface confirms that the use of larger pulse energies results in increased rates of necrosis for those cells situated away from the pallet centre, while cells situated at the pallet centre remain viable. Nevertheless, experiments that examine the viability of these cell monolayers following pallet release show that proper choices for laser microbeam pulse energy and focal volume position lead to the routine achievement of cell viability in excess of 90 per cent. These laser microbeam parameters result in maximum pallet release velocities below 6 m s−1 and cellular exposure of transient hydrodynamic shear stresses below 20 kPa. Collectively, these results provide a mechanistic understanding that relates pallet release dynamics and associated transient shear stresses with subsequent cellular viability. This provides a quantitative, mechanistic basis for determining optimal operating conditions for laser microbeam-based pallet release systems for the isolation and selection of adherent cells. PMID:22158840
Ma, Huan; Mismar, Wael; Wang, Yuli; Small, Donald W; Ras, Mat; Allbritton, Nancy L; Sims, Christopher E; Venugopalan, Vasan
2012-06-07
We use time-resolved interferometry, fluorescence assays and computational fluid dynamics (CFD) simulations to examine the viability of confluent adherent cell monolayers to selection via laser microbeam release of photoresist polymer micropallets. We demonstrate the importance of laser microbeam pulse energy and focal volume position relative to the glass-pallet interface in governing the threshold energies for pallet release as well as the pallet release dynamics. Measurements using time-resolved interferometry show that increases in laser pulse energy result in increasing pallet release velocities that can approach 10 m s(-1) through aqueous media. CFD simulations reveal that the pallet motion results in cellular exposure to transient hydrodynamic shear stress amplitudes that can exceed 100 kPa on microsecond timescales, and which produces reduced cell viability. Moreover, CFD simulation results show that the maximum shear stress on the pallet surface varies spatially, with the largest shear stresses occurring on the pallet periphery. Cell viability of confluent cell monolayers on the pallet surface confirms that the use of larger pulse energies results in increased rates of necrosis for those cells situated away from the pallet centre, while cells situated at the pallet centre remain viable. Nevertheless, experiments that examine the viability of these cell monolayers following pallet release show that proper choices for laser microbeam pulse energy and focal volume position lead to the routine achievement of cell viability in excess of 90 per cent. These laser microbeam parameters result in maximum pallet release velocities below 6 m s(-1) and cellular exposure of transient hydrodynamic shear stresses below 20 kPa. Collectively, these results provide a mechanistic understanding that relates pallet release dynamics and associated transient shear stresses with subsequent cellular viability. This provides a quantitative, mechanistic basis for determining optimal operating conditions for laser microbeam-based pallet release systems for the isolation and selection of adherent cells.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bartlome, Richard, E-mail: richard.bartlome@alumni.ethz.ch; De Wolf, Stefaan; Demaurex, Bénédicte
2015-05-28
We clarify the difference between the SiH{sub 4} consumption efficiency η and the SiH{sub 4} depletion fraction D, as measured in the pumping line and the actual reactor of an industrial plasma-enhanced chemical vapor deposition system. In the absence of significant polysilane and powder formation, η is proportional to the film growth rate. Above a certain powder formation threshold, any additional amount of SiH{sub 4} consumed translates into increased powder formation rather than into a faster growing Si film. In order to discuss a zero-dimensional analytical model and a two-dimensional numerical model, we measure η as a function of themore » radio frequency (RF) power density coupled into the plasma, the total gas flow rate, the input SiH{sub 4} concentration, and the reactor pressure. The adjunction of a small trimethylboron flow rate increases η and reduces the formation of powder, while the adjunction of a small disilane flow rate decreases η and favors the formation of powder. Unlike η, D is a location-dependent quantity. It is related to the SiH{sub 4} concentration in the plasma c{sub p}, and to the phase of the growing Si film, whether the substrate is glass or a c-Si wafer. In order to investigate transient effects due to the RF matching, the precoating of reactor walls, or the introduction of a purifier in the gas line, we measure the gas residence time and acquire time-resolved SiH{sub 4} density measurements throughout the ignition and the termination of a plasma.« less
Lens of controllable optical field with thin film metallic glasses for UV-LEDs.
Pan, C T; Chen, Y C; Lin, Po-Hung; Hsieh, C C; Hsu, F T; Lin, Po-Hsun; Chang, C M; Hsu, J H; Huang, J C
2014-06-16
In the exposure process of photolithography, a free-form lens is designed and fabricated for UV-LED (Ultraviolet Light-Emitting Diode). Thin film metallic glasses (TFMG) are adopted as UV reflection layers to enhance the irradiance and uniformity. The Polydimethylsiloxane (PDMS) with high transmittance is used as the lens material. The 3-D fast printing is attempted to make the mold of the lens. The results show that the average irradiance can be enhanced by 6.5~6.7%, and high uniformity of 85~86% can be obtained. Exposure on commercial thick photoresist using this UV-LED system shows 3~5% dimensional deviation, lower than the 6~8% deviation for commercial mercury lamp system. This current system shows promising potential to replace the conventional mercury exposure systems.
Effects of Graphene Monolayer Coating on the Optical Performance of Remote Phosphors
NASA Astrophysics Data System (ADS)
Yazdan Mehr, M.; Volgbert, S.; van Driel, W. D.; Zhang, G. Q.
2017-10-01
A graphene monolayer has been successfully coated on one side of a bisphenol-A-polycarbonate (BPA-PC) plate, used as a substrate for remote phosphor applications in light-emitting diode (LED)-based products. Using a photoresist transferring method, graphene sheet has been coated on BPA-PC plates. The results show that this graphene monolayer significantly improves the lifetime and performance of LEDs mainly by protecting them against external degradation factors such as moisture and oxygen. Also, LED-based products composed of graphene-coated BPA-PC plates exhibit longer stability with comparatively less loss of luminous efficiency. This method has great potential to significantly improve the reliability of not only LED-based products but also many other microelectronics packaging and components, in which moisture and oxygen are the key causes of failures.
Influence of geometry on the electrochemical response of carbon interdigitated microelectrodes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kostecki, R.; Song, X.Y.; Kinoshita, K.
2000-05-01
Microelectrodes were fabricated by carbonizing photoresist (700--1,000 C) that was patterned on a Si wafer by use of a mask and UV photolithography. Two geometric designs of interdigitated carbon microelectrodes were produced with dimensions of about 500 {micro}m length and 50 {micro}m width. The carbon structures were characterized by Raman spectroscopy, atomic force microscopy, and optical microscopy. The electrochemical response of the microelectrodes was investigated by cyclic voltammetry using the I{sub 3}{sup {minus}}/I{sup {minus}} redox couple. The collection efficiencies of carbon inderdigitated array electrodes (IDAEs) varied from 59 to 90% depending on the cell size, geometry, and generator-collector arrangement. Thesemore » collection efficiencies are comparable to those reported with multiband (n > 25 bands) IDAEs.« less
Non-noble metal based metallization systems
NASA Technical Reports Server (NTRS)
Garcia, A., III
1983-01-01
The results of efforts to produce a nonsilver metallization system for silicon photovoltaic cells are given. The system uses a metallization system based on molybdenum, tin, and titanium hydride. The initial work in this system was done using the MIDFILM process. The MIDFILM process attains a line resolution comparable to photoresist methods with a process related to screen printing. The surface to be processed is first coated with a thin layer of photopolymer material. Upon exposure to ultraviolet light through a suitable mask, the polymer in the non-pattern area crosslinks and becomes hard. The unexposed pattern areas remain tacky. The conductor material is then applied in the form of a dry mixture of metal which adheres to the tacky pattern area. The assemblage is then fired to ash the photopolymer and sinter the conductor powder.
A modified thickness extensional disk transducer.
Trolier, S E; Xu, Q C; Newnham, R E
1988-01-01
Photolithography and chemical etching were investigated as a means of patterning miniature piezoelectric devices. Using a processing procedure analogous to that utilized in the production of integrated circuitry, concentrated hydrochloric acid and a commercially available photoresist were used to fabricate a number of complex structures from soft lead zirconate titanate (PZT) substrates. Among the devices produced in this manner was a modified thickness-mode resonator etched to destroy the simple geometry responsible for radial vibrations. The resultant transducer demonstrated significantly smaller amplitudes for lateral resonances and a marked reduction in the effective planar coupling coefficient over the unaltered disk. The results indicate that photolithographic patterning is useful both for eliminating spurious resonances from transducers for medical imaging or nondestructive evaluation and for engineering low planar coupling coefficients into a variety of substrate materials.
Microactuator production via high aspect ratio, high edge acuity metal fabrication technology
NASA Technical Reports Server (NTRS)
Guckel, H.; Christenson, T. R.
1993-01-01
LIGA is a procession sequence which uses x-ray lithography on photoresist layers of several hundred micrometers to produce very high edge acuity photopolymer molds. These plastic molds can be converted to metal molds via electroplating of many different metals and alloys. The end results are high edge acuity metal parts with large structural heights. The LIGA process as originally described by W. Ehrfeld can be extended by adding a surface micromachining phase to produce precision metal parts which can be assembled to form three-dimensional micromechanisms. This process, SLIGA, has been used to fabricate a dynamometer on a chip. The instrument has been fully implemented and will be applied to tribology issues, speed-torque characterization of planar magnetic micromotors and a new family of sensors.
Review of Polyimides Used in the Manufacturing of Micro Systems
NASA Technical Reports Server (NTRS)
Wilson, William C.; Atkinson, Gary M.
2007-01-01
Since their invention, polyimides have found numerous uses in MicroElectroMechanical Systems (MEMS) technology. Polyimides can act as photoresist, sacrificial layers, structural layers, and even as a replacement for silicon as the substrate during MEMS fabrication. They enable fabrication of both low and high aspect ratio devices. Polyimides have been used to fabricate expendable molds and reusable flexible molds. Development of a variety of devices that employ polyimides for sensor applications has occurred. Micro-robotic actuator applications include hinges, thermal actuators and residual stress actuators. Currently, polyimides are being used to create new sensors and devices for aerospace applications. This paper presents a review of some of the many uses of polyimides in the development of MEMS devices, including a new polyimide based MEMS fabrication process.
A study of angular dependence in the ablation rate of polymers by nanosecond pulses
NASA Astrophysics Data System (ADS)
Pedder, James E. A.; Holmes, Andrew S.
2006-02-01
Measurements of ablation rate have traditionally been carried out only at normal incidence. However, in real-world applications ablation is often carried out at oblique angles, and it is useful to have prior knowledge of the ablation rate in this case. Detailed information about the angular dependence is also important for the development of ablation simulation tools, and can provide additional insight into the ablation mechanism. Previously we have reported on the angular dependence of direct-write ablation at 266 nm wavelength in solgel and polymer materials. In this paper we present a systematic study of angular dependence for excimer laser ablation of two polymer materials of interest for microfabrication: polycarbonate and SU8 photoresist. The results are used to improve simulation models to aid in mask design.
Sweatt, William C.; Stulen, Richard H.
1999-01-01
The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.
Sweatt, W.C.; Stulen, R.H.
1999-02-09
The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.
Method for maskless lithography
Sweatt, William C.; Stulen, Richard H.
2000-01-01
The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.
Interdigitated electrode (IDE) for porcine detection based on titanium dioxide (TiO2) thin films
NASA Astrophysics Data System (ADS)
Nordin, N.; Hashim, U.; Azizah, N.
2016-07-01
Interdigited Electrode (IDE) porcine detection can be accomplished to authenticate the halal issue that has been a concern to Muslim not only in Malaysia but all around the world. The method used is photolithography that used the p-type photoresist on the spin coater with 2500 rpm. Bare IDEs device is deposited with Titanium Dioxide (TiO2) to improve the performance of the device. The result indicates that current-voltage (I-V) measurement of porcine probe line slightly above porcine target due to negative charges repelled each other. The IDE device can detect the porcine presence in food as lowest as 1.0 µM. Better performance of the device can be achieved with the replacement of gold deposited to trigger more sensitivity of the device.
NASA Technical Reports Server (NTRS)
Denis, Kevin L. (Inventor)
2018-01-01
Disclosed are systems, methods, and non-transitory computer-readable storage media for fabrication of silicon on insulator (SOI) wafers with a superconductive via for electrical connection to a groundplane. Fabrication of the SOI wafer with a superconductive via can involve depositing a superconducting groundplane onto a substrate with the superconducting groundplane having an oxidizing layer and a non-oxidizing layer. A layer of monocrystalline silicon can be bonded to the superconducting groundplane and a photoresist layer can be applied to the layer of monocrystalline silicon and the SOI wafer can be etched with the oxygen rich etching plasma, resulting in a monocrystalline silicon top layer with a via that exposes the superconducting groundplane. Then, the fabrication can involve depositing a superconducting surface layer to cover the via.
Direct nanopatterning of 100 nm metal oxide periodic structures by Deep-UV immersion lithography.
Stehlin, Fabrice; Bourgin, Yannick; Spangenberg, Arnaud; Jourlin, Yves; Parriaux, Olivier; Reynaud, Stéphanie; Wieder, Fernand; Soppera, Olivier
2012-11-15
Deep-UV lithography using high-efficiency phase mask has been developed to print 100 nm period grating on sol-gel based thin layer. High efficiency phase mask has been designed to produce a high-contrast interferogram (periodic fringes) under water immersion conditions for 244 nm laser. The demonstration has been applied to a new developed immersion-compatible sol-gel layer. A sol-gel photoresist prepared from zirconium alkoxides caped with methacrylic acids was developed to achieve 50 nm resolution in a single step exposure. The nanostructures can be thermally annealed into ZrO(2). Such route considerably simplifies the process for elaborating nanopatterned surfaces of transition metal oxides, and opens new routes for integrating materials of interest for applications in the field of photocatalysis, photovoltaic, optics, photonics or microelectronics.
Wiring up pre-characterized single-photon emitters by laser lithography
NASA Astrophysics Data System (ADS)
Shi, Q.; Sontheimer, B.; Nikolay, N.; Schell, A. W.; Fischer, J.; Naber, A.; Benson, O.; Wegener, M.
2016-08-01
Future quantum optical chips will likely be hybrid in nature and include many single-photon emitters, waveguides, filters, as well as single-photon detectors. Here, we introduce a scalable optical localization-selection-lithography procedure for wiring up a large number of single-photon emitters via polymeric photonic wire bonds in three dimensions. First, we localize and characterize nitrogen vacancies in nanodiamonds inside a solid photoresist exhibiting low background fluorescence. Next, without intermediate steps and using the same optical instrument, we perform aligned three-dimensional laser lithography. As a proof of concept, we design, fabricate, and characterize three-dimensional functional waveguide elements on an optical chip. Each element consists of one single-photon emitter centered in a crossed-arc waveguide configuration, allowing for integrated optical excitation and efficient background suppression at the same time.
NASA Astrophysics Data System (ADS)
Yasui, Manabu; Kazawa, Elito; Kaneko, Satoru; Takahashi, Ryo; Kurouchi, Masahito; Ozawa, Takeshi; Arai, Masahiro
2014-11-01
SU-8 is a photoresist imaged using UV rays. However, we investigated the characteristics of an SU-8 nanopattern obtained by electron beam lithography (EBL). In particular, we studied the relationship between post-exposure bake (PEB) temperature and exposure time on an SU-8 nanopattern with a focus on phase transition temperature. SU-8 residue was formed by increasing both PEB temperature and exposure time. To prevent the formation of this, Monte Carlo simulation was performed; the results of such simulation showed that decreasing the thickness of SU-8 can reduce the amount of residue from the SU-8 nanopattern. We confirmed that decreasing the thickness of SU-8 can also prevent the formation of residue from the SU-8 nanopattern with EBL.
A versatile diffractive maskless lithography for single-shot and serial microfabrication.
Jenness, Nathan J; Hill, Ryan T; Hucknall, Angus; Chilkoti, Ashutosh; Clark, Robert L
2010-05-24
We demonstrate a diffractive maskless lithographic system that is capable of rapidly performing both serial and single-shot micropatterning. Utilizing the diffractive properties of phase holograms displayed on a spatial light modulator, arbitrary intensity distributions were produced to form two and three dimensional micropatterns/structures in a variety of substrates. A straightforward graphical user interface was implemented to allow users to load templates and change patterning modes within the span of a few minutes. A minimum resolution of approximately 700 nm is demonstrated for both patterning modes, which compares favorably to the 232 nm resolution limit predicted by the Rayleigh criterion. The presented method is rapid and adaptable, allowing for the parallel fabrication of microstructures in photoresist as well as the fabrication of protein microstructures that retain functional activity.
Xia, Juan; Zhou, Junyu; Zhang, Ronggui; Jiang, Dechen; Jiang, Depeng
2018-06-04
In this communication, a gold-coated polydimethylsiloxane (PDMS) chip with cell-sized microwells was prepared through a stamping and spraying process that was applied directly for high-throughput electrochemiluminescence (ECL) analysis of intracellular glucose at single cells. As compared with the previous multiple-step fabrication of photoresist-based microwells on the electrode, the preparation process is simple and offers fresh electrode surface for higher luminescence intensity. More luminescence intensity was recorded from cell-retained microwells than that at the planar region among the microwells that was correlated with the content of intracellular glucose. The successful monitoring of intracellular glucose at single cells using this PDMS chip will provide an alternative strategy for high-throughput single-cell analysis. Graphical abstract ᅟ.
Practical holography III; Proceedings of the Meeting, Los Angeles, CA, Jan. 17, 18, 1989
NASA Astrophysics Data System (ADS)
Benton, Stephen A.
Various papers on practical holography are presented. Individual topics addressed include: design of large format commercial display holograms, design of a one-step full-color holographic recording system, color reflection holography, full color rainbow hologram using a photoresist plate, secondary effects in processing holograms, archival properties of holograms, survey of properties of volume holographic materials, image stability of DMP-128 holograms, activation monitor for DMP-128, microwave drying effects on dichromated gelatin holograms, sensitization process of dichromated gelatin, holographic optics for vision systems, holographic fingerprint sensor, cross-talk and cross-coupling in multiplexed holographic gratings, compact illuminators for transmission holograms, solar holoconcentrators in dichromated grains, three-dimensional display of scientific data, holographic liquid crystal displays, in situ swelling for hologaphic color control.
Sensitivity enhancement of the high-resolution xMT multi-trigger resist for EUV lithography
NASA Astrophysics Data System (ADS)
Popescu, Carmen; Frommhold, Andreas; McClelland, Alexandra; Roth, John; Ekinci, Yasin; Robinson, Alex P. G.
2017-03-01
Irresistible Materials is developing a new molecular resist system that demonstrates high-resolution capability based on the multi-trigger concept. A series of studies such as resist purification, developer choice,and enhanced resist crosslinking were conducted in order to optimize the performance of this material. The optimized conditions allowed patterning 14 nm half-pitch (hp) lines with a line width roughness (LWR) of 2.7 nm at the XIL beamline of the Swiss Light source. Furthermore it was possible to pattern 14 nm hp features with dose of 14 mJ/cm2 with an LWR of 4.9 nm. We have also begun to investigate the addition of high-Z additives to EUV photoresist as a means to increase sensitivity and modify secondary electron blur.
Optical micromachined ultrasound transducers (OMUT)--a new approach for high-frequency transducers.
Tadayon, Mohammad Amin; Ashkenazi, Shai
2013-09-01
The sensitivity and reliability of piezoelectric ultrasound transducers severely degrade in applications requiring high frequency and small element size. Alternative technologies such as capacitive micromachined ultrasound transducers (CMUT) and optical sensing and generation of ultrasound have been proposed and studied for several decades. In this paper, we present a new type of device based on optical micromachined ultrasound transducer (OMUT) technology. OMUTs rely on microfabrication techniques to construct micrometerscale air cavities capped by an elastic membrane. A modified photoresist bonding process has been developed to facilitate the fabrication of these devices. We will describe the design, fabrication, and testing of prototype OMUT devices which implement a receive-only function. Future design modifications are proposed for incorporating complete transmit¿receive functionality in a single element.
Blazed Gratings Recorded in Absorbent Photopolymers.
Fernández, Roberto; Gallego, Sergi; Márquez, Andrés; Navarro-Fuster, Víctor; Beléndez, Augusto
2016-03-15
Phase diffractive optical elements, which have many interesting applications, are usually fabricated using a photoresist. In this paper, they were made using a hybrid optic-digital system and a photopolymer as recording medium. We analyzed the characteristics of the input and recording light and then simulated the generation of blazed gratings with different spatial periods in different types of photopolymers using a diffusion model. Finally, we analyzed the output and diffraction efficiencies of the 0 and 1st order so as to compare the simulated values with those measured experimentally. We evaluated the effects of index matching in a standard PVA/AA photopolymer, and in a variation of Biophotopol, a more biocompatible photopolymer. Diffraction efficiencies near 70%, for a wavelength of 633 nm, were achieved for periods longer than 300 µm in this kind of materials.
Role of strongly interacting additives in tuning the structure and properties of polymer systems
NASA Astrophysics Data System (ADS)
Daga, Vikram Kumar
Block copolymer (BCP) nanocomposites are an important class of hybrid materials in which the BCP guides the spatial location and the periodic assembly of the additives. High loadings of well-dispersed nanofillers are generally important for many applications including mechanical reinforcing of polymers. In particular the composites shown in this work might find use as etch masks in nanolithography, or for enabling various phase selective reactions for new materials development. This work explores the use of hydrogen bonding interactions between various additives (such as homopolymers and non-polymeric additives) and small, disordered BCPs to cause the formation of well-ordered morphologies with small domains. A detailed study of the organization of homopolymer chains and the evolution of structure during the process of ordering is performed. The results demonstrate that by tuning the selective interaction of the additive with the incorporating phase of the BCP, composites with significantly high loadings of additives can be formed while maintaining order in the BCP morphology. The possibility of high and selective loading of additives in one of the phases of the ordered BCP composite opens new avenues due to high degree of functionalization and the proximity of the additives within the incorporating phase. This aspect is utilized in one case for the formation of a network structure between adjoining additive cores to derive mesoporous inorganic materials with their structures templated by the BCP. The concept of additive-driven assembly is extended to formulate BCPadditive blends with an ability to undergo photo-induced ordering. Underlying this strategy is the ability to transition a weakly interacting additive to its strongly interacting form. This strategy provides an on-demand, non-intrusive route for formation of well-ordered nanostructures in arbitrarily defined regions of an otherwise disordered material. The second area explored in this dissertation deals with the incorporation of additives into photoresists for next generation extreme ultra violet (EUV) photolithography applications. The concept of hydrogen bonding between the additives and the polymeric photoresist was utilized to cause formation of a physical network that is expected to slow down the diffusion of photoacid leading to better photolithographic performance (25-30 nm resolution obtained).
Epifluorescent direct-write photolithography for microfluidic applications
NASA Astrophysics Data System (ADS)
Higgins, MacCallister; Geiger, Emil J.
2015-01-01
We present a technique for fabricating soft-lithography molds created using an epifluorescent microscope. By focusing the UV light emitted from a Hg arc lamp, we demonstrate the ability to direct-write photoresist features with a minimum resolution of 45 μm. This resolution is satisfactory for many microfluidic applications. A major advantage of this technique is its low cost, both in terms of capital investment and on-going expenditures. Furthermore, by using a motorized stage, we can quickly fabricate a design on demand, eliminating the need, cost, and lead-time required for a photomask. With the addition of an electronic shutter, complicated separate structures can be imaged and utilized to make a wide range of microfluidic devices. We demonstrate this technique using dry-film resist due to its low cost, ease of application, and less stringent safety protocols.
NASA Astrophysics Data System (ADS)
Dvoretckaia, L. N.; Mozharov, A. M.; Mukhin, I. S.
2017-11-01
Photolithography mask made of close-packed array of micro- and nano-sized spherical lenses allows to obtain the ordered structures and provides highest “optical resolution/cost” ratio between all existing photolithography and laser direct writing methods. In this letter, we present results of modeling the propagation of a plane wave falling on the array of quartz (SiO2) microspherical lenses and focusing in the image reverse photoresist layer. We present here experimental results on fabrication of ordered arrays of submicron wells and columns and substrate preparation for growth of monocrystalline nanowires on metal surface using photolithography with mask of SiO2 microspheres. Such ordered nano-sized arrays of wells and columns can be used in fabrication of further growth of monocrystalline nanowires, quantum dots and production of plasmon structures.
Silicon micro-mold and method for fabrication
Morales, Alfredo M.
2005-01-11
The present invention describes a method for rapidly fabricating a robust 3-dimensional silicon micro-mold for use in preparing complex metal micro-components. The process begins by depositing a conductive metal layer onto one surface of a silicon wafer. A thin photoresist and a standard lithographic mask are then used to transfer a trace image pattern onto the opposite surface of the wafer by exposing and developing the resist. The exposed portion of the silicon substrate is anisotropically etched through the wafer thickness down to conductive metal layer to provide an etched pattern consisting of a series of rectilinear channels and recesses in the silicon which serve as the silicon micro-mold. Microcomponents are prepared with this mold by first filling the mold channels and recesses with a metal deposit, typically by electroplating, and then removing the silicon micro-mold by chemical etching.
Morales, Alfredo M [Livermore, CA
2006-10-24
The present invention describes a method for rapidly fabricating a robust 3-dimensional silicon-mold for use in preparing complex metal micro-components. The process begins by depositing a conductive metal layer onto one surface of a silicon wafer. A thin photoresist and a standard lithographic mask are then used to transfer a trace image pattern onto the opposite surface of the wafer by exposing and developing the resist. The exposed portion of the silicon substrate is anisotropically etched through the wafer thickness down to conductive metal layer to provide an etched pattern consisting of a series of rectilinear channels and recesses in the silicon which serve as the silicon micro-mold. Microcomponents are prepared with this mold by first filling the mold channels and recesses with a metal deposit, typically by electroplating, and then removing the silicon micro-mold by chemical etching.
NASA Astrophysics Data System (ADS)
Deng, Shengfeng; Lyu, Jinke; Sun, Hongda; Cui, Xiaobin; Wang, Tun; Lu, Miao
2015-03-01
A chirped artificial compound eye on a curved surface was fabricated using an optical resin and then mounted on the end of an endoscopic imaging fiber bundle. The focal length of each lenslet on the curved surface was variable to realize a flat focal plane, which matched the planar end surface of the fiber bundle. The variation of the focal length was obtained by using a photoresist mold formed by dose-modulated laser lithography and subsequent thermal reflow. The imaging performance of the fiber bundle was characterized by coupling with a coaxial light microscope, and the result demonstrated a larger field of view and better imaging quality than that of an artificial compound eye with a uniform focal length. Accordingly, this technology has potential application in stereoscopic endoscopy.
Dichromated polyvinyl alcohol (DC-PVA) wet processed for high index modulation
NASA Astrophysics Data System (ADS)
Rallison, Richard D.
1997-04-01
PVA films have been used as mold releases, strippable coatings, binders for photopolymers and when sensitized with metals and/or dyes they have been used as photoresists, volume HOEs, multiplexed holographic optical memory and real time non destructive holographic testing. The list goes on and includes Slime and birth control. In holography, DC-PVA is a real time photoanisotropic recording material useful for phase conjugation experiments and also a stable long term storage medium needing no processing other than heat. Now we add the capability of greatly increasing the versatility of PVA by boosting the index modulation by almost two orders of magnitude. We can add broadband display and HOE applications that were not possible before. Simple two or three step liquid processing is all that is required to make the index modulation grow.
Integration of multiple theories for the simulation of laser interference lithography processes
NASA Astrophysics Data System (ADS)
Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung
2017-11-01
The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.