Sample records for process improvement cpi

  1. The effectiveness of CPI model to improve positive attitude toward science (PATS) for pre-service physics teacher

    NASA Astrophysics Data System (ADS)

    Sunarti, T.; Wasis; Madlazim; Suyidno; Prahani, B. K.

    2018-03-01

    In the previous research, learning material based Construction, Production, and Implementation (CPI) model has been developed to improve scientific literacy and positive attitude toward science for pre-service physics teacher. CPI model has 4 phases, included: 1) Motivation; 2) Construction (Cycle I); 3) Production (Cycle II); and 4) Evaluation. This research is aimed to analyze the effectiveness of CPI model towards the improvement Positive Attitude toward Science (PATS) for pre-service physics teacher. This research used one group pre-test and post-test design on 160 pre-service physics teacher divided into 4 groups at Lambung Mangkurat University and Surabaya State University (Indonesia), academic year 2016/2017. Data collection was conducted through questioner, observation, and interview. Positive attitude toward science for pre-service physics teacher measurement were conducted through Positive Attitude toward Science Evaluation Sheet (PATSES). The data analysis technique was done by using Wilcoxon test and n-gain. The results showed that there was a significant increase in positive attitude toward science for pre-service physics teacher at α = 5%, with n-gain average of high category. Thus, the CPI model is effective for improving positive attitude toward science for pre-service physics teacher.

  2. NOx control techniques for the CPI

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lambert, D.; McGowan, T.F.

    1996-06-01

    After years of air pollution control innovation, the control of emissions of nitrogen oxide compounds stands out as an area where much work remains to be performed in the chemical process industries (CPI). Federal regulations, ozone non-attainment areas, acid rain provisions of the US Clean Air Act, and corporate goals for emission reductions are all motivators. Primary CPI sources are high-temperature combustion systems, including fired heaters, boilers and Kilns. Nitrogen-based processes such as nitric acid manufacture also contribute. The paper discusses the regulations which define the problem and some solutions. These include fuel switching, low-excess air burners, fluegas recirculation, stagedmore » combustion, out of service burners, and wet scrubbing of flue gas. The paper briefly discusses costs of these options.« less

  3. Teacher Research as Continuous Process Improvement

    ERIC Educational Resources Information Center

    Ellis, Charles; Castle, Kathryn

    2010-01-01

    Purpose: Teacher research (inquiry) has been characterized as practice improvement, professional development and action research, among numerous names and descriptions. The purpose of this paper is to support the case that teacher research is also a form of quality improvement known as continuous process improvement (CPI).…

  4. Economy of Force: Continuous Process Improvement And The Air Service

    DTIC Science & Technology

    2017-06-01

    a household goods move, viewed from the perspective of a customer when interacting with a service organization, assists in demonstrating this...improvement (CPI) as well. The components of a process that deliver a value-added effect to a consumer of the goods or services generated by the process...CPI is largely about the “voice of the customer ” and all organizations, service or production based, have customers and processes. There are value

  5. Progress in CPI Microwave Tube Development

    NASA Astrophysics Data System (ADS)

    Wright, Edward L.; Bohlen, Heinz

    2006-01-01

    CPI continues its role as a leading supplier of state-of-the-art, high-power microwave tubes; from linear beam, velocity- and density-modulated devices, to high frequency gyro-devices. Klystrons are the device-of-choice for many high-power microwave applications, and can provide multi-megawatts to multi-kilowatts of power from UHF to W-band, respectively. A number of recent and on-going developments will be described. At UHF frequencies, the inductive output tube (IOT) has replaced the klystron for terrestrial NTSC and HDTV broadcast, due to its high efficiency and linearity, and is beginning to see use in scientific applications requiring 300 kW or less. Recent advances have enabled use well into L-band. CPI has developed a number of multiple-beam amplifiers. The VKL-8301 multiple-beam klystron (MBK) was built for the TESLA V/UV and x-ray FEL projects, and is a candidate RF source for the International Linear Collider (ILC). We have also contributed to the development of the U.S. Naval Research Laboratory (NRL) high-power fundamental-mode S-band MBK. The VHP-8330B multiple-beam, high-order mode (HOM) IOT shows great promise as a compact, CW UHF source for high power applications. These topics will be discussed, along with CPI's development capabilities for new and novel applications. Most important is our availability to provide design and fabrication services to organizations requiring CPI's manufacturing and process control infrastructure to build and test state-of-the-art devices.

  6. Using continuous process improvement methodology to standardize nursing handoff communication.

    PubMed

    Klee, Kristi; Latta, Linda; Davis-Kirsch, Sallie; Pecchia, Maria

    2012-04-01

    The purpose of this article was to describe the use of continuous performance improvement (CPI) methodology to standardize nurse shift-to-shift handoff communication. The goals of the process were to standardize the content and process of shift handoff, improve patient safety, increase patient and family involvement in the handoff process, and decrease end-of-shift overtime. This article will describe process changes made over a 4-year period as result of application of the plan-do-check-act procedure, which is an integral part of the CPI methodology, and discuss further work needed to continue to refine this critical nursing care process. Copyright © 2012 Elsevier Inc. All rights reserved.

  7. CPI detailed report : data for November 1998

    DOT National Transportation Integrated Search

    1998-11-01

    The Consumer Price Index for All Urban Consumers (CPI-U) was unchanged in November, before seasonal adjustment, remaining at a level of 164.0 (1982-84=100). For the 12-month period ended in November, the CPI-U increased 1.5 percent. The Consumer Pric...

  8. CPI detailed report : data for August 1998

    DOT National Transportation Integrated Search

    1998-08-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.1 percent in August, before seasonal adjustment, to a level of 163.4 (1982-84=100). For the 12-month period ended in August, the CPI-U increased 1.6 percent. The Consumer Price Inde...

  9. CPI detailed report : data for September 1997

    DOT National Transportation Integrated Search

    1997-09-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.2 percent in September, before seasonal adjustment, to a level of 161.2 (1982-84=100). For the 12-month period ended in September, the CPI-U increased 2.2 percent. The Consumer Pric...

  10. CPI detailed report : data for December 1998

    DOT National Transportation Integrated Search

    1998-12-01

    The Consumer Price Index for All Urban Consumers (CPI-U) declined 0.1 percent in December, before seasonal adjustment. The December 1998 CPI-U level of 163.9 (1982-84=100) was 1.6 percent higher than the index in December 1997. The Consumer Price Ind...

  11. CPI detailed report : data for March 2001

    DOT National Transportation Integrated Search

    2001-03-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.2 percent in March, before seasonal adjustment, to a level of 176.2 (1982-84+100). For the 12-month period ended in March, the CPI-U increased 2.9 percent. The Consumer Price Index ...

  12. CPI detailed report : data for February 1999

    DOT National Transportation Integrated Search

    1999-02-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.1 percent in February, before seasonal adjustment, to a level of 164.5 (1982-84=100). For the 12-month period ended in February, the CPI-U increased 1.6 percent. The Consumer Price ...

  13. CPI detailed report : data for February 1998

    DOT National Transportation Integrated Search

    1998-02-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.2 percent in February, before seasonal adjustment, to a level of 161.9 (1982-84=100). For the 12-month period ended in February, the CPI-U increased 1.4 percent. The Consumer Price ...

  14. CPI detailed report : data for September 1998

    DOT National Transportation Integrated Search

    1998-09-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.i percent in September, before seasonal adjustment, to a level of 163.6 (1982-84=100). For the 12-month period ended in September, the CPI-U increased 1.5 percent. The Consumer Pric...

  15. CPI detailed report : data for October 1998

    DOT National Transportation Integrated Search

    1998-10-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.2 percent in October, before seasonal adjustment, to a level of 164.0 (1982-84=100). For the 12-month period ended in October, the CPI-U increased 1.5 percent. The Consumer Price In...

  16. CPI detailed report : data for April 2001

    DOT National Transportation Integrated Search

    2001-08-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.4 percent in April, before seasonsal adjustment, to a level of 176.9 (1982-84=100). For the 12-month period ended in April, the CPI-U increased 3.3 percent. The Consumer Price Index...

  17. CPI detailed report : data for February 2002

    DOT National Transportation Integrated Search

    2002-05-01

    The Consumer Price Index for All urban Consumers (CPI-U) increased 0.4 percent in February, before seasonal adjustment, to a level of 177.8 (1982-84=100). For the 12-month period ended in February, the CPI-U increased 1.1 percent. The Consumer Price ...

  18. CPI detailed report : data for February 2001

    DOT National Transportation Integrated Search

    2001-02-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.4 percent in February, before seasonal adjustment, to a level of 175.8 (1982-84=100). For the 12-month period ended in February, the CPI-U increased 3.5 percent. The Consumer Price ...

  19. CPI detailed report : data for April 2002

    DOT National Transportation Integrated Search

    2002-06-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.6 percent in April, before seasonal adjustment, to a level of 179.8 (1982-84=100). For the 12-month period ended in April, the CPI-U increased 1.6 percent. The Consumer Price Index ...

  20. CPI detailed report : data for November 2001

    DOT National Transportation Integrated Search

    2001-01-01

    The Consumer Price Index for All urban Consumers (CPI-U) increased 0.2 percent in November, before seasonal adjustment, to a level of 177.4 (1982-84=100). For the 12-month period ended in November, the CPI-U increased 1.9 percent. The Consumer Price ...

  1. CPI detailed report : data for August 1997

    DOT National Transportation Integrated Search

    1997-08-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.2 percent in August, before seasonal adjustment, to a level of 160.8 (1982-84=100). For the 12-month period ended in August, the CPI-U increased 2.2 percent. The Consumer Price Inde...

  2. CPI detailed report : data for March 1998

    DOT National Transportation Integrated Search

    1998-03-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.2 percent in March before seasonal adjustment, to a level of 162.2 (1982-84=100). For the 12-month period ended in March, the CPI-U increased 1.4 percent. The Consumer Price Index f...

  3. CPI detailed report : data for June 2001

    DOT National Transportation Integrated Search

    2001-09-01

    The Consumer Price Index for All urban Consumers (CPI-U) increased 0.2 percent in June, before seasonal adjustment, to a level of 178.0 (1982-84=100). For the 12-month period ended in June, the CPI-U increased 3.2 percent. The Consumer Price Index fo...

  4. CPI detailed report : data for May 2001

    DOT National Transportation Integrated Search

    2001-05-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.5 percecnt in May, before seasonal adjustment, to a level of 177.7 (1982-84=100). For the 12-month period ended in May, the CPI-U increased 3.6 percent. The Consumer Price Index for...

  5. CPI detailed report : data for May 1998

    DOT National Transportation Integrated Search

    1998-05-01

    The Consumer Price Index for All Urban Consumers (CPI-U) increased 0.2 percent in May, before seasonal adjustment, to a level of 162.8 (1982-84=100). For the 12-month period ended in May, the CPI-U increased 1.7 percent. The Consumer Price Index for ...

  6. Characterization of LaRC-CPI semicrystalline polyimide using thermal, dynamic mechanical and dielectric relaxation techniques

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rich, D.C.; Huo, P.P.; Liu, C.

    1993-12-31

    The thermal, dynamic mechanical, and dielectric properties of the semicrystalline thermoplastic polyimide LaRC-CPI were studied. Using differential scanning calorimetry to measure heats of fusion and WAXS to measure crystallinity, the heat of fusion of perfect crystalline LaRC-CPI was determined to be 92 {+-} 2 J/g. DMA and dielectric measurements were performed on three LaRC-CPI films (as received, annealed, and amorphous). Crystallinity was found to reinforce the rubbery state resulting in a higher modulus and broader distribution of relaxation times. Broader relaxation for the crystalline LaRC-CPI was also observed in the dielectric tests. Processing strain and the thermal history were foundmore » to have a significant impact in both dynamic mechanical and dielectric relaxation measurements.« less

  7. WISC-IV GAI and CPI in Psychoeducational Assessment

    ERIC Educational Resources Information Center

    Bremner, Dawn; McTaggart, Breanne; Saklofske, Donald H.; Janzen, Troy

    2011-01-01

    The General Ability Index (GAI) and Cognitive Proficiency Index (CPI) are two index scores that can be calculated for the Wechsler Intelligence Scale for Children-Fourth Canadian Edition (WISC-IV[superscript CDN]). The GAI comprises the verbal comprehension and perceptual reasoning subtests and reflects reasoning abilities. The CPI includes the…

  8. DPDR-CPI, a server that predicts Drug Positioning and Drug Repositioning via Chemical-Protein Interactome.

    PubMed

    Luo, Heng; Zhang, Ping; Cao, Xi Hang; Du, Dizheng; Ye, Hao; Huang, Hui; Li, Can; Qin, Shengying; Wan, Chunling; Shi, Leming; He, Lin; Yang, Lun

    2016-11-02

    The cost of developing a new drug has increased sharply over the past years. To ensure a reasonable return-on-investment, it is useful for drug discovery researchers in both industry and academia to identify all the possible indications for early pipeline molecules. For the first time, we propose the term computational "drug candidate positioning" or "drug positioning", to describe the above process. It is distinct from drug repositioning, which identifies new uses for existing drugs and maximizes their value. Since many therapeutic effects are mediated by unexpected drug-protein interactions, it is reasonable to analyze the chemical-protein interactome (CPI) profiles to predict indications. Here we introduce the server DPDR-CPI, which can make real-time predictions based only on the structure of the small molecule. When a user submits a molecule, the server will dock it across 611 human proteins, generating a CPI profile of features that can be used for predictions. It can suggest the likelihood of relevance of the input molecule towards ~1,000 human diseases with top predictions listed. DPDR-CPI achieved an overall AUROC of 0.78 during 10-fold cross-validations and AUROC of 0.76 for the independent validation. The server is freely accessible via http://cpi.bio-x.cn/dpdr/.

  9. AlzhCPI: A knowledge base for predicting chemical-protein interactions towards Alzheimer's disease.

    PubMed

    Fang, Jiansong; Wang, Ling; Li, Yecheng; Lian, Wenwen; Pang, Xiaocong; Wang, Hong; Yuan, Dongsheng; Wang, Qi; Liu, Ai-Lin; Du, Guan-Hua

    2017-01-01

    Alzheimer's disease (AD) is a complicated progressive neurodegeneration disorder. To confront AD, scientists are searching for multi-target-directed ligands (MTDLs) to delay disease progression. The in silico prediction of chemical-protein interactions (CPI) can accelerate target identification and drug discovery. Previously, we developed 100 binary classifiers to predict the CPI for 25 key targets against AD using the multi-target quantitative structure-activity relationship (mt-QSAR) method. In this investigation, we aimed to apply the mt-QSAR method to enlarge the model library to predict CPI towards AD. Another 104 binary classifiers were further constructed to predict the CPI for 26 preclinical AD targets based on the naive Bayesian (NB) and recursive partitioning (RP) algorithms. The internal 5-fold cross-validation and external test set validation were applied to evaluate the performance of the training sets and test set, respectively. The area under the receiver operating characteristic curve (ROC) for the test sets ranged from 0.629 to 1.0, with an average of 0.903. In addition, we developed a web server named AlzhCPI to integrate the comprehensive information of approximately 204 binary classifiers, which has potential applications in network pharmacology and drug repositioning. AlzhCPI is available online at http://rcidm.org/AlzhCPI/index.html. To illustrate the applicability of AlzhCPI, the developed system was employed for the systems pharmacology-based investigation of shichangpu against AD to enhance the understanding of the mechanisms of action of shichangpu from a holistic perspective.

  10. Unfair competition governs the interaction of pCPI-17 with myosin phosphatase (PP1-MYPT1)

    PubMed Central

    Filter, Joshua J; Williams, Byron C; Eto, Masumi; Shalloway, David; Goldberg, Michael L

    2017-01-01

    The small phosphoprotein pCPI-17 inhibits myosin light-chain phosphatase (MLCP). Current models postulate that during muscle relaxation, phosphatases other than MLCP dephosphorylate and inactivate pCPI-17 to restore MLCP activity. We show here that such hypotheses are insufficient to account for the observed rapidity of pCPI-17 inactivation in mammalian smooth muscles. Instead, MLCP itself is the critical enzyme for pCPI-17 dephosphorylation. We call the mutual sequestration mechanism through which pCPI-17 and MLCP interact inhibition by unfair competition: MLCP protects pCPI-17 from other phosphatases, while pCPI-17 blocks other substrates from MLCP’s active site. MLCP dephosphorylates pCPI-17 at a slow rate that is, nonetheless, both sufficient and necessary to explain the speed of pCPI-17 dephosphorylation and the consequent MLCP activation during muscle relaxation. DOI: http://dx.doi.org/10.7554/eLife.24665.001 PMID:28387646

  11. The CPI Subscales as Predictors of Parental Coping with Childhood Leukemia.

    ERIC Educational Resources Information Center

    Kupst, Mary Jo; Schulman, Jerome L.

    1981-01-01

    Determined the role of the California Psychological Inventory (CPI) in prediction of parental coping with leukemia. None of the standard CPI subscales was a significant predictor of coping. Coping with the specific situation may be a better predictor of later coping with a similar situation than more global assessments. (Author)

  12. A Positive Control for Detection of Functional CD4 T Cells in PBMC: The CPI Pool.

    PubMed

    Schiller, Annemarie; Zhang, Ting; Li, Ruliang; Duechting, Andrea; Sundararaman, Srividya; Przybyla, Anna; Kuerten, Stefanie; Lehmann, Paul V

    2017-12-07

    Testing of peripheral blood mononuclear cells (PBMC) for immune monitoring purposes requires verification of their functionality. This is of particular concern when the PBMC have been shipped or stored for prolonged periods of time. While the CEF (Cytomegalo-, Epstein-Barr and Flu-virus) peptide pool has become the gold standard for testing CD8 cell functionality, a positive control for CD4 cells is so far lacking. The latter ideally consists of proteins so as to control for the functionality of the antigen processing and presentation compartments, as well. Aiming to generate a positive control for CD4 cells, we first selected 12 protein antigens from infectious/environmental organisms that are ubiquitous: Varicella, Influenza, Parainfluenza, Mumps, Cytomegalovirus, Streptococcus , Mycoplasma , Lactobacillus , Neisseria , Candida , Rubella, and Measles. Of these antigens, three were found to elicited interferon (IFN)-γ-producing CD4 cells in the majority of human test subjects: inactivated cytomegalo-, parainfluenza-, and influenza virions (CPI). While individually none of these three antigens triggered a recall response in all donors, the pool of the three (the 'CPI pool'), did. One hundred percent of 245 human donors tested were found to be CPI positive, including Caucasians, Asians, and African-Americans. Therefore, the CPI pool appears to be suitable to serve as universal positive control for verifying the functionality of CD4 and of antigen presenting cells.

  13. Water and Wastewater Rate Hikes Outpace CPI

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stratton, Hannah; Fuchs, Heidi; Chen, Yuting

    Water and wastewater treatment and delivery is the most capital-intensive of all utility services. Historically underpriced, water and wastewater rates have exhibited unprecedented growth in the past fifteen years. Steep annual increases in water and wastewater rates that outpace the Consumer Price Index (CPI) have increasingly become the norm across the United States. In this paper, we analyze water and wastewater rates across U.S. census regions between 2000 and 2014. We also examine some of the driving factors behind these rate increases, including drought, water source, required infrastructure investment, population patterns, and conservation effects. Our results demonstrate that water andmore » wastewater prices have consistently increased and have outstripped CPI throughout the study period nationwide, as well as within each census region. Further, evaluation of the current and upcoming challenges facing water and wastewater utilities suggests that sharp rate increases are likely to continue in the foreseeable future.« less

  14. The measurement of China's consumer market development based on CPI data

    NASA Astrophysics Data System (ADS)

    Xiao, Jiang; Wang, Minggang; Tian, Lixin; Zhen, Zaili

    2018-01-01

    Consumer Price Index (CPI) is a comprehensive index which contains a large amount of market information. In order to effectively measure the running status of China's consumer market and analyze the dynamic evolution characteristics of regional economic consumption in China, the eigenvalues and eigenvectors of random matrix are proposed to quantitatively describe the evolution relationship of provincial and regional CPI in China. Based on the provincial data of China's CPI, system risk entropy, synchronicity ratio, stability and market induction are introduced to characterize the market evolution characteristics, and analyze the regional differences and synchronicity of the consumer price index of China and evaluate the development of China's consumer market. The results show that the average system risk entropy of China's consumer market for the period 2000-2015 is 0.1646, fluctuating in the range of 0.0512-0.3288, indicating a higher system risk of China's consumer market. The system risk of China's consumer market is still higher than the average in nearly 15 years. Fluctuating in the range of 0.3871-0.9355, the market synchronicity ratio has a mean of 0.7225, which reveals a higher market consistency level, a rising trend in fluctuation but an increasing tendency in the degree of unbalanced regional development. Evolution results of market induction demonstrate that the evolution of China's consumer market has experienced four stages. The market induction has possessed a sustained growth trend since August 2010. Scenario analysis indicates that the key to effectively improve China's consumer market system is to solve the lagging issue of China's western region market on the basis of controlling and resolving of the existing risk.

  15. CPI revision provides more accuracy in the medical care services component.

    PubMed

    Ford, I K; Sturm, P

    1988-04-01

    This revision, as in the past, enabled the Bureau to update medical care service expenditure weights in the CPI, including a more complete allocation of health insurance premiums. Instead of keeping the portion of premiums that go to benefits under health insurance, the expenditure weight for each benefit category has been added to the appropriate out-of-pocket expense. The unpublished health insurance item represents only the retained earnings portion of premiums paid by households. The specific item categories included in medical care services have also been updated and expanded. A study conducted during the developmental phase of the revision indicated that the Bureau should expand the eligible priced rates for physicians in the CPI to include not only the "self-pay" rate, but also other categories of payment as well. Another study indicated that the direct pricing of health insurance is not feasible because of the difficulty of factoring out from premium changes the effect of utilization levels and modified coverage. In pricing medical care service items, as with other item categories in the CPI, BLS attempts to exclude from price movement the effect of quality changes. However, some quality changes are difficult to assess or are not readily identified, for example, a change in the ratio of nurses to patients, and such changes may be reflected as part of the price change movement in the CPI.

  16. Utility of the General Ability Index (GAI) and Cognitive Proficiency Index (CPI) with Survivors of Pediatric Brain Tumors: Comparison to Full Scale IQ and Premorbid IQ Estimates

    PubMed Central

    Kahalley, Lisa S.; Winter-Greenberg, Amanda; Stancel, Heather; Ris, M. Douglas; Gragert, Marsha

    2016-01-01

    Introduction Pediatric brain tumor survivors are at risk for working memory and processing speed impairment. The General Ability Index (GAI) provides an estimate of intellectual functioning that is less influenced by working memory and processing speed than a Full Scale IQ (FSIQ). The Cognitive Proficiency Index (CPI) provides a measure of efficient information processing derived from working memory and processing speed tasks. We examined the utility of the GAI and CPI to quantify neurocognitive outcomes in a sample of pediatric brain tumor survivors. Methods GAI, CPI, and FSIQ scores from the Wechsler Intelligence Scale for Children-Fourth Edition (WISC-IV) were examined for 57 pediatric brain tumor survivors (ages 6–16) treated with cranial radiation therapy (RT). Results GAI scores were higher than FSIQ and CPI scores, both p < .001. Lower CPI scores were associated with history of craniospinal irradiation and time since RT. Lower FSIQ and GAI scores were associated with higher RT dose and time since RT. The rate of clinically significant GAI-FSIQ discrepancies in our sample was greater than observed in the WISC-IV standardization sample, p < .001. Estimated premorbid IQ scores were higher than GAI, p < .01, and FSIQ scores, p < .001. Conclusions Pediatric brain tumor survivors exhibit weaker cognitive proficiency than expected for age, while general reasoning ability remains relatively spared. The GAI may be useful to quantify the intellectual potential of a survivor when appropriate accommodations are in place for relative cognitive proficiency weaknesses. The CPI may be a particularly sensitive outcome measure of treatment-related cognitive change in this population. PMID:27295192

  17. CPI motif interaction is necessary for capping protein function in cells

    PubMed Central

    Edwards, Marc; McConnell, Patrick; Schafer, Dorothy A.; Cooper, John A.

    2015-01-01

    Capping protein (CP) has critical roles in actin assembly in vivo and in vitro. CP binds with high affinity to the barbed end of actin filaments, blocking the addition and loss of actin subunits. Heretofore, models for actin assembly in cells generally assumed that CP is constitutively active, diffusing freely to find and cap barbed ends. However, CP can be regulated by binding of the ‘capping protein interaction' (CPI) motif, found in a diverse and otherwise unrelated set of proteins that decreases, but does not abolish, the actin-capping activity of CP and promotes uncapping in biochemical experiments. Here, we report that CP localization and the ability of CP to function in cells requires interaction with a CPI-motif-containing protein. Our discovery shows that cells target and/or modulate the capping activity of CP via CPI motif interactions in order for CP to localize and function in cells. PMID:26412145

  18. Transgenic sugarcane overexpressing CaneCPI-1 negatively affects the growth and development of the sugarcane weevil Sphenophorus levis.

    PubMed

    Schneider, Vanessa Karine; Soares-Costa, Andrea; Chakravarthi, Mohan; Ribeiro, Carolina; Chabregas, Sabrina Moutinho; Falco, Maria Cristina; Henrique-Silva, Flavio

    2017-01-01

    Transgenic sugarcane expressing CaneCPI-1 exhibits resistance to Sphenophorus levis larvae. Transgenic plants have widely been used to improve resistance against insect attack. Sugarcane is an economically important crop; however, great losses are caused by insect attack. Sphenophorus levis is a sugarcane weevil that digs tunnels in the stem base, leading to the destruction of the crop. This insect is controlled inefficiently by chemical insecticides. Transgenic plants expressing peptidase inhibitors represent an important strategy for impairing insect growth and development. Knowledge of the major peptidase group present in the insect gut is critical when choosing the most effective inhibitor. S. levis larvae use cysteine peptidases as their major digestive enzymes, primarily cathepsin L-like activity. In this study, we developed transgenic sugarcane plants that overexpress sugarcane cysteine peptidase inhibitor 1 (CaneCPI-1) and assessed their potential through feeding bioassays with S. levis larvae. Cystatin overexpression in the transgenic plants was evaluated using semi-quantitative RT-PCR, RT-qPCR, and immunoblot assays. A 50% reduction of the average weight was observed in larvae that fed on transgenic plants in comparison to larvae that fed on non-transgenic plants. In addition, transgenic sugarcane exhibited less damage caused by larval attack than the controls. Our results suggest that the overexpression of CaneCPI-1 in sugarcane is a promising strategy for improving resistance against this insect.

  19. WHAT DOESNT GET MEASURED - DOESNT GET DONE: IMPLEMENTING CONTINUOUS PROCESS IMPROVEMENT IN THE AIR FORCE RESERVE

    DTIC Science & Technology

    2016-04-01

    Practical Problem Solving Method RMD Resource Management Decision ROI Return on Investment SECAF Secretary of the Air Force SECNAV Secretary of...AFSO21 and now AF CPI, this program seeks to train and certify an organic cadre of CPI practitioners to support the use of its standard problem solving ...process known as the AF Practical Problem Solving Method (PPSM) to solve mission critical process deficiencies. The PPSM leverages several industry

  20. Comparison of simple additive weighting (SAW) and composite performance index (CPI) methods in employee remuneration determination

    NASA Astrophysics Data System (ADS)

    Karlitasari, L.; Suhartini, D.; Benny

    2017-01-01

    The process of determining the employee remuneration for PT Sepatu Mas Idaman currently are still using Microsoft Excel-based spreadsheet where in the spreadsheet there is the value of criterias that must be calculated for every employee. This can give the effect of doubt during the assesment process, therefore resulting in the process to take much longer time. The process of employee remuneration determination is conducted by the assesment team based on some criterias that have been predetermined. The criteria used in the assessment process are namely the ability to work, human relations, job responsibility, discipline, creativity, work, achievement of targets, and absence. To ease the determination of employee remuneration to be more efficient and effective, the Simple Additive Weighting (SAW) method is used. SAW method can help in decision making for a certain case, and the calculation that generates the greatest value will be chosen as the best alternative. Other than SAW, also by using another method was the CPI method which is one of the calculating method in decision making based on performance index. Where SAW method was more faster by 89-93% compared to CPI method. Therefore it is expected that this application can be an evaluation material for the need of training and development for employee performances to be more optimal.

  1. Nuclear localization of CPI-17, a protein phosphatase-1 inhibitor protein, affects histone H3 phosphorylation and corresponds to proliferation of cancer and smooth muscle cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eto, Masumi, E-mail: masumi.eto@jefferson.edu; Kirkbride, Jason A.; Chugh, Rishika

    2013-04-26

    Highlights: •Non-canonical roles of the myosin phosphatase inhibitor (CPI-17) were studied. •CPI-17 is localized in the nucleus of hyperplastic cancer and smooth muscle cells. •CPI-17 Ser12 phosphorylation may regulate the nuclear import. •CPI-17 regulates histone H3 phosphorylation and cell proliferation. •The nuclear CPI-17-PP1 axis plays a proliferative role in cells. -- Abstract: CPI-17 (C-kinase-activated protein phosphatase-1 (PP1) inhibitor, 17 kDa) is a cytoplasmic protein predominantly expressed in mature smooth muscle (SM) that regulates the myosin-associated PP1 holoenzyme (MLCP). Here, we show CPI-17 expression in proliferating cells, such as pancreatic cancer and hyperplastic SM cells. Immunofluorescence showed that CPI-17 was concentratedmore » in nuclei of human pancreatic cancer (Panc1) cells. Nuclear accumulation of CPI-17 was also detected in the proliferating vascular SM cell culture and cells at neointima of rat vascular injury model. The N-terminal 21-residue tail domain of CPI-17 was necessary for the nuclear localization. Phospho-mimetic Asp-substitution of CPI-17 at Ser12 attenuated the nuclear import. CPI-17 phosphorylated at Ser12 was not localized at nuclei, suggesting a suppressive role of Ser12 phosphorylation in the nuclear import. Activated CPI-17 bound to all three isoforms of PP1 catalytic subunit in Panc1 nuclear extracts. CPI-17 knockdown in Panc1 resulted in dephosphorylation of histone H3 at Thr3, Ser10 and Thr11, whereas it had no effects on the phosphorylation of myosin light chain and merlin, the known targets of MLCP. In parallel, CPI-17 knockdown suppressed Panc1 proliferation. We propose that CPI-17 accumulated in the nucleus through the N-terminal tail targets multiple PP1 signaling pathways regulating cell proliferation.« less

  2. GATA-6 and NF-κB Activate CPI-17 Gene Transcription and Regulate Ca2+ Sensitization of Smooth Muscle Contraction

    PubMed Central

    Boopathi, Ettickan; Hypolite, Joseph A.; Zderic, Stephen A.; Gomes, Cristiano Mendes; Malkowicz, Bruce; Liou, Hsiou-Chi; Wein, Alan J.

    2013-01-01

    Protein kinase C (PKC)-potentiated inhibitory protein of 17 kDa (CPI-17) inhibits myosin light chain phosphatase, altering the levels of myosin light chain phosphorylation and Ca2+ sensitivity in smooth muscle. In this study, we characterized the CPI-17 promoter and identified binding sites for GATA-6 and nuclear factor kappa B (NF-κB). GATA-6 and NF-κB upregulated CPI-17 expression in cultured human and mouse bladder smooth muscle (BSM) cells in an additive manner. CPI-17 expression was decreased upon GATA-6 silencing in cultured BSM cells and in BSM from NF-κB knockout (KO) mice. Moreover, force maintenance by BSM strips from KO mice was decreased compared with the force maintenance of BSM strips from wild-type mice. GATA-6 and NF-κB overexpression was associated with CPI-17 overexpression in BSM from men with benign prostatic hyperplasia (BPH)-induced bladder hypertrophy and in a mouse model of bladder outlet obstruction. Thus, aberrant expression of NF-κB and GATA-6 deregulates CPI-17 expression and the contractile function of smooth muscle. Our data provide insight into how GATA-6 and NF-κB mediate CPI-17 transcription, PKC-mediated signaling, and BSM remodeling associated with lower urinary tract symptoms in patients with BPH. PMID:23275439

  3. 78 FR 63433 - International Mailing Services: Proposed Price Changes-CPI

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-10-24

    ... POSTAL SERVICE 39 CFR Part 20 International Mailing Services: Proposed Price Changes--CPI AGENCY... mailing services price adjustments with the Postal Regulatory Commission (PRC), effective on January 26... Postal Service, International Mail Manual (IMM[supreg]) to reflect these new price changes. DATES: We...

  4. The BET bromodomain inhibitor CPI203 improves lenalidomide and dexamethasone activity in in vitro and in vivo models of multiple myeloma by blockade of Ikaros and MYC signaling

    PubMed Central

    Díaz, Tania; Rodríguez, Vanina; Lozano, Ester; Mena, Mari-Pau; Calderón, Marcos; Rosiñol, Laura; Martínez, Antonio; Tovar, Natalia; Pérez-Galán, Patricia; Bladé, Joan; Roué, Gaël; de Larrea, Carlos Fernández

    2017-01-01

    Most patients with multiple myeloma treated with current therapies, including immunomodulatory drugs, eventually develop relapsed/refractory disease. Clinical activity of lenalidomide relies on degradation of Ikaros and the consequent reduction in IRF4 expression, both required for myeloma cell survival and involved in the regulation of MYC transcription. Thus, we sought to determine the combinational effect of an MYC-interfering therapy with lenalidomide/dexamethasone. We analyzed the potential therapeutic effect of the combination of the BET bromodomain inhibitor CPI203 with the lenalidomide/dexamethasone regimen in myeloma cell lines. CPI203 exerted a dose-dependent cell growth inhibition in cell lines, indeed in lenalidomide/dexamethasone-resistant cells (median response at 0.5 μM: 65.4%), characterized by G1 cell cycle blockade and a concomitant inhibition of MYC and Ikaros signaling. These effects were potentiated by the addition of lenalidomide/dexamethasone. Results were validated in primary plasma cells from patients with multiple myeloma co-cultured with the mesenchymal stromal cell line stromaNKtert. Consistently, the drug combination evoked a 50% reduction in cell proliferation and correlated with basal Ikaros mRNA expression levels (P=0.04). Finally, in a SCID mouse xenotransplant model of myeloma, addition of CPI203 to lenalidomide/dexamethasone decreased tumor burden, evidenced by a lower glucose uptake and increase in the growth arrest marker GADD45B, with simultaneous downregulation of key transcription factors such as MYC, Ikaros and IRF4. Taken together, our data show that the combination of a BET bromodomain inhibitor with a lenalidomide-based regimen may represent a therapeutic approach to improve the response in relapsed/refractory patients with multiple myeloma, even in cases with suboptimal prior response to immunomodulatory drugs. PMID:28751557

  5. Integrating clinical performance improvement across physician organizations: the PhyCor experience.

    PubMed

    Loeppke, R; Howell, J W

    1999-02-01

    There is a paucity of literature describing the implementation of clinical performance improvement (CPI) efforts across geographically dispersed multispecialty group practices and independent practice associations. PhyCor, a physician management company based in Nashville, Tennessee, has integrated CPI initiatives into its operating infrastructure. PhyCor CPI INITIATIVES: The strategic framework guiding PhyCor's CPI initiatives is built around a physician-driven, patient-centered model. Physician/administrator leadership teams develop and implement a clinical and financial strategic plan for performance improvement; adopt local clinical and operational performance indicators; and agree on and gain consensus with local physician champions to engage in CPI initiatives. The area/regional leadership councils integrate and coordinate regional medical management and CPI initiatives among local groups and independent practice associations. In addition to these councils and a national leadership council, condition-specific care management councils have also been established. These councils develop condition-specific protocols and outcome measures and lead the implementation of CPI initiatives at their own clinics. Key resources supporting CPI initiatives include information/knowledge management, education and training, and patient education and consumer decision support. Localized efforts in both the asthma care and diabetes management initiatives have led to some preliminary improvements in quality of care indicators. Physician leadership and strategic vision, CPI-oriented organizational infrastructure, broad-based physician involvement in CPI, providing access to performance data, parallel incentives, and creating a sense of urgency for accelerated change are all critical success factors to the implementation of CPI strategies at the local, regional, and national levels.

  6. The Physiological Functions and Structural Determinants of Catalytic Bias in the [FeFe]-Hydrogenases CpI and CpII of Clostridium pasteurianum Strain W5.

    PubMed

    Therien, Jesse B; Artz, Jacob H; Poudel, Saroj; Hamilton, Trinity L; Liu, Zhenfeng; Noone, Seth M; Adams, Michael W W; King, Paul W; Bryant, Donald A; Boyd, Eric S; Peters, John W

    2017-01-01

    The first generation of biochemical studies of complex, iron-sulfur-cluster-containing [FeFe]-hydrogenases and Mo-nitrogenase were carried out on enzymes purified from Clostridium pasteurianum (strain W5). Previous studies suggested that two distinct [FeFe]-hydrogenases are expressed differentially under nitrogen-fixing and non-nitrogen-fixing conditions. As a result, the first characterized [FeFe]-hydrogenase (CpI) is presumed to have a primary role in central metabolism, recycling reduced electron carriers that accumulate during fermentation via proton reduction. A role for capturing reducing equivalents released as hydrogen during nitrogen fixation has been proposed for the second hydrogenase, CpII. Biochemical characterization of CpI and CpII indicated CpI has extremely high hydrogen production activity in comparison to CpII, while CpII has elevated hydrogen oxidation activity in comparison to CpI when assayed under the same conditions. This suggests that these enzymes have evolved a catalytic bias to support their respective physiological functions. Using the published genome of C. pasteurianum (strain W5) hydrogenase sequences were identified, including the already known [NiFe]-hydrogenase, CpI, and CpII sequences, and a third hydrogenase, CpIII was identified in the genome as well. Quantitative real-time PCR experiments were performed in order to analyze transcript abundance of the hydrogenases under diazotrophic and non-diazotrophic growth conditions. There is a markedly reduced level of CpI gene expression together with concomitant increases in CpII gene expression under nitrogen-fixing conditions. Structure-based analyses of the CpI and CpII sequences reveal variations in their catalytic sites that may contribute to their alternative physiological roles. This work demonstrates that the physiological roles of CpI and CpII are to evolve and to consume hydrogen, respectively, in concurrence with their catalytic activities in vitro , with CpII capturing

  7. A Phase I Study of CPI-613 in Combination with High-Dose Cytarabine and Mitoxantrone for Relapsed or Refractory Acute Myeloid Leukemia.

    PubMed

    Pardee, Timothy S; Anderson, Rebecca G; Pladna, Kristin M; Isom, Scott; Ghiraldeli, Lais P; Miller, Lance D; Chou, Jeff W; Jin, Guangxu; Zhang, Wei; Ellis, Leslie R; Berenzon, Dmitriy; Howard, Dianna S; Hurd, David D; Manuel, Megan; Dralle, Sarah; Lyerly, Susan; Powell, Bayard L

    2018-05-01

    Purpose: CPI-613, a lipoate analogue that inhibits pyruvate dehydrogenase (PDH) and α-ketogluterate dehydrogenase (KGDH), has activity in patients with myeloid malignancies. This study explored the role of mitochondrial metabolism in chemotherapy response and determined the MTD, efficacy, and safety of CPI-613 combined with high-dose cytarabine and mitoxantrone in patients with relapsed or refractory acute myeloid leukemia. Experimental Design: The role of mitochondrial response to chemotherapy was assessed in cell lines and animal models. A phase I study of CPI-613 plus cytarabine and mitoxantrone was conducted in patients with relapsed or refractory AML. Results: Exposure to chemotherapy induced mitochondrial oxygen consumption that depended on PDH. CPI-613 sensitized AML cells to chemotherapy indicating that mitochondrial metabolism is a source of resistance. Loss of p53 did not alter response to CPI-613. The phase I study enrolled 67 patients and 62 were evaluable for response. The overall response rate was 50% (26CR+5CRi/62). Median survival was 6.7 months. In patients over 60 years old, the CR/CRi rate was 47% (15/32) with a median survival of 6.9 months. The response rate for patients with poor-risk cytogenetics also was encouraging with 46% (11/24 patients) achieving a CR or CRi. RNA sequencing analysis of a subset of baseline bone marrow samples revealed a gene expression signature consistent with the presence of B cells in the pretreatment marrow of responders. Conclusions: The addition of CPI-613 to chemotherapy is a promising approach in older patients and those with poor-risk cytogenetics. Clin Cancer Res; 24(9); 2060-73. ©2018 AACR . ©2018 American Association for Cancer Research.

  8. The Physiological Functions and Structural Determinants of Catalytic Bias in the [FeFe]-Hydrogenases CpI and CpII of Clostridium pasteurianum Strain W5

    DOE PAGES

    Therien, Jesse B.; Artz, Jacob H.; Poudel, Saroj; ...

    2017-07-12

    Here, the first generation of biochemical studies of complex, iron-sulfur-cluster-containing [FeFe]-hydrogenases and Mo-nitrogenase were carried out on enzymes purified from Clostridium pasteurianum (strain W5). Previous studies suggested that two distinct [FeFe]-hydrogenases are expressed differentially under nitrogen-fixing and non-nitrogen-fixing conditions. As a result, the first characterized [FeFe]-hydrogenase (CpI) is presumed to have a primary role in central metabolism, recycling reduced electron carriers that accumulate during fermentation via proton reduction. A role for capturing reducing equivalents released as hydrogen during nitrogen fixation has been proposed for the second hydrogenase, CpII. Biochemical characterization of CpI and CpII indicated CpI has extremely high hydrogenmore » production activity in comparison to CpII, while CpII has elevated hydrogen oxidation activity in comparison to CpI when assayed under the same conditions. This suggests that these enzymes have evolved a catalytic bias to support their respective physiological functions. Using the published genome of C. pasteurianum (strain W5) hydrogenase sequences were identified, including the already known [NiFe]-hydrogenase, CpI, and CpII sequences, and a third hydrogenase, CpIII was identified in the genome as well. Quantitative real-time PCR experiments were performed in order to analyze transcript abundance of the hydrogenases under diazotrophic and non-diazotrophic growth conditions. There is a markedly reduced level of CpI gene expression together with concomitant increases in CpII gene expression under nitrogen-fixing conditions. Structure-based analyses of the CpI and CpII sequences reveal variations in their catalytic sites that may contribute to their alternative physiological roles. This work demonstrates that the physiological roles of CpI and CpII are to evolve and to consume hydrogen, respectively, in concurrence with their catalytic activities in vitro, with Cp

  9. The Physiological Functions and Structural Determinants of Catalytic Bias in the [FeFe]-Hydrogenases CpI and CpII of Clostridium pasteurianum Strain W5

    PubMed Central

    Therien, Jesse B.; Artz, Jacob H.; Poudel, Saroj; Hamilton, Trinity L.; Liu, Zhenfeng; Noone, Seth M.; Adams, Michael W. W.; King, Paul W.; Bryant, Donald A.; Boyd, Eric S.; Peters, John W.

    2017-01-01

    The first generation of biochemical studies of complex, iron-sulfur-cluster-containing [FeFe]-hydrogenases and Mo-nitrogenase were carried out on enzymes purified from Clostridium pasteurianum (strain W5). Previous studies suggested that two distinct [FeFe]-hydrogenases are expressed differentially under nitrogen-fixing and non-nitrogen-fixing conditions. As a result, the first characterized [FeFe]-hydrogenase (CpI) is presumed to have a primary role in central metabolism, recycling reduced electron carriers that accumulate during fermentation via proton reduction. A role for capturing reducing equivalents released as hydrogen during nitrogen fixation has been proposed for the second hydrogenase, CpII. Biochemical characterization of CpI and CpII indicated CpI has extremely high hydrogen production activity in comparison to CpII, while CpII has elevated hydrogen oxidation activity in comparison to CpI when assayed under the same conditions. This suggests that these enzymes have evolved a catalytic bias to support their respective physiological functions. Using the published genome of C. pasteurianum (strain W5) hydrogenase sequences were identified, including the already known [NiFe]-hydrogenase, CpI, and CpII sequences, and a third hydrogenase, CpIII was identified in the genome as well. Quantitative real-time PCR experiments were performed in order to analyze transcript abundance of the hydrogenases under diazotrophic and non-diazotrophic growth conditions. There is a markedly reduced level of CpI gene expression together with concomitant increases in CpII gene expression under nitrogen-fixing conditions. Structure-based analyses of the CpI and CpII sequences reveal variations in their catalytic sites that may contribute to their alternative physiological roles. This work demonstrates that the physiological roles of CpI and CpII are to evolve and to consume hydrogen, respectively, in concurrence with their catalytic activities in vitro, with CpII capturing excess

  10. Modifying the Toyota Production System for continuous performance improvement in an academic children's hospital.

    PubMed

    Stapleton, F Bruder; Hendricks, James; Hagan, Patrick; DelBeccaro, Mark

    2009-08-01

    The Toyota Production System (TPS) has become a successful model for improving efficiency and eliminating errors in manufacturing processes. In an effort to provide patients and families with the highest quality clinical care, our academic children's hospital has modified the techniques of the TPS for a program in continuous performance improvement (CPI) and has expanded its application to educational and research programs. Over a period of years, physicians, nurses, residents, administrators, and hospital staff have become actively engaged in a culture of continuous performance improvement. This article provides background into the methods of CPI and describes examples of how we have applied these methods for improvement in clinical care, resident teaching, and research administration.

  11. Adsorption of Cr(III) on ozonised activated carbon. Importance of Cpi-cation interactions.

    PubMed

    Rivera-Utrilla, J; Sánchez-Polo, M

    2003-08-01

    The adsorption of Cr(III) in aqueous solution was investigated on a series of ozonised activated carbons, analysing the effect of oxygenated surface groups on the adsorption process. A study was carried out to determine the adsorption isotherms and the influence of the pH on the adsorption of this metal. The adsorption capacity and affinity of the adsorbent for Cr(III) increased with the increase in oxygenated acid groups on the surface of the activated carbon. These findings imply that electrostatic-type interactions predominate in the adsorption process, although the adsorption of Cr(III) on the original (basic) carbon indicates that other forces also participate in the adsorption process. Thus, the ionic exchange of protons in the -Cpi-H3O(+) interaction for Cr(III) accounts for the adsorption of cationic species in basic carbons with positive charge density. Study of the influence of pH on the adsorption of Cr(III) showed that, in each system, the maximum adsorption occurred when the charge of the carbon surface was opposite that of the species of Cr(III) present at the pH of the experiment. These results confirmed that electrostatic interactions predominate in the adsorption process.

  12. Study of the strong {sigma}{sub c}{yields}{lambda}{sub c}{pi},{sigma}{sub c}*{yields}{lambda}{sub c}{pi} and {xi}{sub c}*{yields}{xi}{sub c}{pi} decays in a nonrelativistic quark model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Albertus, C.; Nieves, J.; Hernandez, E.

    We present results for the strong widths corresponding to the {sigma}{sub c}{yields}{lambda}{sub c}{pi}, {sigma}{sub c}*{yields}{lambda}{sub c}{pi} and {xi}{sub c}*{yields}{xi}{sub c}{pi} decays. The calculations have been done in a nonrelativistic constituent quark model with wave functions that take advantage of the constraints imposed by heavy quark symmetry. Partial conservation of axial current hypothesis allows us to determine the strong vertices from an analysis of the axial current matrix elements. Our results {gamma}({sigma}{sub c}{sup ++}{yields}{lambda}{sub c}{sup +}{pi}{sup +})=2.41{+-}0.07{+-}0.02 MeV, {gamma}({sigma}{sub c}{sup +}{yields}{lambda}{sub c}{sup +}{pi}{sup 0})=2.79{+-}0.08{+-}0.02 MeV, {gamma}({sigma}{sub c}{sup 0}{yields}{lambda}{sub c}{sup +}{pi}{sup -})=2.37{+-}0.07{+-}0.02 MeV, {gamma}({sigma}{sub c}*{sup ++}{yields}{lambda}{sub c}{sup +}{pi}{sup +})=17.52{+-}0.74{+-}0.12 MeV, {gamma}({sigma}{sub c}*{supmore » +}{yields}{lambda}{sub c}{sup +}{pi}{sup 0})=17.31{+-}0.73{+-}0.12 MeV, {gamma}({sigma}{sub c}*{sup 0}{yields}{lambda}{sub c}{sup +}{pi}{sup -})=16.90{+-}0.71{+-}0.12 MeV, {gamma}({xi}{sub c}*{sup +}{yields}{xi}{sub c}{sup 0}{pi}{sup +}+{xi}{sub c}{sup +}{pi}{sup 0})=3.18{+-}0.10{+-}0.01 MeV, and {gamma}({xi}{sub c}*{sup 0}{yields}{xi}{sub c}{sup +}{pi}{sup -}+{xi}{sub c}{sup 0}{pi}{sup 0})=3.03{+-}0.10{+-}0.01 MeV are in good agreement with experimental determinations.« less

  13. The Use of the CPI to Ascertain Differences between More and Less Effective Student Paraprofessional Helpers.

    ERIC Educational Resources Information Center

    German, Steven C.; Cottle, William C.

    1981-01-01

    A study of student paraprofessional peer counselors showed the California Psychological Inventory (CPI) to be useful in rating the effectiveness of Freshman Assistants. Results from individual criterion measures can also be applied to results from combinations of these measures. Future research should control for demographic influences. (JAC)

  14. Pseudoinverse Decoding Process in Delay-Encoded Synthetic Transmit Aperture Imaging.

    PubMed

    Gong, Ping; Kolios, Michael C; Xu, Yuan

    2016-09-01

    Recently, we proposed a new method to improve the signal-to-noise ratio of the prebeamformed radio-frequency data in synthetic transmit aperture (STA) imaging: the delay-encoded STA (DE-STA) imaging. In the decoding process of DE-STA, the equivalent STA data were obtained by directly inverting the coding matrix. This is usually regarded as an ill-posed problem, especially under high noise levels. Pseudoinverse (PI) is usually used instead for seeking a more stable inversion process. In this paper, we apply singular value decomposition to the coding matrix to conduct the PI. Our numerical studies demonstrate that the singular values of the coding matrix have a special distribution, i.e., all the values are the same except for the first and last ones. We compare the PI in two cases: complete PI (CPI), where all the singular values are kept, and truncated PI (TPI), where the last and smallest singular value is ignored. The PI (both CPI and TPI) DE-STA processes are tested against noise with both numerical simulations and experiments. The CPI and TPI can restore the signals stably, and the noise mainly affects the prebeamformed signals corresponding to the first transmit channel. The difference in the overall enveloped beamformed image qualities between the CPI and TPI is negligible. Thus, it demonstrates that DE-STA is a relatively stable encoding and decoding technique. Also, according to the special distribution of the singular values of the coding matrix, we propose a new efficient decoding formula that is based on the conjugate transpose of the coding matrix. We also compare the computational complexity of the direct inverse and the new formula.

  15. Management systems, patient quality improvement, resource availability, and substance abuse treatment quality.

    PubMed

    Fields, Dail; Roman, Paul M; Blum, Terry C

    2012-06-01

    To examine the relationships among general management systems, patient-focused quality management/continuous process improvement (TQM/CPI) processes, resource availability, and multiple dimensions of substance use disorder (SUD) treatment. Data are from a nationally representative sample of 221 SUD treatment centers through the National Treatment Center Study (NTCS). The design was a cross-sectional field study using latent variable structural equation models. The key variables are management practices, TQM/continuous quality improvement (CQI) practices, resource availability, and treatment center performance. Interviews and questionnaires provided data from treatment center administrative directors and clinical directors in 2007-2008. Patient-focused TQM/CQI practices fully mediated the relationship between internal management practices and performance. The effects of TQM/CQI on performance are significantly larger for treatment centers with higher levels of staff per patient. Internal management practices may create a setting that supports implementation of specific patient-focused practices and protocols inherent to TQM/CQI processes. However, the positive effects of internal management practices on treatment center performance occur through use of specific patient-focused TQM/CPI practices and have more impact when greater amounts of supporting resources are present. © Health Research and Educational Trust.

  16. Management Systems, Patient Quality Improvement, Resource Availability, and Substance Abuse Treatment Quality

    PubMed Central

    Fields, Dail; Roman, Paul M; Blum, Terry C

    2012-01-01

    Objective To examine the relationships among general management systems, patient-focused quality management/continuous process improvement (TQM/CPI) processes, resource availability, and multiple dimensions of substance use disorder (SUD) treatment. Data Sources/Study Setting Data are from a nationally representative sample of 221 SUD treatment centers through the National Treatment Center Study (NTCS). Study Design The design was a cross-sectional field study using latent variable structural equation models. The key variables are management practices, TQM/continuous quality improvement (CQI) practices, resource availability, and treatment center performance. Data Collection Interviews and questionnaires provided data from treatment center administrative directors and clinical directors in 2007–2008. Principal Findings Patient-focused TQM/CQI practices fully mediated the relationship between internal management practices and performance. The effects of TQM/CQI on performance are significantly larger for treatment centers with higher levels of staff per patient. Conclusions Internal management practices may create a setting that supports implementation of specific patient-focused practices and protocols inherent to TQM/CQI processes. However, the positive effects of internal management practices on treatment center performance occur through use of specific patient-focused TQM/CPI practices and have more impact when greater amounts of supporting resources are present. PMID:22098342

  17. GSFC specification electronic data processing magnetic recording tape

    NASA Technical Reports Server (NTRS)

    Tinari, D. F.; Perry, J. L.

    1980-01-01

    The design requirements are given for magnetic oxide coated, electronic data processing tape, wound on reels. Magnetic recording tape types covered by this specification are intended for use on digital tape transports using the Non-Return-to-Zero-change-on-ones (NRZI) recording method for recording densities up to and including 800 characters per inch (cpi) and the Phase-Encoding (PE) recording method for a recording density of 1600 cpi.

  18. Silver Nanowire Embedded Colorless Polyimide Heater for Wearable Chemical Sensors: Improved Reversible Reaction Kinetics of Optically Reduced Graphene Oxide.

    PubMed

    Choi, Seon-Jin; Kim, Sang-Joon; Jang, Ji-Soo; Lee, Ji-Hyun; Kim, Il-Doo

    2016-09-14

    Optically reduced graphene oxide (ORGO) sheets are successfully integrated on silver nanowire (Ag NW)-embedded transparent and flexible substrate. As a heating element, Ag NWs are embedded in a colorless polyimide (CPI) film by covering Ag NW networks using polyamic acid and subsequent imidization. Graphene oxide dispersed aqueous solution is drop-coated on the Ag NW-embedded CPI (Ag NW-CPI) film and directly irradiated by intense pulsed light to obtain ORGO sheets. The heat generation property of Ag NW-CPI film is investigated by applying DC voltage, which demonstrates unprecedentedly reliable and stable characteristics even in dynamic bending condition. To demonstrate the potential application in wearable chemical sensors, NO 2 sensing characteristic of ORGO is investigated with respect to the different heating temperature (22.7-71.7 °C) of Ag NW-CPI film. The result reveals that the ORGO sheets exhibit high sensitivity of 2.69% with reversible response/recovery sensing properties and minimal deviation of baseline resistance of around 1% toward NO 2 molecules when the temperature of Ag NW-CPI film is 71.7 °C. This work first demonstrates the improved reversible NO 2 sensing properties of ORGO sheets on flexible and transparent Ag NW-CPI film assisted by Ag NW heating networks. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Safety and tolerability of the first-in-class agent CPI-613 in combination with modified FOLFIRINOX in patients with metastatic pancreatic cancer: a single-centre, open-label, dose-escalation, phase 1 trial.

    PubMed

    Alistar, Angela; Morris, Bonny B; Desnoyer, Rodwige; Klepin, Heidi D; Hosseinzadeh, Keyanoosh; Clark, Clancy; Cameron, Amy; Leyendecker, John; D'Agostino, Ralph; Topaloglu, Umit; Boteju, Lakmal W; Boteju, Asela R; Shorr, Rob; Zachar, Zuzana; Bingham, Paul M; Ahmed, Tamjeed; Crane, Sandrine; Shah, Riddhishkumar; Migliano, John J; Pardee, Timothy S; Miller, Lance; Hawkins, Gregory; Jin, Guangxu; Zhang, Wei; Pasche, Boris

    2017-06-01

    Pancreatic cancer statistics are dismal, with a 5-year survival of less than 10%, and more than 50% of patients presenting with metastatic disease. Metabolic reprogramming is an emerging hallmark of pancreatic adenocarcinoma. CPI-613 is a novel anticancer agent that selectively targets the altered form of mitochondrial energy metabolism in tumour cells, causing changes in mitochondrial enzyme activities and redox status that lead to apoptosis, necrosis, and autophagy of tumour cells. We aimed to establish the maximum tolerated dose of CPI-613 when used in combination with modified FOLFIRINOX chemotherapy (comprising oxaliplatin, leucovorin, irinotecan, and fluorouracil) in patients with metastatic pancreatic cancer. In this single-centre, open-label, dose-escalation phase 1 trial, we recruited adult patients (aged ≥18 years) with newly diagnosed metastatic pancreatic adenocarcinoma from the Comprehensive Cancer Center of Wake Forest Baptist Medical Center (Winston-Salem, NC, USA). Patients had good bone marrow, liver and kidney function, and good performance status (Eastern Cooperative Oncology Group [ECOG] performance status 0-1). We studied CPI-613 in combination with modified FOLFIRINOX (oxaliplatin at 65 mg/m 2 , leucovorin at 400 mg/m 2 , irinotecan at 140 mg/m 2 , and fluorouracil 400 mg/m 2 bolus followed by 2400 mg/m 2 over 46 h). We applied a two-stage dose-escalation scheme (single patient and traditional 3+3 design). In the single-patient stage, one patient was accrued per dose level. The starting dose of CPI-613 was 500 mg/m 2 per day; the dose level was then escalated by doubling the previous dose if there were no adverse events worse than grade 2 within 4 weeks attributed as probably or definitely related to CPI-613. The traditional 3+3 dose-escalation stage was triggered if toxic effects attributed as probably or definitely related to CPI-613 were grade 2 or worse. The dose level for CPI-613 for the first cohort in the traditional dose

  20. Making process improvement 'stick'.

    PubMed

    Studer, Quint

    2014-06-01

    To sustain gains from a process improvement initiative, healthcare organizations should: Explain to staff why a process improvement initiative is needed. Encourage leaders within the organization to champion the process improvement, and tie their evaluations to its outcomes. Ensure that both leaders and employees have the skills to help sustain the sought-after process improvements.

  1. Analysis of Artificial Neural Network Backpropagation Using Conjugate Gradient Fletcher Reeves In The Predicting Process

    NASA Astrophysics Data System (ADS)

    Wanto, Anjar; Zarlis, Muhammad; Sawaluddin; Hartama, Dedy

    2017-12-01

    Backpropagation is a good artificial neural network algorithm used to predict, one of which is to predict the rate of Consumer Price Index (CPI) based on the foodstuff sector. While conjugate gradient fletcher reeves is a suitable optimization method when juxtaposed with backpropagation method, because this method can shorten iteration without reducing the quality of training and testing result. Consumer Price Index (CPI) data that will be predicted to come from the Central Statistics Agency (BPS) Pematangsiantar. The results of this study will be expected to contribute to the government in making policies to improve economic growth. In this study, the data obtained will be processed by conducting training and testing with artificial neural network backpropagation by using parameter learning rate 0,01 and target error minimum that is 0.001-0,09. The training network is built with binary and bipolar sigmoid activation functions. After the results with backpropagation are obtained, it will then be optimized using the conjugate gradient fletcher reeves method by conducting the same training and testing based on 5 predefined network architectures. The result, the method used can increase the speed and accuracy result.

  2. Critical Protection Item classification for a waste processing facility at Savannah River Site

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ades, M.J.; Garrett, R.J.

    1993-10-01

    This paper describes the methodology for Critical Protection Item (CPI) classification and its application to the Structures, Systems and Components (SSC) of a waste processing facility at the Savannah River Site (SRS). The WSRC methodology for CPI classification includes the evaluation of the radiological and non-radiological consequences resulting from postulated accidents at the waste processing facility and comparison of these consequences with allowable limits. The types of accidents considered include explosions and fire in the facility and postulated accidents due to natural phenomena, including earthquakes, tornadoes, and high velocity straight winds. The radiological analysis results indicate that CPIs are notmore » required at the waste processing facility to mitigate the consequences of radiological release. The non-radiological analysis, however, shows that the Waste Storage Tank (WST) and the dike spill containment structures around the formic acid tanks in the cold chemical feed area and waste treatment area of the facility should be identified as CPIs. Accident mitigation options are provided and discussed.« less

  3. Process Correlation Analysis Model for Process Improvement Identification

    PubMed Central

    Park, Sooyong

    2014-01-01

    Software process improvement aims at improving the development process of software systems. It is initiated by process assessment identifying strengths and weaknesses and based on the findings, improvement plans are developed. In general, a process reference model (e.g., CMMI) is used throughout the process of software process improvement as the base. CMMI defines a set of process areas involved in software development and what to be carried out in process areas in terms of goals and practices. Process areas and their elements (goals and practices) are often correlated due to the iterative nature of software development process. However, in the current practice, correlations of process elements are often overlooked in the development of an improvement plan, which diminishes the efficiency of the plan. This is mainly attributed to significant efforts and the lack of required expertise. In this paper, we present a process correlation analysis model that helps identify correlations of process elements from the results of process assessment. This model is defined based on CMMI and empirical data of improvement practices. We evaluate the model using industrial data. PMID:24977170

  4. Process correlation analysis model for process improvement identification.

    PubMed

    Choi, Su-jin; Kim, Dae-Kyoo; Park, Sooyong

    2014-01-01

    Software process improvement aims at improving the development process of software systems. It is initiated by process assessment identifying strengths and weaknesses and based on the findings, improvement plans are developed. In general, a process reference model (e.g., CMMI) is used throughout the process of software process improvement as the base. CMMI defines a set of process areas involved in software development and what to be carried out in process areas in terms of goals and practices. Process areas and their elements (goals and practices) are often correlated due to the iterative nature of software development process. However, in the current practice, correlations of process elements are often overlooked in the development of an improvement plan, which diminishes the efficiency of the plan. This is mainly attributed to significant efforts and the lack of required expertise. In this paper, we present a process correlation analysis model that helps identify correlations of process elements from the results of process assessment. This model is defined based on CMMI and empirical data of improvement practices. We evaluate the model using industrial data.

  5. Improving Earth/Prediction Models to Improve Network Processing

    NASA Astrophysics Data System (ADS)

    Wagner, G. S.

    2017-12-01

    The United States Atomic Energy Detection System (USAEDS) primaryseismic network consists of a relatively small number of arrays andthree-component stations. The relatively small number of stationsin the USAEDS primary network make it both necessary and feasibleto optimize both station and network processing.Station processing improvements include detector tuning effortsthat use Receiver Operator Characteristic (ROC) curves to helpjudiciously set acceptable Type 1 (false) vs. Type 2 (miss) errorrates. Other station processing improvements include the use ofempirical/historical observations and continuous background noisemeasurements to compute time-varying, maximum likelihood probabilityof detection thresholds.The USAEDS network processing software makes extensive use of theazimuth and slowness information provided by frequency-wavenumberanalysis at array sites, and polarization analysis at three-componentsites. Most of the improvements in USAEDS network processing aredue to improvements in the models used to predict azimuth, slowness,and probability of detection. Kriged travel-time, azimuth andslowness corrections-and associated uncertainties-are computedusing a ground truth database. Improvements in station processingand the use of improved models for azimuth, slowness, and probabilityof detection have led to significant improvements in USADES networkprocessing.

  6. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lower, Mark D; Christopher, Timothy W; Oland, C Barry

    The Facilities and Operations (F&O) Directorate is sponsoring a continuous process improvement (CPI) program. Its purpose is to stimulate, promote, and sustain a culture of improvement throughout all levels of the organization. The CPI program ensures that a scientific and repeatable process exists for improving the delivery of F&O products and services in support of Oak Ridge National Laboratory (ORNL) Management Systems. Strategic objectives of the CPI program include achieving excellence in laboratory operations in the areas of safety, health, and the environment. Identifying and promoting opportunities for achieving the following critical outcomes are important business goals of the CPImore » program: improved safety performance; process focused on consumer needs; modern and secure campus; flexibility to respond to changing laboratory needs; bench strength for the future; and elimination of legacy issues. The Steam Pressure-Reducing Station (SPRS) Safety and Energy Efficiency Improvement Project, which is under the CPI program, focuses on maintaining and upgrading SPRSs that are part of the ORNL steam distribution network. This steam pipe network transports steam produced at the ORNL steam plant to many buildings in the main campus site. The SPRS Safety and Energy Efficiency Improvement Project promotes excellence in laboratory operations by (1) improving personnel safety, (2) decreasing fuel consumption through improved steam system energy efficiency, and (3) achieving compliance with applicable worker health and safety requirements. The SPRS Safety and Energy Efficiency Improvement Project being performed by F&O is helping ORNL improve both energy efficiency and worker safety by modifying, maintaining, and repairing SPRSs. Since work began in 2006, numerous energy-wasting steam leaks have been eliminated, heat losses from uninsulated steam pipe surfaces have been reduced, and deficient pressure retaining components have been replaced. These improvements

  7. Doppler Processing with Ultra-Wideband (UWB) Radar Revisited

    DTIC Science & Technology

    2018-01-01

    grating lobes as compared to the conventional Doppler processing counterpart. 15. SUBJECT TERMS Doppler radar, UWB radar, matched filter , ambiguity...maps by the matched filter method, illustrating the radar data support in (a) the frequency-slow time domain and (b) the ρ-u domain. The samples...example, obtained by the matched filter method, for a 1.2-s CPI centered at t = 1.5 s

  8. Process for improving metal production in steelmaking processes

    DOEpatents

    Pal, Uday B.; Gazula, Gopala K. M.; Hasham, Ali

    1996-01-01

    A process and apparatus for improving metal production in ironmaking and steelmaking processes is disclosed. The use of an inert metallic conductor in the slag containing crucible and the addition of a transition metal oxide to the slag are the disclosed process improvements.

  9. Process for improving metal production in steelmaking processes

    DOEpatents

    Pal, U.B.; Gazula, G.K.M.; Hasham, A.

    1996-06-18

    A process and apparatus for improving metal production in ironmaking and steelmaking processes is disclosed. The use of an inert metallic conductor in the slag containing crucible and the addition of a transition metal oxide to the slag are the disclosed process improvements. 6 figs.

  10. Model-based software process improvement

    NASA Technical Reports Server (NTRS)

    Zettervall, Brenda T.

    1994-01-01

    The activities of a field test site for the Software Engineering Institute's software process definition project are discussed. Products tested included the improvement model itself, descriptive modeling techniques, the CMM level 2 framework document, and the use of process definition guidelines and templates. The software process improvement model represents a five stage cyclic approach for organizational process improvement. The cycles consist of the initiating, diagnosing, establishing, acting, and leveraging phases.

  11. A pilot programme of clinical practice improvement for future consultant doctors.

    PubMed

    Oates, Kim; Vinters, Cathy; Cass-Verco, John; Fletcher, Mandy; Kaur, Narinder; Mherekumombe, Martha; Tang, Alice

    2017-04-01

    To provide junior doctors with tools to improve patient care in their workplace, a partnership was developed between the Clinical Excellence Commission (CEC) and the Royal Australasian College of Physicians (RACP) to help trainee consultants carry out clinical practice improvement (CPI) projects during clinical work. Based on a patient-care problem they wished to resolve, trainee consultants attended a 2-day face-to-face workshop to learn quality-improvement methods, describe their proposals and refine them using CPI methodology. They were provided with continuing supervision, participated in a mid-point review and were responsible for driving their projects. Trainee consultants attended a 2-day face-to-face workshop to learn quality-improvement methods RESULTS: Examples of five projects are: reducing mislabelled specimens leaving an emergency department, from 82 in the baseline period to 18 following the intervention; creating a multidisciplinary team to reduce hypoglycaemic episodes on a diabetic ward, from 23 episodes at baseline to three episodes over the same time period after the intervention; establishing an acute paediatric review clinic that reduced avoidable admissions of pneumonia by 74 per cent; providing 100 per cent of patients in a palliative care unit with an effective pain-management plan; developing an education package to increase staff confidence in recognising and responding to anaphylaxis in children, producing an increase in confidence from 51 per cent at baseline to 100 per cent after the intervention. Involving a learned college such as the RACP in patient-care improvement, with educational input from a partner organisation, shows how junior staff can become effective leaders in improving patient care. © 2016 John Wiley & Sons Ltd.

  12. Cytoplasmic peptide:N-glycanase cleaves N-glycans on a carboxypeptidase Y mutant during ERAD in Saccharomyces cerevisiae.

    PubMed

    Hosomi, Akira; Suzuki, Tadashi

    2015-04-01

    Endoplasmic reticulum (ER)-associated degradation (ERAD) is a pathway by which misfolded or improperly assembled proteins in the ER are directed to degradation. The cytoplasmic peptide:N-glycanase (PNGase) is a deglycosylating enzyme that cleaves N-glycans from misfolded glycoproteins during the ERAD process. The mutant form of yeast carboxypeptidase Y (CPY*) is an ERAD model substrate that has been extensively studied in yeast. While a delay in the degradation of CPY* in yeast cells lacking the cytoplasmic PNGase (Png1 in yeast) was evident, the in vivo action of PNGase on CPY* has not been detected. We constructed new ERAD substrates derived from CPY*, bearing epitope tags at both N- and C-termini and examined the degradation intermediates observed in yeast cells with compromised proteasome activity. The occurrence of the PNGase-mediated deglycosylation of intact CPY* and its degradation intermediates was evident. A major endoproteolytic reaction on CPY* appears to occur between amino acid 400 and 404. The findings reported herein clearly indicate that PNGase indeed releases N-glycans from CPY* during the ERAD process in vivo. This report implies that the PNGase-mediated deglycosylation during the ERAD process may occur more abundantly than currently envisaged. Copyright © 2014 Elsevier B.V. All rights reserved.

  13. Why Process Improvement Training Fails

    ERIC Educational Resources Information Center

    Lu, Dawei; Betts, Alan

    2011-01-01

    Purpose: The purpose of this paper is to explore the underlying reasons why providing process improvement training, by itself, may not be sufficient to achieve the desired outcome of improved processes; and to attempt a conceptual framework of management training for more effective improvement. Design/methodology/approach: Two similar units within…

  14. Improving Classroom Engagement among High School Students with Disruptive Behavior: Evaluation of the Class Pass Intervention

    ERIC Educational Resources Information Center

    Collins, Tai A.; Cook, Clayton R.; Dart, Evan H.; Socie, Diana G.; Renshaw, Tyler L.; Long, Anna C.

    2016-01-01

    Off-task and disruptive classroom behaviors have a negative impact on the learning environment and present a unique challenge for teachers to address. The aim of this study was to evaluate the Class Pass Intervention (CPI) as a behavior management strategy for secondary students with disruptive classroom behavior. The CPI consists of providing…

  15. SEL's Software Process-Improvement Program

    NASA Technical Reports Server (NTRS)

    Basili, Victor; Zelkowitz, Marvin; McGarry, Frank; Page, Jerry; Waligora, Sharon; Pajerski, Rose

    1995-01-01

    The goals and operations of the Software Engineering Laboratory (SEL) is reviewed. For nearly 20 years the SEL has worked to understand, assess, and improve software and the development process within the production environment of the Flight Dynamics Division (FDD) of NASA's Goddard Space Flight Center. The SEL was established in 1976 with the goals of reducing: (1) the defect rate of delivered software, (2) the cost of software to support flight projects, and (3) the average time to produce mission-support software. After studying over 125 projects of FDD, the results have guided the standards, management practices, technologies, and the training within the division. The results of the studies have been a 75 percent reduction in defects, a 50 percent reduction in cost, and a 25 percent reduction in development time. Over time the goals of SEL have been clarified. The goals are now stated as: (1) Understand baseline processes and product characteristics, (2) Assess improvements that have been incorporated into the development projects, (3) Package and infuse improvements into the standard SEL process. The SEL improvement goal is to demonstrate continual improvement of the software process by carrying out analysis, measurement and feedback to projects with in the FDD environment. The SEL supports the understanding of the process by study of several processes including, the effort distribution, and error detection rates. The SEL assesses and refines the processes. Once the assessment and refinement of a process is completed, the SEL packages the process by capturing the process in standards, tools and training.

  16. Improving a Dental School's Clinic Operations Using Lean Process Improvement.

    PubMed

    Robinson, Fonda G; Cunningham, Larry L; Turner, Sharon P; Lindroth, John; Ray, Deborah; Khan, Talib; Yates, Audrey

    2016-10-01

    The term "lean production," also known as "Lean," describes a process of operations management pioneered at the Toyota Motor Company that contributed significantly to the success of the company. Although developed by Toyota, the Lean process has been implemented at many other organizations, including those in health care, and should be considered by dental schools in evaluating their clinical operations. Lean combines engineering principles with operations management and improvement tools to optimize business and operating processes. One of the core concepts is relentless elimination of waste (non-value-added components of a process). Another key concept is utilization of individuals closest to the actual work to analyze and improve the process. When the medical center of the University of Kentucky adopted the Lean process for improving clinical operations, members of the College of Dentistry trained in the process applied the techniques to improve inefficient operations at the Walk-In Dental Clinic. The purpose of this project was to reduce patients' average in-the-door-to-out-the-door time from over four hours to three hours within 90 days. Achievement of this goal was realized by streamlining patient flow and strategically relocating key phases of the process. This initiative resulted in patient benefits such as shortening average in-the-door-to-out-the-door time by over an hour, improving satisfaction by 21%, and reducing negative comments by 24%, as well as providing opportunity to implement the electronic health record, improving teamwork, and enhancing educational experiences for students. These benefits were achieved while maintaining high-quality patient care with zero adverse outcomes during and two years following the process improvement project.

  17. Case Studies in Continuous Process Improvement

    NASA Technical Reports Server (NTRS)

    Mehta, A.

    1997-01-01

    This study focuses on improving the SMT assembly process in a low-volume, high-reliability environment with emphasis on fine pitch and BGA packages. Before a process improvement is carried out, it is important to evaluate where the process stands in terms of process capability.

  18. PROCESS IMPROVEMENT STUDIES ON THE BATTELLE HYDROTHERMAL COAL PROCESS

    EPA Science Inventory

    The report gives results of a study to improve the economic viability of the Battelle Hydrothermal (HT) Coal Process by reducing the costs associated with liquid/solid separation and leachant regeneration. Laboratory experiments were conducted to evaluate process improvements for...

  19. Process safety improvement--quality and target zero.

    PubMed

    Van Scyoc, Karl

    2008-11-15

    Process safety practitioners have adopted quality management principles in design of process safety management systems with positive effect, yet achieving safety objectives sometimes remain a distant target. Companies regularly apply tools and methods which have roots in quality and productivity improvement. The "plan, do, check, act" improvement loop, statistical analysis of incidents (non-conformities), and performance trending popularized by Dr. Deming are now commonly used in the context of process safety. Significant advancements in HSE performance are reported after applying methods viewed as fundamental for quality management. In pursuit of continual process safety improvement, the paper examines various quality improvement methods, and explores how methods intended for product quality can be additionally applied to continual improvement of process safety. Methods such as Kaizen, Poke yoke, and TRIZ, while long established for quality improvement, are quite unfamiliar in the process safety arena. These methods are discussed for application in improving both process safety leadership and field work team performance. Practical ways to advance process safety, based on the methods, are given.

  20. Clinical efficiency of Piezo-ICSI using micropipettes with a wall thickness of 0.625 μm.

    PubMed

    Hiraoka, Kenichiro; Kitamura, Seiji

    2015-12-01

    The purposes of the present study are to assess the clinical efficiency of Piezo-intracytoplasmic sperm injection (ICSI) and to improve the Piezo-ICSI method for human oocytes. We examined three ICSI methods to determine their clinical efficiency by comparing the survival, fertilization, good-quality day-3 embryo, pregnancy, and live birth rates. The three ICSI methods tested were conventional ICSI (CI) (using beveled spiked micropipettes with a wall thickness of 1 μm), conventional Piezo-ICSI (CPI) (using flat-tipped micropipettes with a wall thickness of 0.925 μm), and improved Piezo-ICSI (IPI) (using flat-tipped micropipettes with a wall thickness of 0.625 μm). We collectively investigated 2020 mature oocytes retrieved from 437 patients between October 2010 and January 2014. The survival rates after CI, CPI, and IPI were 90, 95, and 99 %, respectively. The fertilization rates after CI, CPI, and IPI were 68, 75, and 89 %, respectively. The good-quality day-3 embryo rates after CI, CPI, and IPI were 37, 43, and 55 %, respectively. The pregnancy rates after the transfer of good-quality day-3 embryo of CI, CPI, and IPI were 19, 21, and 31 %, respectively. The live birth rates of CI, CPI, and IPI were 15, 16, and 25 %, respectively. Significantly higher survival, fertilization, good-quality day-3 embryo, pregnancy, and live birth rates were obtained using IPI. When comparing the IPI to the CI and CPI, the results revealed that the Piezo-ICSI using flat-tipped micropipettes with a wall thickness of 0.625 μm significantly improves survival, fertilization, good-quality day-3 embryo, pregnancy, and live birth rates.

  1. Practice-based evidence study design for comparative effectiveness research.

    PubMed

    Horn, Susan D; Gassaway, Julie

    2007-10-01

    To describe a new, rigorous, comprehensive practice-based evidence for clinical practice improvement (PBE-CPI) study methodology, and compare its features, advantages, and disadvantages to those of randomized controlled trials and sophisticated statistical methods for comparative effectiveness research. PBE-CPI incorporates natural variation within data from routine clinical practice to determine what works, for whom, when, and at what cost. It uses the knowledge of front-line caregivers, who develop study questions and define variables as part of a transdisciplinary team. Its comprehensive measurement framework provides a basis for analyses of significant bivariate and multivariate associations between treatments and outcomes, controlling for patient differences, such as severity of illness. PBE-CPI studies can uncover better practices more quickly than randomized controlled trials or sophisticated statistical methods, while achieving many of the same advantages. We present examples of actionable findings from PBE-CPI studies in postacute care settings related to comparative effectiveness of medications, nutritional support approaches, incontinence products, physical therapy activities, and other services. Outcomes improved when practices associated with better outcomes in PBE-CPI analyses were adopted in practice.

  2. Applications of process improvement techniques to improve workflow in abdominal imaging.

    PubMed

    Tamm, Eric Peter

    2016-03-01

    Major changes in the management and funding of healthcare are underway that will markedly change the way radiology studies will be reimbursed. The result will be the need to deliver radiology services in a highly efficient manner while maintaining quality. The science of process improvement provides a practical approach to improve the processes utilized in radiology. This article will address in a step-by-step manner how to implement process improvement techniques to improve workflow in abdominal imaging.

  3. 76 FR 41246 - Pesticide Program Dialogue Committee, Pesticide Registration Improvement Act Process Improvement...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-07-13

    ... Committee, Pesticide Registration Improvement Act Process Improvement Workgroup; Notice of Public Meeting...) Process Improvement Work Group. EPA plans to meet its ESA consultation obligations through the pesticide... a pesticide during the registration review process. This meeting of the PRIA Process Improvement...

  4. PD-1 and PD-L1 inhibitors after platinum-based chemotherapy or in first-line therapy in cisplatin-ineligible patients: Dramatic improvement of prognosis and overall survival after decades of hopelessness in patients with metastatic urothelial cancer.

    PubMed

    Resch, Irene; Shariat, Shahrokh F; Gust, Kilian M

    2018-01-01

    Until recently, there were no true innovations in the management of locally advanced (aUC) and metastatic urothelial cancer (mUC) in the last three decades. Vinflunine has been approved by the EMA (European Medicines Agency) with only limited improvement compared to best supportive care in second line treatment. In addition, gemcitabine/cisplatin has been established as an alternative to methotrexate, vinblastine, doxorubicin, and cisplatin (MVAC). The advent of checkpoint inhibitors (CPI) revolutionized the care of these patients, transforming a unanimously deadly disease into one with hope through sustained disease control. Five immune CPI have recently been approved for aUC/mUC by the US Food and Drug Administration (FDA) including atezolizumab, nivolumab, pembrolizumab, durvalumab and avelumab. All five CPI are FDA-approved as second-line therapy with atezolizumab and pembrolizumab also being approved for first-line therapy in cisplatin-ineligible patients. The rapid acceptance in the treatment algorithm of UC is based on the impressive clinical efficacy of these agents in some patients, combined with their excellent safety profile. These new agents are indeed the most important advancement in UC care. However, the challenge in the age of precision medicine is to identify the patients who are most likely to benefit from CPIs, as the majority of patients do not respond to CPI. Toward this goal, validation of clinical, molecular and imaging biomarkers that serve for prediction and monitoring of treatment response are of central necessity.

  5. Improving the Simplified Acquisition of Base Engineering Requirements (SABER) Delivery Order Award Process: Results of a Process Improvement Plan

    DTIC Science & Technology

    1991-09-01

    putting all tasks directed towsrds achieving an outcome in aequence. The tasks can be viewed as steps in the process (39:2.3). Using this...improvement opportunity is investigated. A plan is developed, root causes are identified, and solutions are tested and implemented. The process is... solutions , check for actual improvement, and integrate the successful improvements into the process. ?UP 7. Check Improvement Performance. Finally, the

  6. Process Improvement for Interinstitutional Research Contracting.

    PubMed

    Varner, Michael; Logan, Jennifer; Bjorklund, Todd; Whitfield, Jesse; Reed, Peggy; Lesher, Laurie; Sikalis, Amy; Brown, Brent; Drollinger, Sandy; Larrabee, Kristine; Thompson, Kristie; Clark, Erin; Workman, Michael; Boi, Luca

    2015-08-01

    Sponsored research increasingly requires multiinstitutional collaboration. However, research contracting procedures have become more complicated and time consuming. The perinatal research units of two colocated healthcare systems sought to improve their research contracting processes. The Lean Process, a management practice that iteratively involves team members in root cause analyses and process improvement, was applied to the research contracting process, initially using Process Mapping and then developing Problem Solving Reports. Root cause analyses revealed that the longest delays were the individual contract legal negotiations. In addition, the "business entity" was the research support personnel of both healthcare systems whose "customers" were investigators attempting to conduct interinstitutional research. Development of mutually acceptable research contract templates and language, chain of custody templates, and process development and refinement formats decreased the Notice of Grant Award to Purchase Order time from a mean of 103.5 days in the year prior to Lean Process implementation to 45.8 days in the year after implementation (p = 0.004). The Lean Process can be applied to interinstitutional research contracting with significant improvement in contract implementation. © 2015 Wiley Periodicals, Inc.

  7. Highly flexible InSnO electrodes on thin colourless polyimide substrate for high-performance flexible CH3NH3PbI3 perovskite solar cells

    NASA Astrophysics Data System (ADS)

    Park, Jeong-Il; Heo, Jin Hyuck; Park, Sung-Hyun; Hong, Ki Il; Jeong, Hak Gee; Im, Sang Hyuk; Kim, Han-Ki

    2017-02-01

    We fabricated high-performance flexible CH3NH3PbI3 (MAPbI3) perovskite solar cells with a power conversion efficiency of 15.5% on roll-to-roll sputtered ITO films on 60 μm-thick colourless polyimide (CPI) substrate. Due to the thermal stability of the CPI substrate, an ITO/CPI sample subjected to rapid thermal annealing at 300 °C showed a low sheet resistance of 57.8 Ω/square and high transmittance of 83.6%, which are better values than those of an ITO/PET sample. Outer and inner bending tests demonstrated that the mechanical flexibility of the ITO/CPI was superior to that of the conventional ITO/PET sample owing to the thinness of the CPI substrate. In addition, due to its good mechanical flexibility, the ITO/CPI showed no change in resistance after 10,000 cycle outer and inner dynamic fatigue tests. Flexible perovskite solar cells with the structure of Au/PTAA/MAPbI3/ZnO/ITO/CPI showed a high power conversion efficiency of 15.5%. The successful operation of these flexible perovskite solar cells on ITO/CPI substrate indicated that the ITO film on thermally stable CPI substrate is a promising of flexible substrate for high-temperature processing, a finding likely to advance the commercialization of cost-efficient flexible perovskite solar cells.

  8. Performance Improvement Processes.

    ERIC Educational Resources Information Center

    1997

    This document contains four papers from a symposium on performance improvement processes. In "Never the Twain Shall Meet?: A Glimpse into High Performance Work Practices and Downsizing" (Laurie J. Bassi, Mark E. Van Buren) evidence from a national cross-industry of more than 200 establishments is used to demonstrate that high-performance…

  9. Software Engineering Program: Software Process Improvement Guidebook

    NASA Technical Reports Server (NTRS)

    1996-01-01

    The purpose of this document is to provide experience-based guidance in implementing a software process improvement program in any NASA software development or maintenance community. This guidebook details how to define, operate, and implement a working software process improvement program. It describes the concept of the software process improvement program and its basic organizational components. It then describes the structure, organization, and operation of the software process improvement program, illustrating all these concepts with specific NASA examples. The information presented in the document is derived from the experiences of several NASA software organizations, including the SEL, the SEAL, and the SORCE. Their experiences reflect many of the elements of software process improvement within NASA. This guidebook presents lessons learned in a form usable by anyone considering establishing a software process improvement program within his or her own environment. This guidebook attempts to balance general and detailed information. It provides material general enough to be usable by NASA organizations whose characteristics do not directly match those of the sources of the information and models presented herein. It also keeps the ideas sufficiently close to the sources of the practical experiences that have generated the models and information.

  10. Process Improvement for Interinstitutional Research Contracting

    PubMed Central

    Logan, Jennifer; Bjorklund, Todd; Whitfield, Jesse; Reed, Peggy; Lesher, Laurie; Sikalis, Amy; Brown, Brent; Drollinger, Sandy; Larrabee, Kristine; Thompson, Kristie; Clark, Erin; Workman, Michael; Boi, Luca

    2015-01-01

    Abstract Introduction Sponsored research increasingly requires multiinstitutional collaboration. However, research contracting procedures have become more complicated and time consuming. The perinatal research units of two colocated healthcare systems sought to improve their research contracting processes. Methods The Lean Process, a management practice that iteratively involves team members in root cause analyses and process improvement, was applied to the research contracting process, initially using Process Mapping and then developing Problem Solving Reports. Results Root cause analyses revealed that the longest delays were the individual contract legal negotiations. In addition, the “business entity” was the research support personnel of both healthcare systems whose “customers” were investigators attempting to conduct interinstitutional research. Development of mutually acceptable research contract templates and language, chain of custody templates, and process development and refinement formats decreased the Notice of Grant Award to Purchase Order time from a mean of 103.5 days in the year prior to Lean Process implementation to 45.8 days in the year after implementation (p = 0.004). Conclusions The Lean Process can be applied to interinstitutional research contracting with significant improvement in contract implementation. PMID:26083433

  11. NCCDS configuration management process improvement

    NASA Technical Reports Server (NTRS)

    Shay, Kathy

    1993-01-01

    By concentrating on defining and improving specific Configuration Management (CM) functions, processes, procedures, personnel selection/development, and tools, internal and external customers received improved CM services. Job performance within the section increased in both satisfaction and output. Participation in achieving major improvements has led to the delivery of consistent quality CM products as well as significant decreases in every measured CM metrics category.

  12. [Sustainable process improvement with application of 'lean philosophy'].

    PubMed

    Rouppe van der Voort, Marc B V; van Merode, G G Frits; Veraart, Henricus G N

    2013-01-01

    Process improvement is increasingly being implemented, particularly with the aid of 'lean philosophy'. This management philosophy aims to improve quality by reducing 'wastage'. Local improvements can produce negative effects elsewhere due to interdependence of processes. An 'integrated system approach' is required to prevent this. Some hospitals claim that this has been successful. Research into process improvement with the application of lean philosophy has reported many positive effects, defined as improved safety, quality and efficiency. Due to methodological shortcomings and lack of rigorous evaluations it is, however, not yet possible to determine the impact of this approach. It is, however, obvious that the investigated applications are fragmentary, with a dominant focus on the instrumental aspect of the philosophy and a lack of integration in a total system, and with insufficient attention to human aspects. Process improvement is required to achieve better and more goal-oriented healthcare. To achieve this, hospitals must develop integrated system approaches that combine methods for process design with continuous improvement of processes and with personnel management. It is crucial that doctors take the initiative to guide and improve processes in an integral manner.

  13. Inpatient preanalytic process improvements.

    PubMed

    Wagar, Elizabeth A; Phipps, Ron; Del Guidice, Robert; Middleton, Lavinia P; Bingham, John; Prejean, Cheryl; Johnson-Hamilton, Martha; Philip, Pheba; Le, Ngoc Han; Muses, Waheed

    2013-12-01

    Phlebotomy services are a common target for preanalytic improvements. Many new, quality engineering tools have recently been applied in clinical laboratories. However, data on relatively few projects have been published. This example describes a complete application of current, quality engineering tools to improve preanalytic phlebotomy services. To decrease the response time in the preanalytic inpatient laboratory by 25%, to reduce the number of incident reports related to preanalytic phlebotomy, and to make systematic process changes that satisfied the stakeholders. The Department of Laboratory Medicine, General Services Section, at the University of Texas MD Anderson Cancer Center (Houston) is responsible for inpatient phlebotomy in a 24-hour operation, which serves 689 inpatient beds. The study director was project director of the Division of Pathology and Laboratory Medicine's Quality Improvement Section and was assisted by 2 quality technologists and an industrial engineer from MD Anderson Office of Performance Improvement. After implementing each solution, using well-recognized, quality tools and metrics, the response time for blood collection decreased by 23%, which was close to meeting the original responsiveness goal of 25%. The response time between collection and arrival in the laboratory decreased by 8%. Applicable laboratory-related incident reports were reduced by 43%. Comprehensive application of quality tools, such as statistical control charts, Pareto diagrams, value-stream maps, process failure modes and effects analyses, fishbone diagrams, solution prioritization matrices, and customer satisfaction surveys can significantly improve preset goals for inpatient phlebotomy.

  14. Process Improvement: Customer Service.

    PubMed

    Cull, Donald

    2015-01-01

    Utilizing the comment section of patient satisfaction surveys, Clark Memorial Hospital in Jeffersonville, IN went through a thoughtful process to arrive at an experience that patients said they wanted. Two Lean Six Sigma tools were used--the Voice of the Customer (VoC) and the Affinity Diagram. Even when using these tools, a facility will not be able to accomplish everything the patient may want. Guidelines were set and rules were established for the Process Improvement Team in order to lessen frustration, increase focus, and ultimately be successful. The project's success is driven by the team members carrying its message back to their areas. It's about ensuring that everyone is striving to improve the patients' experience by listening to what they say is being done right and what they say can be done better. And then acting on it.

  15. Application Process Improvement Yields Results.

    ERIC Educational Resources Information Center

    Holesovsky, Jan Paul

    1995-01-01

    After a continuing effort to improve its grant application process, the department of medical microbiology and immunology at the University of Wisconsin-Madison is submitting many more applications and realizing increased funding. The methods and strategy used to make the process more efficient and effective are outlined. (Author/MSE)

  16. A DMAIC approach for process capability improvement an engine crankshaft manufacturing process

    NASA Astrophysics Data System (ADS)

    Sharma, G. V. S. S.; Rao, P. Srinivasa

    2014-05-01

    The define-measure-analyze-improve-control (DMAIC) approach is a five-strata approach, namely DMAIC. This approach is the scientific approach for reducing the deviations and improving the capability levels of the manufacturing processes. The present work elaborates on DMAIC approach applied in reducing the process variations of the stub-end-hole boring operation of the manufacture of crankshaft. This statistical process control study starts with selection of the critical-to-quality (CTQ) characteristic in the define stratum. The next stratum constitutes the collection of dimensional measurement data of the CTQ characteristic identified. This is followed by the analysis and improvement strata where the various quality control tools like Ishikawa diagram, physical mechanism analysis, failure modes effects analysis and analysis of variance are applied. Finally, the process monitoring charts are deployed at the workplace for regular monitoring and control of the concerned CTQ characteristic. By adopting DMAIC approach, standard deviation is reduced from 0.003 to 0.002. The process potential capability index ( C P) values improved from 1.29 to 2.02 and the process performance capability index ( C PK) values improved from 0.32 to 1.45, respectively.

  17. Teaching the NIATx Model of Process Improvement as an Evidence-Based Process

    ERIC Educational Resources Information Center

    Evans, Alyson C.; Rieckmann, Traci; Fitzgerald, Maureen M.; Gustafson, David H.

    2007-01-01

    Process Improvement (PI) is an approach for helping organizations to identify and resolve inefficient and ineffective processes through problem solving and pilot testing change. Use of PI in improving client access, retention and outcomes in addiction treatment is on the rise through the teaching of the Network for the Improvement of Addiction…

  18. Naval Surface Warfare Center Dahlgren Division: Application of Lean Six Sigma in the Pre-Award Procurement Process

    DTIC Science & Technology

    2008-09-01

    you to m y wonde rful parents for believing in me and for the many weekends they kept Kortney while I worked on this project. I love my whole fam...placing such an im portance on education he re at Dahlgren, Capt ain Sheila Pa tterson for continuing to defend Dahlgren’s educati on plan, Pete...possible range of organizational improvements; 3) Develop and implement appropriate education and training procedures and promote CPI/LSS career develo

  19. Marshaling and Acquiring Resources for the Process Improvement Process

    DTIC Science & Technology

    1993-06-01

    stakeholders. ( Geber , 1990) D. IDENTIFYING SUPPLIERS Suppliers are just as crucial to setting requirements for processes as are customers. Although...output ( Geber , 1990, p. 32). Before gathering resources for process improvement, the functional manager must ensure that the relationship of internal...him patent information and clerical people process his applications. ( Geber , 1990, pp. 29-34) To get the full benefit of a white-collar worker as a

  20. Improving the preparticipation exam process.

    PubMed

    Reed, F E

    2001-08-01

    The Preparticipation Exam for too long has been a mandatory yearly athletic exam and not the base from which a process of continuous athletic care took place. The purpose of this article is not only to introduce improvements in the exam itself but to also describe some extensions of the process that allow us to improve athletic care in South Carolina. It is hoped that a software scanning program will allow compiling of demographic data from individual and group examinations and thus support the method of exam preferred by all physicians in our state. Standard forms will also facilitate communication within the Athletic Care Unit and between physicians involved in athletic care.

  1. Improved compression molding process

    NASA Technical Reports Server (NTRS)

    Heier, W. C.

    1967-01-01

    Modified compression molding process produces plastic molding compounds that are strong, homogeneous, free of residual stresses, and have improved ablative characteristics. The conventional method is modified by applying a vacuum to the mold during the molding cycle, using a volatile sink, and exercising precise control of the mold closure limits.

  2. Activating clinical trials: a process improvement approach.

    PubMed

    Martinez, Diego A; Tsalatsanis, Athanasios; Yalcin, Ali; Zayas-Castro, José L; Djulbegovic, Benjamin

    2016-02-24

    The administrative process associated with clinical trial activation has been criticized as costly, complex, and time-consuming. Prior research has concentrated on identifying administrative barriers and proposing various solutions to reduce activation time, and consequently associated costs. Here, we expand on previous research by incorporating social network analysis and discrete-event simulation to support process improvement decision-making. We searched for all operational data associated with the administrative process of activating industry-sponsored clinical trials at the Office of Clinical Research of the University of South Florida in Tampa, Florida. We limited the search to those trials initiated and activated between July 2011 and June 2012. We described the process using value stream mapping, studied the interactions of the various process participants using social network analysis, and modeled potential process modifications using discrete-event simulation. The administrative process comprised 5 sub-processes, 30 activities, 11 decision points, 5 loops, and 8 participants. The mean activation time was 76.6 days. Rate-limiting sub-processes were those of contract and budget development. Key participants during contract and budget development were the Office of Clinical Research, sponsors, and the principal investigator. Simulation results indicate that slight increments on the number of trials, arriving to the Office of Clinical Research, would increase activation time by 11 %. Also, incrementing the efficiency of contract and budget development would reduce the activation time by 28 %. Finally, better synchronization between contract and budget development would reduce time spent on batching documentation; however, no improvements would be attained in total activation time. The presented process improvement analytic framework not only identifies administrative barriers, but also helps to devise and evaluate potential improvement scenarios. The strength

  3. Out of sight but not out of mind: Home countries' macroeconomic volatilities and immigrants' mental health.

    PubMed

    Nguyen, Ha Trong; Connelly, Luke Brian

    2018-01-01

    We provide the first empirical evidence that better economic performances by immigrants' countries of origin, as measured by lower consumer price index (CPI) or higher gross domestic product, improve immigrants' mental health. We use an econometrically-robust approach that exploits exogenous changes in macroeconomic conditions across immigrants' home countries over time and controls for immigrants' observable and unobservable characteristics. The CPI effect is statistically significant and sizeable. Furthermore, the CPI effect diminishes as the time since emigrating increases. By contrast, home countries' unemployment rates and exchange rate fluctuations have no impact on immigrants' mental health. Copyright © 2017 John Wiley & Sons, Ltd.

  4. Capability Maturity Model (CMM) for Software Process Improvements

    NASA Technical Reports Server (NTRS)

    Ling, Robert Y.

    2000-01-01

    This slide presentation reviews the Avionic Systems Division's implementation of the Capability Maturity Model (CMM) for improvements in the software development process. The presentation reviews the process involved in implementing the model and the benefits of using CMM to improve the software development process.

  5. Eco-Efficient Process Improvement at the Early Development Stage: Identifying Environmental and Economic Process Hotspots for Synergetic Improvement Potential.

    PubMed

    Piccinno, Fabiano; Hischier, Roland; Seeger, Stefan; Som, Claudia

    2018-05-15

    We present here a new eco-efficiency process-improvement method to highlight combined environmental and costs hotspots of the production process of new material at a very early development stage. Production-specific and scaled-up results for life cycle assessment (LCA) and production costs are combined in a new analysis to identify synergetic improvement potentials and trade-offs, setting goals for the eco-design of new processes. The identified hotspots and bottlenecks will help users to focus on the relevant steps for improvements from an eco-efficiency perspective and potentially reduce their associated environmental impacts and production costs. Our method is illustrated with a case study of nanocellulose. The results indicate that the production route should start with carrot pomace, use heat and solvent recovery, and deactivate the enzymes with bleach instead of heat. To further improve the process, the results show that focus should be laid on the carrier polymer, sodium alginate, and the production of the GripX coating. Overall, the method shows that the underlying LCA scale-up framework is valuable for purposes beyond conventional LCA studies and is applicable at a very early stage to provide researchers with a better understanding of their production process.

  6. Use of Process Improvement Tools in Radiology.

    PubMed

    Rawson, James V; Kannan, Amogha; Furman, Melissa

    2016-01-01

    Process improvement techniques are common in manufacturing and industry. Over the past few decades these principles have been slowly introduced in select health care settings. This article reviews the Plan, Do, Study, and Act cycle, Six Sigma, the System of Profound Knowledge, Lean, and the theory of constraints. Specific process improvement tools in health care and radiology are presented in the order the radiologist is likely to encounter them in an improvement project. Copyright © 2015 Mosby, Inc. All rights reserved.

  7. Organizational performance and regulatory compliance as measured by clinical pertinence indicators before and after implementation of Anesthesia Information Management System (AIMS).

    PubMed

    Choi, Clark K; Saberito, Darlene; Tyagaraj, Changa; Tyagaraj, Kalpana

    2014-01-01

    Previous studies have suggested that electronic medical records (EMR) can lead to a greater reduction of medical errors and better adherence to regulatory compliance than paper medical records (PMR). In order to assess the organizational performance and regulatory compliance, we tracked different clinical pertinence indicators (CPI) in our anesthesia information management system (AIMS) for 5 years. These indicators comprised of the protocols from the Surgical Care Improvement Project (SCIP), elements of performance (EP) from The Joint Commission (TJC), and guidelines from the Centers for Medicare and Medicaid Services (CMS). A comprehensive AIMS was initiated and the CPI were collected from October 5, 2009 to December 31, 2010 (EMR period) and from January 1, 2006 to October 4, 2009 (PMR period). Fourteen CPI were found to be common between the EMR and PMR periods. Based on the statistical analysis of the 14 common CPI, there was a significant increase (p < 0.001) in overall compliance after the introduction of EMR compared to the PMR period. The increase in overall compliance was significantly progressive (p = 0.013) from year to year over 2006 and 2010. Of the 14 CPI, Documentation of a) medication doses, and b) monitoring of postoperative physiological status, mental status, and pain scores showed significant improvement (p < 0.001) during the EMR period compared to the PMR period.

  8. IRB Process Improvements: A Machine Learning Analysis.

    PubMed

    Shoenbill, Kimberly; Song, Yiqiang; Cobb, Nichelle L; Drezner, Marc K; Mendonca, Eneida A

    2017-06-01

    Clinical research involving humans is critically important, but it is a lengthy and expensive process. Most studies require institutional review board (IRB) approval. Our objective is to identify predictors of delays or accelerations in the IRB review process and apply this knowledge to inform process change in an effort to improve IRB efficiency, transparency, consistency and communication. We analyzed timelines of protocol submissions to determine protocol or IRB characteristics associated with different processing times. Our evaluation included single variable analysis to identify significant predictors of IRB processing time and machine learning methods to predict processing times through the IRB review system. Based on initial identified predictors, changes to IRB workflow and staffing procedures were instituted and we repeated our analysis. Our analysis identified several predictors of delays in the IRB review process including type of IRB review to be conducted, whether a protocol falls under Veteran's Administration purview and specific staff in charge of a protocol's review. We have identified several predictors of delays in IRB protocol review processing times using statistical and machine learning methods. Application of this knowledge to process improvement efforts in two IRBs has led to increased efficiency in protocol review. The workflow and system enhancements that are being made support our four-part goal of improving IRB efficiency, consistency, transparency, and communication.

  9. Improving Metal Casting Process

    NASA Technical Reports Server (NTRS)

    1998-01-01

    Don Sirois, an Auburn University research associate, and Bruce Strom, a mechanical engineering Co-Op Student, are evaluating the dimensional characteristics of an aluminum automobile engine casting. More accurate metal casting processes may reduce the weight of some cast metal products used in automobiles, such as engines. Research in low gravity has taken an important first step toward making metal products used in homes, automobiles, and aircraft less expensive, safer, and more durable. Auburn University and industry are partnering with NASA to develop one of the first accurate computer model predictions of molten metals and molding materials used in a manufacturing process called casting. Ford Motor Company's casting plant in Cleveland, Ohio is using NASA-sponsored computer modeling information to improve the casting process of automobile and light-truck engine blocks.

  10. Health-care process improvement decisions: a systems perspective.

    PubMed

    Walley, Paul; Silvester, Kate; Mountford, Shaun

    2006-01-01

    The paper seeks to investigate decision-making processes within hospital improvement activity, to understand how performance measurement systems influence decisions and potentially lead to unsuccessful or unsustainable process changes. A longitudinal study over a 33-month period investigates key events, decisions and outcomes at one medium-sized hospital in the UK. Process improvement events are monitored using process control methods and by direct observation. The authors took a systems perspective of the health-care processes, ensuring that the impacts of decisions across the health-care supply chain were appropriately interpreted. The research uncovers the ways in which measurement systems disguise failed decisions and encourage managers to take a low-risk approach of "symptomatic relief" when trying to improve performance metrics. This prevents many managers from trying higher risk, sustainable process improvement changes. The behaviour of the health-care system is not understood by many managers and this leads to poor analysis of problem situations. Measurement using time-series methodologies, such as statistical process control are vital for a better understanding of the systems impact of changes. Senior managers must also be aware of the behavioural influence of similar performance measurement systems that discourage sustainable improvement. There is a risk that such experiences will tarnish the reputation of performance management as a discipline. Recommends process control measures as a way of creating an organization memory of how decisions affect performance--something that is currently lacking.

  11. An Aspect-Oriented Framework for Business Process Improvement

    NASA Astrophysics Data System (ADS)

    Pourshahid, Alireza; Mussbacher, Gunter; Amyot, Daniel; Weiss, Michael

    Recently, many organizations invested in Business Process Management Systems (BPMSs) in order to automate and monitor their processes. Business Activity Monitoring is one of the essential modules of a BPMS as it provides the core monitoring capabilities. Although the natural step after process monitoring is process improvement, most of the existing systems do not provide the means to help users with the improvement step. In this paper, we address this issue by proposing an aspect-oriented framework that allows the impact of changes to business processes to be explored with what-if scenarios based on the most appropriate process redesign patterns among several possibilities. As the four cornerstones of a BPMS are process, goal, performance and validation views, these views need to be aligned automatically by any approach that intends to support automated improvement of business processes. Our framework therefore provides means to reflect process changes also in the other views of the business process. A health care case study presented as a proof of concept suggests that this novel approach is feasible.

  12. Using Unified Modelling Language (UML) as a process-modelling technique for clinical-research process improvement.

    PubMed

    Kumarapeli, P; De Lusignan, S; Ellis, T; Jones, B

    2007-03-01

    The Primary Care Data Quality programme (PCDQ) is a quality-improvement programme which processes routinely collected general practice computer data. Patient data collected from a wide range of different brands of clinical computer systems are aggregated, processed, and fed back to practices in an educational context to improve the quality of care. Process modelling is a well-established approach used to gain understanding and systematic appraisal, and identify areas of improvement of a business process. Unified modelling language (UML) is a general purpose modelling technique used for this purpose. We used UML to appraise the PCDQ process to see if the efficiency and predictability of the process could be improved. Activity analysis and thinking-aloud sessions were used to collect data to generate UML diagrams. The UML model highlighted the sequential nature of the current process as a barrier for efficiency gains. It also identified the uneven distribution of process controls, lack of symmetric communication channels, critical dependencies among processing stages, and failure to implement all the lessons learned in the piloting phase. It also suggested that improved structured reporting at each stage - especially from the pilot phase, parallel processing of data and correctly positioned process controls - should improve the efficiency and predictability of research projects. Process modelling provided a rational basis for the critical appraisal of a clinical data processing system; its potential maybe underutilized within health care.

  13. EUV process improvement with novel litho track hardware

    NASA Astrophysics Data System (ADS)

    Stokes, Harold; Harumoto, Masahiko; Tanaka, Yuji; Kaneyama, Koji; Pieczulewski, Charles; Asai, Masaya

    2017-03-01

    Currently, there are many developments in the field of EUV lithography that are helping to move it towards increased HVM feasibility. Targeted improvements in hardware design for advanced lithography are of interest to our group specifically for metrics such as CD uniformity, LWR, and defect density. Of course, our work is focused on EUV process steps that are specifically affected by litho track performance, and consequently, can be improved by litho track design improvement and optimization. In this study we are building on our experience to provide continual improvement for LWR, CDU, and Defects as applied to a standard EUV process by employing novel hardware solutions on our SOKUDO DUO coat develop track system. Although it is preferable to achieve such improvements post-etch process we feel, as many do, that improvements after patterning are a precursor to improvements after etching. We hereby present our work utilizing the SOKUDO DUO coat develop track system with an ASML NXE:3300 in the IMEC (Leuven, Belgium) cleanroom environment to improve aggressive dense L/S patterns.

  14. A Meteorological Rocket Data Reduction Program with Automated Temperature Processing.

    DTIC Science & Technology

    1982-01-01

    WRD3 CPI 003Q WORD3 (ZERO) 005A C2 61 00 1490 JNZ WRD4 005D AF 1500 ZERO XRA A 005E C3 C8 00 1510 JMP XMIT 0061 FE 04 1520 WRD4 CPI 004Q WORD4 (HD, TD ...is made by typing (tl, is th, v,.’tii unI ilt o’r ’(:A)I) 8300l:. 0KI . CONTROL MI’H tO ie SVSten rsponds with ’>’, the file PRINT. can t’ examined...hy pro( tss rig the radar ficId tapc DR friIitt td tap s ,ire. aiss i ’d ti ( Fo1rt ran Iop.i I unit I. fhese tjes ire hi r i 1111 ( , )It Int i [Io

  15. Design of launch systems using continuous improvement process

    NASA Technical Reports Server (NTRS)

    Brown, Richard W.

    1995-01-01

    The purpose of this paper is to identify a systematic process for improving ground operations for future launch systems. This approach is based on the Total Quality Management (TQM) continuous improvement process. While the continuous improvement process is normally identified with making incremental changes to an existing system, it can be used on new systems if they use past experience as a knowledge base. In the case of the Reusable Launch Vehicle (RLV), the Space Shuttle operations provide many lessons. The TQM methodology used for this paper will be borrowed from the United States Air Force 'Quality Air Force' Program. There is a general overview of the continuous improvement process, with concentration on the formulation phase. During this phase critical analyses are conducted to determine the strategy and goals for the remaining development process. These analyses include analyzing the mission from the customers point of view, developing an operations concept for the future, assessing current capabilities and determining the gap to be closed between current capabilities and future needs and requirements. A brief analyses of the RLV, relative to the Space Shuttle, will be used to illustrate the concept. Using the continuous improvement design concept has many advantages. These include a customer oriented process which will develop a more marketable product and a better integration of operations and systems during the design phase. But, the use of TQM techniques will require changes, including more discipline in the design process and more emphasis on data gathering for operational systems. The benefits will far outweigh the additional effort.

  16. 75 FR 25815 - Disadvantaged Business Enterprise: Program Improvements

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-05-10

    ... extent, the purpose of the three-year goal submission interval. We anticipate that this approach would be... approaches to making inflationary adjustments. The Department seeks comment on whether the straight-line CPI approach used in the NPRM is appropriate, or whether there are other approaches or techniques that would be...

  17. A New Sugarcane Cystatin Strongly Binds to Dental Enamel and Reduces Erosion.

    PubMed

    Santiago, A C; Khan, Z N; Miguel, M C; Gironda, C C; Soares-Costa, A; Pelá, V T; Leite, A L; Edwardson, J M; Buzalaf, M A R; Henrique-Silva, F

    2017-08-01

    Cystatin B was recently identified as an acid-resistant protein in acquired enamel pellicle; it could therefore be included in oral products to protect against caries and erosion. However, human recombinant cystatin is very expensive, and alternatives to its use are necessary. Phytocystatins are reversible inhibitors of cysteine peptidases that are found naturally in plants. In plants, they have several biological and physiological functions, such as the regulation of endogenous processes, defense against pathogens, and response to abiotic stress. Previous studies performed by our research group have reported high inhibitory activity and potential agricultural and medical applications of several sugarcane cystatins, including CaneCPI-1, CaneCPI-2, CaneCPI-3, and CaneCPI-4. In the present study, we report the characterization of a novel sugarcane cystatin, named CaneCPI-5. This cystatin was efficiently expressed in Escherichia coli, and inhibitory assays demonstrated that it was a potent inhibitor of human cathepsins B, K, and L ( K i = 6.87, 0.49, and 0.34 nM, respectively). The ability of CaneCPI-5 to bind to dental enamel was evaluated using atomic force microscopy. Its capacity to protect against initial enamel erosion was also tested in vitro via changes in surface hardness. CaneCPI-5 showed a very large force of interaction with enamel (e.g., compared with mucin and casein) and significantly reduced initial enamel erosion. These results suggest that the inclusion of CaneCPIs in dental products might confer protection against enamel erosion.

  18. Improving the claims process with EDI.

    PubMed

    Moynihan, J J

    1993-01-01

    Electronic data interchange (EDI) is redefining the healthcare claims process. The traditional managerial approach to claims processing emphasizes information flow within the patient accounting department and between patient accounting and other departments. EDI enlarges the scope of the claims process to include information exchange between providers and payers. Using EDI to improve both external and internal information exchange makes the claims process more efficient and less expensive. This article is excerpted from "The Healthcare Financial Manager's Guide to Healthcare EDI," by James J. Moynihan, published by the Healthcare Financial Management Association.

  19. Customers First: Using Process Improvement To Improve Service Quality and Efficiency.

    ERIC Educational Resources Information Center

    Larson, Catherine A.

    1998-01-01

    Describes steps in a process-improvement project for reserve book services at the University of Arizona Library: (1) plan--identify process boundaries and customer requirements, gather/analyze data, prioritize problems; (2) do--encourage divergent thinking, reach convergent thinking, find solutions; (3) check--pilot solutions, compare costs; and…

  20. Ventilation equations for improved exothermic process control.

    PubMed

    McKernan, John L; Ellenbecker, Michael J

    2007-04-01

    Exothermic or heated processes create potentially unsafe work environments for an estimated 5-10 million American workers each year. Excessive heat and process contaminants have the potential to cause acute health effects such as heat stroke, and chronic effects such as manganism in welders. Although millions of workers are exposed to exothermic processes, insufficient attention has been given to continuously improving engineering technologies for these processes to provide effective and efficient control. Currently there is no specific occupational standard established by OSHA regarding exposure to heat from exothermic processes, therefore it is important to investigate techniques that can mitigate known and potential adverse occupational health effects. The current understanding of engineering controls for exothermic processes is primarily based on a book chapter written by W. C. L. Hemeon in 1955. Improvements in heat transfer and meteorological theory necessary to design improved process controls have occurred since this time. The research presented involved a review of the physical properties, heat transfer and meteorological theories governing buoyant air flow created by exothermic processes. These properties and theories were used to identify parameters and develop equations required for the determination of buoyant volumetric flow to assist in improving ventilation controls. Goals of this research were to develop and describe a new (i.e. proposed) flow equation, and compare it to currently accepted ones by Hemeon and the American Conference of Governmental Industrial Hygienists (ACGIH). Numerical assessments were conducted to compare solutions from the proposed equations for plume area, mean velocity and flow to those from the ACGIH and Hemeon. Parameters were varied for the dependent variables and solutions from the proposed, ACGIH, and Hemeon equations for plume area, mean velocity and flow were analyzed using a randomized complete block statistical

  1. Process capability improvement through DMAIC for aluminum alloy wheel machining

    NASA Astrophysics Data System (ADS)

    Sharma, G. V. S. S.; Rao, P. Srinivasa; Babu, B. Surendra

    2017-07-01

    This paper first enlists the generic problems of alloy wheel machining and subsequently details on the process improvement of the identified critical-to-quality machining characteristic of A356 aluminum alloy wheel machining process. The causal factors are traced using the Ishikawa diagram and prioritization of corrective actions is done through process failure modes and effects analysis. Process monitoring charts are employed for improving the process capability index of the process, at the industrial benchmark of four sigma level, which is equal to the value of 1.33. The procedure adopted for improving the process capability levels is the define-measure-analyze-improve-control (DMAIC) approach. By following the DMAIC approach, the C p, C pk and C pm showed signs of improvement from an initial value of 0.66, -0.24 and 0.27, to a final value of 4.19, 3.24 and 1.41, respectively.

  2. Process improvement as an investment: Measuring its worth

    NASA Technical Reports Server (NTRS)

    Mcgarry, Frank; Jeletic, Kellyann

    1993-01-01

    This paper discusses return on investment (ROI) generated from software process improvement programs. It details the steps needed to compute ROI and compares these steps from the perspective of two process improvement approaches: the widely known Software Engineering Institute's capability maturity model and the approach employed by NASA's Software Engineering Laboratory (SEL). The paper then describes the specific investments made in the SEL over the past 18 years and discusses the improvements gained from this investment by the production organization in the SEL.

  3. The use of process mapping in healthcare quality improvement projects.

    PubMed

    Antonacci, Grazia; Reed, Julie E; Lennox, Laura; Barlow, James

    2018-05-01

    Introduction Process mapping provides insight into systems and processes in which improvement interventions are introduced and is seen as useful in healthcare quality improvement projects. There is little empirical evidence on the use of process mapping in healthcare practice. This study advances understanding of the benefits and success factors of process mapping within quality improvement projects. Methods Eight quality improvement projects were purposively selected from different healthcare settings within the UK's National Health Service. Data were gathered from multiple data-sources, including interviews exploring participants' experience of using process mapping in their projects and perceptions of benefits and challenges related to its use. These were analysed using inductive analysis. Results Eight key benefits related to process mapping use were reported by participants (gathering a shared understanding of the reality; identifying improvement opportunities; engaging stakeholders in the project; defining project's objectives; monitoring project progress; learning; increased empathy; simplicity of the method) and five factors related to successful process mapping exercises (simple and appropriate visual representation, information gathered from multiple stakeholders, facilitator's experience and soft skills, basic training, iterative use of process mapping throughout the project). Conclusions Findings highlight benefits and versatility of process mapping and provide practical suggestions to improve its use in practice.

  4. A Mixed-Methods Research Framework for Healthcare Process Improvement.

    PubMed

    Bastian, Nathaniel D; Munoz, David; Ventura, Marta

    2016-01-01

    The healthcare system in the United States is spiraling out of control due to ever-increasing costs without significant improvements in quality, access to care, satisfaction, and efficiency. Efficient workflow is paramount to improving healthcare value while maintaining the utmost standards of patient care and provider satisfaction in high stress environments. This article provides healthcare managers and quality engineers with a practical healthcare process improvement framework to assess, measure and improve clinical workflow processes. The proposed mixed-methods research framework integrates qualitative and quantitative tools to foster the improvement of processes and workflow in a systematic way. The framework consists of three distinct phases: 1) stakeholder analysis, 2a) survey design, 2b) time-motion study, and 3) process improvement. The proposed framework is applied to the pediatric intensive care unit of the Penn State Hershey Children's Hospital. The implementation of this methodology led to identification and categorization of different workflow tasks and activities into both value-added and non-value added in an effort to provide more valuable and higher quality patient care. Based upon the lessons learned from the case study, the three-phase methodology provides a better, broader, leaner, and holistic assessment of clinical workflow. The proposed framework can be implemented in various healthcare settings to support continuous improvement efforts in which complexity is a daily element that impacts workflow. We proffer a general methodology for process improvement in a healthcare setting, providing decision makers and stakeholders with a useful framework to help their organizations improve efficiency. Published by Elsevier Inc.

  5. Image processing for improved eye-tracking accuracy

    NASA Technical Reports Server (NTRS)

    Mulligan, J. B.; Watson, A. B. (Principal Investigator)

    1997-01-01

    Video cameras provide a simple, noninvasive method for monitoring a subject's eye movements. An important concept is that of the resolution of the system, which is the smallest eye movement that can be reliably detected. While hardware systems are available that estimate direction of gaze in real-time from a video image of the pupil, such systems must limit image processing to attain real-time performance and are limited to a resolution of about 10 arc minutes. Two ways to improve resolution are discussed. The first is to improve the image processing algorithms that are used to derive an estimate. Off-line analysis of the data can improve resolution by at least one order of magnitude for images of the pupil. A second avenue by which to improve resolution is to increase the optical gain of the imaging setup (i.e., the amount of image motion produced by a given eye rotation). Ophthalmoscopic imaging of retinal blood vessels provides increased optical gain and improved immunity to small head movements but requires a highly sensitive camera. The large number of images involved in a typical experiment imposes great demands on the storage, handling, and processing of data. A major bottleneck had been the real-time digitization and storage of large amounts of video imagery, but recent developments in video compression hardware have made this problem tractable at a reasonable cost. Images of both the retina and the pupil can be analyzed successfully using a basic toolbox of image-processing routines (filtering, correlation, thresholding, etc.), which are, for the most part, well suited to implementation on vectorizing supercomputers.

  6. Improving operational anodising process performance using simulation approach

    NASA Astrophysics Data System (ADS)

    Liong, Choong-Yeun; Ghazali, Syarah Syahidah

    2015-10-01

    The use of aluminium is very widespread, especially in transportation, electrical and electronics, architectural, automotive and engineering applications sectors. Therefore, the anodizing process is an important process for aluminium in order to make the aluminium durable, attractive and weather resistant. This research is focused on the anodizing process operations in manufacturing and supplying of aluminium extrusion. The data required for the development of the model is collected from the observations and interviews conducted in the study. To study the current system, the processes involved in the anodizing process are modeled by using Arena 14.5 simulation software. Those processes consist of five main processes, namely the degreasing process, the etching process, the desmut process, the anodizing process, the sealing process and 16 other processes. The results obtained were analyzed to identify the problems or bottlenecks that occurred and to propose improvement methods that can be implemented on the original model. Based on the comparisons that have been done between the improvement methods, the productivity could be increased by reallocating the workers and reducing loading time.

  7. Improving operational anodising process performance using simulation approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liong, Choong-Yeun, E-mail: lg@ukm.edu.my; Ghazali, Syarah Syahidah, E-mail: syarah@gapps.kptm.edu.my

    The use of aluminium is very widespread, especially in transportation, electrical and electronics, architectural, automotive and engineering applications sectors. Therefore, the anodizing process is an important process for aluminium in order to make the aluminium durable, attractive and weather resistant. This research is focused on the anodizing process operations in manufacturing and supplying of aluminium extrusion. The data required for the development of the model is collected from the observations and interviews conducted in the study. To study the current system, the processes involved in the anodizing process are modeled by using Arena 14.5 simulation software. Those processes consist ofmore » five main processes, namely the degreasing process, the etching process, the desmut process, the anodizing process, the sealing process and 16 other processes. The results obtained were analyzed to identify the problems or bottlenecks that occurred and to propose improvement methods that can be implemented on the original model. Based on the comparisons that have been done between the improvement methods, the productivity could be increased by reallocating the workers and reducing loading time.« less

  8. Optimization and Improvement of Test Processes on a Production Line

    NASA Astrophysics Data System (ADS)

    Sujová, Erika; Čierna, Helena

    2018-06-01

    The paper deals with increasing processes efficiency at a production line of cylinder heads of engines in a production company operating in the automotive industry. The goal is to achieve improvement and optimization of test processes on a production line. It analyzes options for improving capacity, availability and productivity of processes of an output test by using modern technology available on the market. We have focused on analysis of operation times before and after optimization of test processes at specific production sections. By analyzing measured results we have determined differences in time before and after improvement of the process. We have determined a coefficient of efficiency OEE and by comparing outputs we have confirmed real improvement of the process of the output test of cylinder heads.

  9. A Total Quality Leadership Process Improvement Model

    DTIC Science & Technology

    1993-12-01

    Leadership Process Improvement Model by Archester Houston, Ph.D. and Steven L. Dockstader, Ph.D. DTICS ELECTE tleaese oand sale itsFeat ben proe 94-12058...tTl ’AND SIATE COVERID0 Z lits Z40 uerI’Ll12/93 IFinalS.FNR IM F A Total Quality Leadership Process Improvement Model M ARRhOW~ Archester Houston, Ph.D...and Steven L. Dockstader, Ph.D. ?. 7PEJORMING ORG-AN1:AION NAMEIS) AND 00-RESS(ES) L PERFORMIN4 ORAINIZATION Total Quality Leadership OfficeREOTNMR

  10. Evaluating an online pharmaceutical education system for pharmacy interns in critical care settings.

    PubMed

    Yeh, Yu-Ting; Chen, Hsiang-Yin; Cheng, Kuei-Ju; Hou, Ssu-An; Yen, Yu-Hsuan; Liu, Chien-Tsai

    2014-02-01

    Incorporating electronic learning (eLearning) system into professional experimental programs such as pharmacy internships is a challenge. However, none of the current systems can fully support the unique needs of clinical pharmacy internship. In this study we enhanced a commercial eLearning system for clinical pharmacy internship (The Clinical Pharmacy Internship eLearning System, CPIES). The KAP questionnaire was used to evaluate the performance of group A with the traditional teaching model and group B with the CPIES teaching model. The CPIES teaching model showed significant improvement in interns' knowledge and practice (p = 0.002 and 0.031, respectively). The traditional teaching model only demonstrated significant improvement in practice (p = 0.011). Moreover, professionalism, such as attitudes on cooperating with other health professionals, is developed by learning from a good mentor. The on-line teaching and traditional teaching methods should undoubtedly be blended in a complete teaching model in order to improve learners' professional knowledge, facilitate correct attitude, and influence good practice. Copyright © 2013 Elsevier Ireland Ltd. All rights reserved.

  11. Added prognostic value of ischaemic threshold in radionuclide myocardial perfusion imaging: a common-sense integration of exercise tolerance and ischaemia severity.

    PubMed

    Marini, Cecilia; Acampa, Wanda; Bauckneht, Matteo; Daniele, Stefania; Capitanio, Selene; Cantoni, Valeria; Fiz, Francesco; Zampella, Emilia; Dib, Bassam; Assante, Roberta; Bruzzi, Paolo; Sambuceti, Gianmario; Cuocolo, Alberto

    2015-04-01

    Reversible ischaemia at radionuclide myocardial perfusion imaging (MPI) accurately predicts risk of cardiac death and nonfatal myocardial infarction (major adverse cardiac events, MACE). This prognostic penetrance might be empowered by accounting for exercise tolerance as an indirect index of ischaemia severity. The present study aimed to verify this hypothesis integrating imaging assessment of ischaemia severity with exercise maximal rate pressure product (RPP) in a large cohort of patients with suspected or known coronary artery disease (CAD). We analysed 1,502 consecutive patients (1,014 men aged 59 ± 10 years) submitted to exercise stress/rest MPI. To account for exercise tolerance, the summed difference score (SDS) was divided by RPP at tracer injection providing a clinical prognostic index (CPI). Reversible ischaemia was documented in 357 patients (24 %) and was classified by SDS as mild (SDS 2-4) in 180, moderate (SDS 5-7) in 118 and severe (SDS >7) in 59. CPI values of ischaemic patients were clustered into tertiles with lowest and highest values indicating low and high risk, respectively. CPI modified SDS risk prediction in 119/357 (33 %) patients. During a 60-month follow-up, MACE occurred in 68 patients. Kaplan-Meier analysis revealed that CPI significantly improved predictive power for MACE incidence with respect to SDS alone. Multivariate Cox analysis confirmed the additive independent value of CPI-derived information. Integration of ischaemic threshold and ischaemia extension and severity can improve accuracy of exercise MPI in predicting long-term outcome in a large cohort of patients with suspected or known CAD.

  12. Effects of a Randomized Comprehensive Psychosocial Intervention Based on Cognitive Behavioral Therapy Theory and Motivational Interviewing Techniques for Community Rehabilitation of Patients With Opioid Use Disorders in Shanghai, China.

    PubMed

    Zhong, Na; Yuan, Ying; Chen, Hanhui; Jiang, Haifeng; Du, Jiang; Sun, Haiming; Hao, Wei; Zhao, Min

    2015-01-01

    China is faced with the challenge of community rehabilitation of persons with opioid use disorders. A 1-year comprehensive psychosocial intervention (CPI) was developed, and its effectiveness was assessed in terms of its ability to improve community rehabilitation of persons with opioid use disorders after their release from detention in compulsory treatment centers in Shanghai, China. Participants were randomized to the CPI (n = 90) condition or the usual community care (UCC, n = 90) as a control condition. The Addiction Severity Index, Symptom Checklist-90, and Medical Outcomes Study 36-Item Short Form Health Survey were administered at baseline and at the end of the intervention. Urine screens were used to increase the validity of self-reported drug use. Compared with the UCC group at follow-up, the CPI group showed lower scores in 6 dimensions of the Symptom Checklist-90: somatization, obsessive-compulsive, anxiety, phobia-anxiety, paranoia, and psychoticism. Members of the CPI group had higher scores in 2 dimensions of the 36-Item Short Form Health Survey—physical role limitation and emotional role limitation—compared with the UCC group (P < 0.05). A logistic regression analysis revealed that phobia-anxiety, lifetime heroin or amphetamine use, and injection drug use were risk factors of relapse, but differences were not found in drug urine test or self-report drug use between the 2 groups (P > 0.05). The CPI condition improved participants' mental health and quality of life, and it could be a promising community rehabilitation approach for patients with opioid use disorders in recovery.

  13. Degradation of Misfolded Endoplasmic Reticulum Glycoproteins in Saccharomyces cerevisiae Is Determined by a Specific Oligosaccharide Structure

    PubMed Central

    Jakob, Claude A.; Burda, Patricie; Roth, Jürgen; Aebi, Markus

    1998-01-01

    In Saccharomyces cerevisiae, transfer of N-linked oligosaccharides is immediately followed by trimming of ER-localized glycosidases. We analyzed the influence of specific oligosaccharide structures for degradation of misfolded carboxypeptidase Y (CPY). By studying the trimming reactions in vivo, we found that removal of the terminal α1,2 glucose and the first α1,3 glucose by glucosidase I and glucosidase II respectively, occurred rapidly, whereas mannose cleavage by mannosidase I was slow. Transport and maturation of correctly folded CPY was not dependent on oligosaccharide structure. However, degradation of misfolded CPY was dependent on specific trimming steps. Degradation of misfolded CPY with N-linked oligosaccharides containing glucose residues was less efficient compared with misfolded CPY bearing the correctly trimmed Man8GlcNAc2 oligosaccharide. Reduced rate of degradation was mainly observed for mis- folded CPY bearing Man6GlcNAc2, Man7GlcNAc2 and Man9GlcNAc2 oligosaccharides, whereas Man8GlcNAc2 and, to a lesser extent, Man5GlcNAc2 oligosaccharides supported degradation. These results suggest a role for the Man8GlcNAc2 oligosaccharide in the degradation process. They may indicate the presence of a Man8GlcNAc2-binding lectin involved in targeting of misfolded glycoproteins to degradation in S. cerevisiae. PMID:9732283

  14. Improving the medical records department processes by lean management

    PubMed Central

    Ajami, Sima; Ketabi, Saeedeh; Sadeghian, Akram; Saghaeinnejad-Isfahani, Sakine

    2015-01-01

    Background: Lean management is a process improvement technique to identify waste actions and processes to eliminate them. The benefits of Lean for healthcare organizations are that first, the quality of the outcomes in terms of mistakes and errors improves. The second is that the amount of time taken through the whole process significantly improves. Aims: The purpose of this paper is to improve the Medical Records Department (MRD) processes at Ayatolah-Kashani Hospital in Isfahan, Iran by utilizing Lean management. Materials and Methods: This research was applied and an interventional study. The data have been collected by brainstorming, observation, interview, and workflow review. The study population included MRD staff and other expert staff within the hospital who were stakeholders and users of the MRD. Statistical Analysis Used: The MRD were initially taught the concepts of Lean management and then formed into the MRD Lean team. The team then identified and reviewed the current processes subsequently; they identified wastes and values, and proposed solutions. Results: The findings showed that the MRD units (Archive, Coding, Statistics, and Admission) had 17 current processes, 28 wastes, and 11 values were identified. In addition, they offered 27 comments for eliminating the wastes. Conclusion: The MRD is the critical department for the hospital information system and, therefore, the continuous improvement of its services and processes, through scientific methods such as Lean management, are essential. Originality/Value: The study represents one of the few attempts trying to eliminate wastes in the MRD. PMID:26097862

  15. Improving the medical records department processes by lean management.

    PubMed

    Ajami, Sima; Ketabi, Saeedeh; Sadeghian, Akram; Saghaeinnejad-Isfahani, Sakine

    2015-01-01

    Lean management is a process improvement technique to identify waste actions and processes to eliminate them. The benefits of Lean for healthcare organizations are that first, the quality of the outcomes in terms of mistakes and errors improves. The second is that the amount of time taken through the whole process significantly improves. The purpose of this paper is to improve the Medical Records Department (MRD) processes at Ayatolah-Kashani Hospital in Isfahan, Iran by utilizing Lean management. This research was applied and an interventional study. The data have been collected by brainstorming, observation, interview, and workflow review. The study population included MRD staff and other expert staff within the hospital who were stakeholders and users of the MRD. The MRD were initially taught the concepts of Lean management and then formed into the MRD Lean team. The team then identified and reviewed the current processes subsequently; they identified wastes and values, and proposed solutions. The findings showed that the MRD units (Archive, Coding, Statistics, and Admission) had 17 current processes, 28 wastes, and 11 values were identified. In addition, they offered 27 comments for eliminating the wastes. The MRD is the critical department for the hospital information system and, therefore, the continuous improvement of its services and processes, through scientific methods such as Lean management, are essential. The study represents one of the few attempts trying to eliminate wastes in the MRD.

  16. A vacuolar carboxypeptidase mutant of Arabidopsis thaliana is degraded by the ERAD pathway independently of its N-glycan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamamoto, Masaya; Kawanabe, Mitsuyoshi; Hayashi, Yoko

    2010-03-12

    Misfolded proteins produced in the endoplasmic reticulum (ER) are degraded by a mechanism, the ER-associated degradation (ERAD). Here we report establishment of the experimental system to analyze the ERAD in plant cells. Carboxypeptidase Y (CPY) is a vacuolar enzyme and its mutant CPY* is degraded by the ERAD in yeast. Since Arabidopsis thaliana has AtCPY, an ortholog of yeast CPY, we constructed and expressed fusion proteins consisting of AtCPY and GFP and of AtCPY*, which carries a mutation homologous to yeast CPY*, and GFP in A. thaliana cells. While AtCPY-GFP was efficiently transported to the vacuole, AtCPY*-GFP was retained inmore » the ER to be degraded in proteasome- and Cdc48-dependent manners. We also found that AtCPY*-GFP was degraded by the ERAD in yeast cells, but that its single N-glycan did not function as a degradation signal in yeast or plant cells. Therefore, AtCPY*-GFP can be used as a marker protein to analyze the ERAD pathway, likely for nonglycosylated substrates, in plant cells.« less

  17. Development and Processing Improvement of Aerospace Aluminum Alloys

    NASA Technical Reports Server (NTRS)

    Lisagor, W. Barry; Bales, Thomas T.

    2007-01-01

    This final report, in multiple presentation format, describes a comprehensive multi-tasked contract study to improve the overall property response of selected aerospace alloys, explore further a newly-developed and registered alloy, and correlate the processing, metallurgical structure, and subsequent properties achieved with particular emphasis on the crystallographic orientation texture developed. Modifications to plate processing, specifically hot rolling practices, were evaluated for Al-Li alloys 2195 and 2297, for the recently registered Al-Cu-Ag alloy, 2139, and for the Al-Zn-Mg-Cu alloy, 7050. For all of the alloys evaluated, the processing modifications resulted in significant improvements in mechanical properties. Analyses also resulted in an enhanced understanding of the correlation of processing, crystallographic texture, and mechanical properties.

  18. Design of production process main shaft process with lean manufacturing to improve productivity

    NASA Astrophysics Data System (ADS)

    Siregar, I.; Nasution, A. A.; Andayani, U.; Anizar; Syahputri, K.

    2018-02-01

    This object research is one of manufacturing companies that produce oil palm machinery parts. In the production process there is delay in the completion of the Main shaft order. Delays in the completion of the order indicate the low productivity of the company in terms of resource utilization. This study aimed to obtain a draft improvement of production processes that can improve productivity by identifying and eliminating activities that do not add value (non-value added activity). One approach that can be used to reduce and eliminate non-value added activity is Lean Manufacturing. This study focuses on the identification of non-value added activity with value stream mapping analysis tools, while the elimination of non-value added activity is done with tools 5 whys and implementation of pull demand system. Based on the research known that non-value added activity on the production process of the main shaft is 9,509.51 minutes of total lead time 10,804.59 minutes. This shows the level of efficiency (Process Cycle Efficiency) in the production process of the main shaft is still very low by 11.89%. Estimation results of improvement showed a decrease in total lead time became 4,355.08 minutes and greater process cycle efficiency that is equal to 29.73%, which indicates that the process was nearing the concept of lean production.

  19. Inflation and the Capital Budgeting Process.

    DTIC Science & Technology

    1985-04-01

    model . [10:22] Friend, Landskroner and Losq assert that the traditional capital asset pricing model *( CAPM ...value (NPV) capital budgeting model is used extensively in this report and the Consumer Price Index - Urban (CPI-U) and the Wholesale Price Index (WPI...general price level adjustments into the capital budgeting model . The consideration of inflation risk is also warranted. The effects of inflation

  20. Evaluating Fidelity to a Modified NIATx Process Improvement Strategy for Improving HIV Services in Correctional Facilities.

    PubMed

    Pankow, Jennifer; Willett, Jennifer; Yang, Yang; Swan, Holly; Dembo, Richard; Burdon, William M; Patterson, Yvonne; Pearson, Frank S; Belenko, Steven; Frisman, Linda K

    2018-04-01

    In a study aimed at improving the quality of HIV services for inmates, an organizational process improvement strategy using change teams was tested in 14 correctional facilities in 8 US states and Puerto Rico. Data to examine fidelity to the process improvement strategy consisted of quantitative ratings of the structural and process components of the strategy and qualitative notes that explicate challenges in maintaining fidelity to the strategy. Fidelity challenges included (1) lack of communication and leadership within change teams, (2) instability in team membership, and (3) issues with data utilization in decision-making to implement improvements to services delivery.

  1. Improving reliability of a residency interview process.

    PubMed

    Peeters, Michael J; Serres, Michelle L; Gundrum, Todd E

    2013-10-14

    To improve the reliability and discrimination of a pharmacy resident interview evaluation form, and thereby improve the reliability of the interview process. In phase 1 of the study, authors used a Many-Facet Rasch Measurement model to optimize an existing evaluation form for reliability and discrimination. In phase 2, interviewer pairs used the modified evaluation form within 4 separate interview stations. In phase 3, 8 interviewers individually-evaluated each candidate in one-on-one interviews. In phase 1, the evaluation form had a reliability of 0.98 with person separation of 6.56; reproducibly, the form separated applicants into 6 distinct groups. Using that form in phase 2 and 3, our largest variation source was candidates, while content specificity was the next largest variation source. The phase 2 g-coefficient was 0.787, while confirmatory phase 3 was 0.922. Process reliability improved with more stations despite fewer interviewers per station-impact of content specificity was greatly reduced with more interview stations. A more reliable, discriminating evaluation form was developed to evaluate candidates during resident interviews, and a process was designed that reduced the impact from content specificity.

  2. Improving Reliability of a Residency Interview Process

    PubMed Central

    Serres, Michelle L.; Gundrum, Todd E.

    2013-01-01

    Objective. To improve the reliability and discrimination of a pharmacy resident interview evaluation form, and thereby improve the reliability of the interview process. Methods. In phase 1 of the study, authors used a Many-Facet Rasch Measurement model to optimize an existing evaluation form for reliability and discrimination. In phase 2, interviewer pairs used the modified evaluation form within 4 separate interview stations. In phase 3, 8 interviewers individually-evaluated each candidate in one-on-one interviews. Results. In phase 1, the evaluation form had a reliability of 0.98 with person separation of 6.56; reproducibly, the form separated applicants into 6 distinct groups. Using that form in phase 2 and 3, our largest variation source was candidates, while content specificity was the next largest variation source. The phase 2 g-coefficient was 0.787, while confirmatory phase 3 was 0.922. Process reliability improved with more stations despite fewer interviewers per station—impact of content specificity was greatly reduced with more interview stations. Conclusion. A more reliable, discriminating evaluation form was developed to evaluate candidates during resident interviews, and a process was designed that reduced the impact from content specificity. PMID:24159209

  3. Environmental Data Flow Six Sigma Process Improvement Savings Overview

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paige, Karen S

    An overview of the Environmental Data Flow Six Sigma improvement project covers LANL’s environmental data processing following receipt from the analytical laboratories. The Six Sigma project identified thirty-three process improvements, many of which focused on cutting costs or reducing the time it took to deliver data to clients.

  4. IMPROVED PROCESS OF PLUTONIUM CARRIER PRECIPITATION

    DOEpatents

    Faris, B.F.

    1959-06-30

    This patent relates to an improvement in the bismuth phosphate process for separating and recovering plutonium from neutron irradiated uranium, resulting in improved decontamination even without the use of scavenging precipitates in the by-product precipitation step and subsequently more complete recovery of the plutonium in the product precipitation step. This improvement is achieved by addition of fluomolybdic acid, or a water soluble fluomolybdate, such as the ammonium, sodium, or potassium salt thereof, to the aqueous nitric acid solution containing tetravalent plutonium ions and contaminating fission products, so as to establish a fluomolybdate ion concentration of about 0.05 M. The solution is then treated to form the bismuth phosphate plutonium carrying precipitate.

  5. Process Improvement in a Radically Changing Organization

    NASA Technical Reports Server (NTRS)

    Varga, Denise M.; Wilson, Barbara M.

    2007-01-01

    This presentation describes how the NASA Glenn Research Center planned and implemented a process improvement effort in response to a radically changing environment. As a result of a presidential decision to redefine the Agency's mission, many ongoing projects were canceled and future workload would be awarded based on relevance to the Exploration Initiative. NASA imposed a new Procedural Requirements standard on all future software development, and the Center needed to redesign its processes from CMM Level 2 objectives to meet the new standard and position itself for CMMI. The intended audience for this presentation is systems/software developers and managers in a large, research-oriented organization that may need to respond to imposed standards while also pursuing CMMI Maturity Level goals. A set of internally developed tools will be presented, including an overall Process Improvement Action Item database, a formal inspection/peer review tool, metrics collection spreadsheet, and other related technologies. The Center also found a need to charter Technical Working Groups (TWGs) to address particular Process Areas. In addition, a Marketing TWG was needed to communicate the process changes to the development community, including an innovative web site portal.

  6. Positive affect improves working memory: implications for controlled cognitive processing.

    PubMed

    Yang, Hwajin; Yang, Sujin; Isen, Alice M

    2013-01-01

    This study examined the effects of positive affect on working memory (WM) and short-term memory (STM). Given that WM involves both storage and controlled processing and that STM primarily involves storage processing, we hypothesised that if positive affect facilitates controlled processing, it should improve WM more than STM. The results demonstrated that positive affect, compared with neutral affect, significantly enhanced WM, as measured by the operation span task. The influence of positive affect on STM, however, was weaker. These results suggest that positive affect enhances WM, a task that involves controlled processing, not just storage processing. Additional analyses of recall and processing times and accuracy further suggest that improved WM under positive affect is not attributable to motivational differences, but results instead from improved controlled cognitive processing.

  7. Organizational management practices for achieving software process improvement

    NASA Technical Reports Server (NTRS)

    Kandt, Ronald Kirk

    2004-01-01

    The crisis in developing software has been known for over thirty years. Problems that existed in developing software in the early days of computing still exist today. These problems include the delivery of low-quality products, actual development costs that exceed expected development costs, and actual development time that exceeds expected development time. Several solutions have been offered to overcome out inability to deliver high-quality software, on-time and within budget. One of these solutions involves software process improvement. However, such efforts often fail because of organizational management issues. This paper discusses business practices that organizations should follow to improve their chances of initiating and sustaining successful software process improvement efforts.

  8. Process-Improvement Cost Model for the Emergency Department.

    PubMed

    Dyas, Sheila R; Greenfield, Eric; Messimer, Sherri; Thotakura, Swati; Gholston, Sampson; Doughty, Tracy; Hays, Mary; Ivey, Richard; Spalding, Joseph; Phillips, Robin

    2015-01-01

    The objective of this report is to present a simplified, activity-based costing approach for hospital emergency departments (EDs) to use with Lean Six Sigma cost-benefit analyses. The cost model complexity is reduced by removing diagnostic and condition-specific costs, thereby revealing the underlying process activities' cost inefficiencies. Examples are provided for evaluating the cost savings from reducing discharge delays and the cost impact of keeping patients in the ED (boarding) after the decision to admit has been made. The process-improvement cost model provides a needed tool in selecting, prioritizing, and validating Lean process-improvement projects in the ED and other areas of patient care that involve multiple dissimilar diagnoses.

  9. CrossTalk: The Journal of Defense Software Engineering. Volume 23, Number 1, Jan/Feb 2010

    DTIC Science & Technology

    2010-02-01

    during implementation have been minimal, but Warren and Abler’s method may change that. by Ron Abler and Ted Warren CMMI, Swiss Cheese , and Pareto...Corbin’s case study of a CMMI appraisal preparation—including Alan Lakein’s “ Swiss Cheese Method” and the Pareto Principle—shows a way other...Stealth CPI: Managing Work Products to Achieve Continuous Process Improvement. Darrell Corbin’s CMMI, Swiss Cheese , and Pareto comes to us from an

  10. Fully transparent and rollable electronics.

    PubMed

    Mativenga, Mallory; Geng, Di; Kim, Byungsoon; Jang, Jin

    2015-01-28

    Major obstacles toward the manufacture of transparent and flexible display screens include the difficulty of finding transparent and flexible semiconductors and electrodes, temperature restrictions of flexible plastic substrates, and bulging or warping of the flexible electronics during processing. Here we report the fabrication and performance of fully transparent and rollable thin-film transistor (TFT) circuits for display applications. The TFTs employ an amorphous indium-gallium-zinc oxide semiconductor (with optical band gap of 3.1 eV) and amorphous indium-zinc oxide transparent conductive electrodes, and are built on 15-μm-thick solution-processed colorless polyimide (CPI), resulting in optical transmittance >70% in the visible range. As the CPI supports processing temperatures >300 °C, TFT performance on plastic is similar to that on glass, with typical field-effect mobility, turn-on voltage, and subthreshold voltage swing of 12.7 ± 0.5 cm(2)/V·s, -1.7 ± 0.2 V, and 160 ± 29 mV/dec, respectively. There is no significant degradation after rolling the TFTs 100 times on a cylinder with a radius of 4 mm or when shift registers, each consisting of 40 TFTs, are operated while bent to a radius of 2 mm. For handling purposes, carrier glass is used during fabrication, together with a very thin (∼1 nm) solution-processed carbon nanotube (CNT)/graphene oxide (GO) backbone that is first spin-coated on the glass to decrease adhesion of the CPI to the glass; peel strength of the CPI from glass decreases from 0.43 to 0.10 N/cm, which eases the process of detachment performed after device fabrication. Given that the CNT/GO remains embedded under the CPI after detachment, it minimizes wrinkling and decreases the substrate's tensile elongation from 8.0% to 4.6%. Device performance is also stable under electrostatic discharge exposures up to 10 kV, as electrostatic charge can be released via the conducting CNTs.

  11. Lean methodology for performance improvement in the trauma discharge process.

    PubMed

    O'Mara, Michael Shaymus; Ramaniuk, Aliaksandr; Graymire, Vickie; Rozzell, Monica; Martin, Stacey

    2014-07-01

    High-volume, complex services such as trauma and acute care surgery are at risk for inefficiency. Lean process improvement can reduce health care waste. Lean allows a structured look at processes not easily amenable to analysis. We applied lean methodology to the current state of communication and discharge planning on an urban trauma service, citing areas for improvement. A lean process mapping event was held. The process map was used to identify areas for immediate analysis and intervention-defining metrics for the stakeholders. After intervention, new performance was assessed by direct data evaluation. The process was completed with an analysis of effect and plans made for addressing future focus areas. The primary area of concern identified was interservice communication. Changes centering on a standardized morning report structure reduced the number of consult questions unanswered from 67% to 34% (p = 0.0021). Physical therapy rework was reduced from 35% to 19% (p = 0.016). Patients admitted to units not designated to the trauma service had 1.6 times longer stays (p < 0.0001). The lean process lasted 8 months, and three areas for new improvement were identified: (1) the off-unit patients; (2) patients with length of stay more than 15 days contribute disproportionately to length of stay; and (3) miscommunication exists around patient education at discharge. Lean process improvement is a viable means of health care analysis. When applied to a trauma service with 4,000 admissions annually, lean identifies areas ripe for improvement. Our inefficiencies surrounded communication and patient localization. Strategies arising from the input of all stakeholders led to real solutions for communication through a face-to-face morning report and identified areas for ongoing improvement. This focuses resource use and identifies areas for improvement of throughput in care delivery.

  12. Improving program documentation quality through the application of continuous improvement processes.

    PubMed

    Lovlien, Cheryl A; Johansen, Martha; Timm, Sandra; Eversman, Shari; Gusa, Dorothy; Twedell, Diane

    2007-01-01

    Maintaining the integrity of record keeping and retrievable information related to the provision of continuing education credit creates challenges for a large organization. Accurate educational program documentation is vital to support the knowledge and professional development of nursing staff. Quality review and accurate documentation of programs for nursing staff development occurred at one institution through the use of continuous improvement principles. Integration of the new process into the current system maintains the process of providing quality record keeping.

  13. Improvement of Selected Logistics Processes Using Quality Engineering Tools

    NASA Astrophysics Data System (ADS)

    Zasadzień, Michał; Žarnovský, Jozef

    2018-03-01

    Increase in the number of orders, the increasing quality requirements and the speed of order preparation require implementation of new solutions and improvement of logistics processes. Any disruption that occurs during execution of an order often leads to customer dissatisfaction, as well as loss of his/her confidence. The article presents a case study of the use of quality engineering methods and tools to improve the e-commerce logistic process. This made it possible to identify and prioritize key issues, identify their causes, and formulate improvement and prevention measures.

  14. Software process improvement in the NASA software engineering laboratory

    NASA Technical Reports Server (NTRS)

    Mcgarry, Frank; Pajerski, Rose; Page, Gerald; Waligora, Sharon; Basili, Victor; Zelkowitz, Marvin

    1994-01-01

    The Software Engineering Laboratory (SEL) was established in 1976 for the purpose of studying and measuring software processes with the intent of identifying improvements that could be applied to the production of ground support software within the Flight Dynamics Division (FDD) at the National Aeronautics and Space Administration (NASA)/Goddard Space Flight Center (GSFC). The SEL has three member organizations: NASA/GSFC, the University of Maryland, and Computer Sciences Corporation (CSC). The concept of process improvement within the SEL focuses on the continual understanding of both process and product as well as goal-driven experimentation and analysis of process change within a production environment.

  15. Cetane improvement via the DIESEL-B process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dawson, F.N. Jr.

    1987-01-01

    The DIESEL-B Process is a new process offered by Calsyn which is targeted toward significant cost savings - up to half the cost of conventional cetane improvers. Pay out of the low capital investments - perhaps $0.5 million - may be obtained in some cases in less than one year. The process involves on-site treating of a small slip stream - about 3% of the diesel pool. Refiners have developed quality fuels for diesel engines which would be suitable for the many diverse applications of these engines. Over the years a fair consensus of required quality specifications has evolved, mostmore » importantly those of the major pipeline operators. Ignition characteristics, as defined by cetane number or cetane index have been the most important, but other controls designed to insure stability, color, carbon content and the like are also essential constraints for the refiner. Refiners have historically been able to meet demand for motor diesel fuels by blending the higher quality virgin and hydrotreated materials with a variety of lesser quality stocks, such as cat cracker light cycle oils, coker cycle oils, thermal cycle oils and the like. But because of the typically poor engine performance properties of these latter materials, refiners have had to employ a variety of additives, most importantly cetane improvement additives, cost for which can be substantial. Calsyn is pleased to announce the development of the DIESEL-B Process for improvement of diesel fuel ignition characteristics in response to the growing need for cost cutting methods for the bread and butter portions of our business.« less

  16. Significant improvement in the thermal annealing process of optical resonators

    NASA Astrophysics Data System (ADS)

    Salzenstein, Patrice; Zarubin, Mikhail

    2017-05-01

    Thermal annealing performed during process improves the quality of the roughness of optical resonators reducing stresses at the periphery of their surface thus allowing higher Q-factors. After a preliminary realization, the design of the oven and the electronic method were significantly improved thanks to nichrome resistant alloy wires and chopped basalt fibers for thermal isolation during the annealing process. Q-factors can then be improved.

  17. A process improvement model for software verification and validation

    NASA Technical Reports Server (NTRS)

    Callahan, John; Sabolish, George

    1994-01-01

    We describe ongoing work at the NASA Independent Verification and Validation (IV&V) Facility to establish a process improvement model for software verification and validation (V&V) organizations. This model, similar to those used by some software development organizations, uses measurement-based techniques to identify problem areas and introduce incremental improvements. We seek to replicate this model for organizations involved in V&V on large-scale software development projects such as EOS and space station. At the IV&V Facility, a university research group and V&V contractors are working together to collect metrics across projects in order to determine the effectiveness of V&V and improve its application. Since V&V processes are intimately tied to development processes, this paper also examines the repercussions for development organizations in large-scale efforts.

  18. A process improvement model for software verification and validation

    NASA Technical Reports Server (NTRS)

    Callahan, John; Sabolish, George

    1994-01-01

    We describe ongoing work at the NASA Independent Verification and Validation (IV&V) Facility to establish a process improvement model for software verification and validation (V&V) organizations. This model, similar to those used by some software development organizations, uses measurement-based techniques to identify problem areas and introduce incremental improvements. We seek to replicate this model for organizations involved in V&V on large-scale software development projects such as EOS and Space Station. At the IV&V Facility, a university research group and V&V contractors are working together to collect metrics across projects in order to determine the effectiveness of V&V and improve its application. Since V&V processes are intimately tied to development processes, this paper also examines the repercussions for development organizations in large-scale efforts.

  19. Parameter prediction based on Improved Process neural network and ARMA error compensation in Evaporation Process

    NASA Astrophysics Data System (ADS)

    Qian, Xiaoshan

    2018-01-01

    The traditional model of evaporation process parameters have continuity and cumulative characteristics of the prediction error larger issues, based on the basis of the process proposed an adaptive particle swarm neural network forecasting method parameters established on the autoregressive moving average (ARMA) error correction procedure compensated prediction model to predict the results of the neural network to improve prediction accuracy. Taking a alumina plant evaporation process to analyze production data validation, and compared with the traditional model, the new model prediction accuracy greatly improved, can be used to predict the dynamic process of evaporation of sodium aluminate solution components.

  20. Reducing RN Vacancy Rate: A Nursing Recruitment Office Process Improvement Project.

    PubMed

    Hisgen, Stephanie A; Page, Nancy E; Thornlow, Deirdre K; Merwin, Elizabeth I

    2018-06-01

    The aim of this study was to reduce the RN vacancy rate at an academic medical center by improving the hiring process in the Nursing Recruitment Office. Inability to fill RN positions can lead to higher vacancy rates and negatively impact staff and patient satisfaction, quality outcomes, and the organization's bottom line. The Model for Improvement was used to design and implement a process improvement project to improve the hiring process from time of interview through the position being filled. Number of days to interview and check references decreased significantly, but no change in overall time to hire and time to fill positions was noted. RN vacancy rate also decreased significantly. Nurse manager satisfaction with the hiring process increased significantly. Redesigning the recruitment process supported operational efficiencies of the organization related to RN recruitment.

  1. The theory, practice, and future of process improvement in general thoracic surgery.

    PubMed

    Freeman, Richard K

    2014-01-01

    Process improvement, in its broadest sense, is the analysis of a given set of actions with the aim of elevating quality and reducing costs. The tenets of process improvement have been applied to medicine in increasing frequency for at least the last quarter century including thoracic surgery. This review outlines the theory underlying process improvement, the currently available data sources for process improvement and possible future directions of research. Copyright © 2015 Elsevier Inc. All rights reserved.

  2. Improving performance on core processes of care.

    PubMed

    Austin, John Matthew; Pronovost, Peter J

    2016-06-01

    This article describes the recent literature on using extrinsic and intrinsic motivators to improve performance on core processes of care, highlighting literature that describes general frameworks for quality improvement work. The literature supporting the effectiveness of extrinsic motivators to improve quality is generally positive for public reporting of performance, with mixed results for pay-for-performance. A four-element quality improvement framework developed by The Armstrong Institute at Johns Hopkins Medicine was developed with intrinsic motivation in mind. The clear definition and communication of goals are important for quality improvement work. Training clinicians in improvement science, such as lean sigma, teamwork, or culture change provides clinicians with the skills they need to drive the improvement work. Peer learning communities offer the opportunity for clinicians to engage with each other and offer support in their work. The transparent reporting of performance helps ensure accountability of performance ranging from individual clinicians to governance. Quality improvement work that is led by and engages clinicians offers the opportunity for the work to be both meaningful and sustainable. The literature supports approaching quality improvement work in a systematic way, including the key elements of communication, infrastructure building, training, transparency, and accountability.

  3. CPI (chemical processing industry) feeling impact of new-car sales slump

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Slaten, A.

    1980-05-21

    The slump in U.S. automobile production has reduced the automobile industry's demand for plastics. Nearly 5% (1.9 billion lb) of the 39.4 billion lb of plastic produced in 1979 were used in transportation (largely automotive) applications. According to A. Slaten of Rexene, automotive consumption of polypropylene will be down by 10-20% in 1980 from 1979's 440 million lb. According to Du Pont, the average 3000 lb automobile manufactured in 1980 contains about 200 lb of plastic, but by 1990, the average 2500 lb car will use 300-400 lb of plastic. According to Predicasts Inc., plastics use in automobiles will increasemore » by 8.4%/yr to a 6.15 billion lb market in 1995. The predicted annual growth rates for some plastics include: PVC, 4.4%; polyester (largely in sheet-molding compounds), 12.6%; polyethylene, 10.2%; nylon, 9.7%; acetals, 9.5%; polycarbonate, 7.2%; and polyurethane, 6.8%. Automobile production trends, the effect of the 1974-75 production downturn on plastics use in automobiles, and proposed government policies for aiding U.S. automobile manufacturers are discussed.« less

  4. Process for preparing improved silvered glass mirrors

    DOEpatents

    Buckwalter, Jr., Charles Q.

    1981-01-01

    Glass mirrors having improved weathering properties are prepared by an improvement in the process for making the mirrors. The glass surface after it has been cleaned but before it is silvered, is contacted with a solution of lanthanide rare earths in addition to a sensitization solution of tin or palladium. The addition of the rare earths produces a mirror which has increased resistance to delamination of the silver from the glass surface in the presence of water.

  5. Process for preparing improved silvered glass mirrors

    DOEpatents

    Buckwalter, C.Q. Jr.

    1980-01-28

    Glass mirrors having improved weathering properties are prepared by an improvement in the process for making the mirrors. The glass surface after it has been cleaned but before it is silvered, is contacted with a solution of lanthanide rare earths in addition to a sensitization solution of tin or palladium. The addition of the rare earths produces a mirror which has increased resistance to delamination of the silver from the glass surface in the presence of water.

  6. Improving Process Heating System Performance v3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    None

    2016-04-11

    Improving Process Heating System Performance: A Sourcebook for Industry is a development of the U.S. Department of Energy (DOE) Advanced Manufacturing Office (AMO) and the Industrial Heating Equipment Association (IHEA). The AMO and IHEA undertook this project as part of an series of sourcebook publications developed by AMO on energy-consuming industrial systems, and opportunities to improve performance. Other topics in this series include compressed air systems, pumping systems, fan systems, steam systems, and motors and drives

  7. Information Technology Process Improvement Decision-Making: An Exploratory Study from the Perspective of Process Owners and Process Managers

    ERIC Educational Resources Information Center

    Lamp, Sandra A.

    2012-01-01

    There is information available in the literature that discusses information technology (IT) governance and investment decision making from an executive-level perception, yet there is little information available that offers the perspective of process owners and process managers pertaining to their role in IT process improvement and investment…

  8. The process of managerial control in quality improvement initiatives.

    PubMed

    Slovensky, D J; Fottler, M D

    1994-11-01

    The fundamental intent of strategic management is to position an organization with in its market to exploit organizational competencies and strengths to gain competitive advantage. Competitive advantage may be achieved through such strategies as low cost, high quality, or unique services or products. For health care organizations accredited by the Joint Commission on Accreditation of Healthcare Organizations, continually improving both processes and outcomes of organizational performance--quality improvement--in all operational areas of the organization is a mandated strategy. Defining and measuring quality and controlling the quality improvement strategy remain problematic. The article discusses the nature and processes of managerial control, some potential measures of quality, and related information needs.

  9. Paths of Adoption: Routes to Continuous Process Improvement

    DTIC Science & Technology

    2014-07-01

    To obtain a process the team will use: The Team Lead has worked on teams with good processes and wants their new team to start out on the right foot ...eventually going to have to eat the entire process-improvement elephant . So, how do you get the “Never- Adopters” to undertake the effort? The key is to...Air Warfare Center Abstract. This paper covers the different types of teams the authors have en- countered as NAVAIR Internal Process Coaches and how

  10. Improved Process for Fabricating Carbon Nanotube Probes

    NASA Technical Reports Server (NTRS)

    Stevens, R.; Nguyen, C.; Cassell, A.; Delzeit, L.; Meyyappan, M.; Han, Jie

    2003-01-01

    An improved process has been developed for the efficient fabrication of carbon nanotube probes for use in atomic-force microscopes (AFMs) and nanomanipulators. Relative to prior nanotube tip production processes, this process offers advantages in alignment of the nanotube on the cantilever and stability of the nanotube's attachment. A procedure has also been developed at Ames that effectively sharpens the multiwalled nanotube, which improves the resolution of the multiwalled nanotube probes and, combined with the greater stability of multiwalled nanotube probes, increases the effective resolution of these probes, making them comparable in resolution to single-walled carbon nanotube probes. The robust attachment derived from this improved fabrication method and the natural strength and resiliency of the nanotube itself produces an AFM probe with an extremely long imaging lifetime. In a longevity test, a nanotube tip imaged a silicon nitride surface for 15 hours without measurable loss of resolution. In contrast, the resolution of conventional silicon probes noticeably begins to degrade within minutes. These carbon nanotube probes have many possible applications in the semiconductor industry, particularly as devices are approaching the nanometer scale and new atomic layer deposition techniques necessitate a higher resolution characterization technique. Previously at Ames, the use of nanotube probes has been demonstrated for imaging photoresist patterns with high aspect ratio. In addition, these tips have been used to analyze Mars simulant dust grains, extremophile protein crystals, and DNA structure.

  11. Enhanced Time Out: An Improved Communication Process.

    PubMed

    Nelson, Patricia E

    2017-06-01

    An enhanced time out is an improved communication process initiated to prevent such surgical errors as wrong-site, wrong-procedure, or wrong-patient surgery. The enhanced time out at my facility mandates participation from all members of the surgical team and requires designated members to respond to specified time out elements on the surgical safety checklist. The enhanced time out incorporated at my facility expands upon the safety measures from the World Health Organization's surgical safety checklist and ensures that all personnel involved in a surgical intervention perform a final check of relevant information. Initiating the enhanced time out at my facility was intended to improve communication and teamwork among surgical team members and provide a highly reliable safety process to prevent wrong-site, wrong-procedure, and wrong-patient surgery. Copyright © 2017 AORN, Inc. Published by Elsevier Inc. All rights reserved.

  12. Improvement of hospital processes through business process management in Qaem Teaching Hospital: A work in progress.

    PubMed

    Yarmohammadian, Mohammad H; Ebrahimipour, Hossein; Doosty, Farzaneh

    2014-01-01

    In a world of continuously changing business environments, organizations have no option; however, to deal with such a big level of transformation in order to adjust the consequential demands. Therefore, many companies need to continually improve and review their processes to maintain their competitive advantages in an uncertain environment. Meeting these challenges requires implementing the most efficient possible business processes, geared to the needs of the industry and market segments that the organization serves globally. In the last 10 years, total quality management, business process reengineering, and business process management (BPM) have been some of the management tools applied by organizations to increase business competiveness. This paper is an original article that presents implementation of "BPM" approach in the healthcare domain that allows an organization to improve and review its critical business processes. This project was performed in "Qaem Teaching Hospital" in Mashhad city, Iran and consists of four distinct steps; (1) identify business processes, (2) document the process, (3) analyze and measure the process, and (4) improve the process. Implementing BPM in Qaem Teaching Hospital changed the nature of management by allowing the organization to avoid the complexity of disparate, soloed systems. BPM instead enabled the organization to focus on business processes at a higher level.

  13. Linking the Teacher Appraisal Process to the School Improvement Plan

    ERIC Educational Resources Information Center

    Reddekopp, Therese

    2007-01-01

    If a school improvement plan includes input from all stakeholders and focuses on data-driven processes that are linked to teacher appraisal, it can be powerful in leading the school toward the common mission of achieving student success. Linking the school improvement plan to the teacher appraisal process creates a system whereby all individuals…

  14. Autoverification process improvement by Six Sigma approach: Clinical chemistry & immunoassay.

    PubMed

    Randell, Edward W; Short, Garry; Lee, Natasha; Beresford, Allison; Spencer, Margaret; Kennell, Marina; Moores, Zoë; Parry, David

    2018-05-01

    This study examines effectiveness of a project to enhance an autoverification (AV) system through application of Six Sigma (DMAIC) process improvement strategies. Similar AV systems set up at three sites underwent examination and modification to produce improved systems while monitoring proportions of samples autoverified, the time required for manual review and verification, sample processing time, and examining characteristics of tests not autoverified. This information was used to identify areas for improvement and monitor the impact of changes. Use of reference range based criteria had the greatest impact on the proportion of tests autoverified. To improve AV process, reference range based criteria was replaced with extreme value limits based on a 99.5% test result interval, delta check criteria were broadened, and new specimen consistency rules were implemented. Decision guidance tools were also developed to assist staff using the AV system. The mean proportion of tests and samples autoverified improved from <62% for samples and <80% for tests, to >90% for samples and >95% for tests across all three sites. The new AV system significantly decreased turn-around time and total sample review time (to about a third), however, time spent for manual review of held samples almost tripled. There was no evidence of compromise to the quality of testing process and <1% of samples held for exceeding delta check or extreme limits required corrective action. The Six Sigma (DMAIC) process improvement methodology was successfully applied to AV systems resulting in an increase in overall test and sample AV by >90%, improved turn-around time, reduced time for manual verification, and with no obvious compromise to quality or error detection. Copyright © 2018 The Canadian Society of Clinical Chemists. Published by Elsevier Inc. All rights reserved.

  15. Enhance gas processing with reflux heat-exchangers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Finn, A.J.

    1994-05-01

    Despite recent successes of membrane-based separations in low-throughput applications, cryogenic processing remains the best route for separating and purifying gas mixtures, especially when high recoveries are required. Now conventional units are being modified to yield even higher recoveries at lower costs. Throughout the chemical process industries (CPI), this is being accomplished with reflux or plate-fin exchangers, especially for processing of natural gas, and offgases from refineries and petrochemical facilities. The concept of utilizing a heat exchanger as a multi stage rectification device is not new. However, only in the last fifteen years or so has accurate design of reflux exchangersmore » become feasible. Also helpful have been the availability of prediction techniques for high-quality thermodynamic data, and process simulators that can rapidly solve the complex material, equilibrium and enthalpy relationships involved in simulating the performance of reflux exchangers. Four projects that show the value and effectiveness of reflux exchangers are discussed below in more detail. The first example considers hydrogen recovery from demethanizer overheads; the second highlights a low energy process for NGL and LPG recovery from natural gas. The third is a simple process for recovery of ethylene from fluid-catalytic cracker (FCC) offgas; and the fourth is a similar process for olefin recovery from dehydrogenation-reactor offgas.« less

  16. Co-optimization of lithographic and patterning processes for improved EPE performance

    NASA Astrophysics Data System (ADS)

    Maslow, Mark J.; Timoshkov, Vadim; Kiers, Ton; Jee, Tae Kwon; de Loijer, Peter; Morikita, Shinya; Demand, Marc; Metz, Andrew W.; Okada, Soichiro; Kumar, Kaushik A.; Biesemans, Serge; Yaegashi, Hidetami; Di Lorenzo, Paolo; Bekaert, Joost P.; Mao, Ming; Beral, Christophe; Larivière, Stephane

    2017-03-01

    Complimentary lithography is already being used for advanced logic patterns. The tight pitches for 1D Metal layers are expected to be created using spacer based multiple patterning ArF-i exposures and the more complex cut/block patterns are made using EUV exposures. At the same time, control requirements of CDU, pattern shift and pitch-walk are approaching sub-nanometer levels to meet edge placement error (EPE) requirements. Local variability, such as Line Edge Roughness (LER), Local CDU, and Local Placement Error (LPE), are dominant factors in the total Edge Placement error budget. In the lithography process, improving the imaging contrast when printing the core pattern has been shown to improve the local variability. In the etch process, it has been shown that the fusion of atomic level etching and deposition can also improve these local variations. Co-optimization of lithography and etch processing is expected to further improve the performance over individual optimizations alone. To meet the scaling requirements and keep process complexity to a minimum, EUV is increasingly seen as the platform for delivering the exposures for both the grating and the cut/block patterns beyond N7. In this work, we evaluated the overlay and pattern fidelity of an EUV block printed in a negative tone resist on an ArF-i SAQP grating. High-order Overlay modeling and corrections during the exposure can reduce overlay error after development, a significant component of the total EPE. During etch, additional degrees of freedom are available to improve the pattern placement error in single layer processes. Process control of advanced pitch nanoscale-multi-patterning techniques as described above is exceedingly complicated in a high volume manufacturing environment. Incorporating potential patterning optimizations into both design and HVM controls for the lithography process is expected to bring a combined benefit over individual optimizations. In this work we will show the EPE

  17. GOCI Level-2 Processing Improvements and Cloud Motion Analysis

    NASA Technical Reports Server (NTRS)

    Robinson, Wayne D.

    2015-01-01

    The Ocean Biology Processing Group has been working with the Korean Institute of Ocean Science and Technology (KIOST) to process geosynchronous ocean color data from the GOCI (Geostationary Ocean Color Instrument) aboard the COMS (Communications, Ocean and Meteorological Satellite). The level-2 processing program, l2gen has GOCI processing as an option. Improvements made to that processing are discussed here as well as a discussion about cloud motion effects.

  18. Iterative development of visual control systems in a research vivarium.

    PubMed

    Bassuk, James A; Washington, Ida M

    2014-01-01

    The goal of this study was to test the hypothesis that reintroduction of Continuous Performance Improvement (CPI) methodology, a lean approach to management at Seattle Children's (Hospital, Research Institute, Foundation), would facilitate engagement of vivarium employees in the development and sustainment of a daily management system and a work-in-process board. Such engagement was implemented through reintroduction of aspects of the Toyota Production System. Iterations of a Work-In-Process Board were generated using Shewhart's Plan-Do-Check-Act process improvement cycle. Specific attention was given to the importance of detecting and preventing errors through assessment of the following 5 levels of quality: Level 1, customer inspects; Level 2, company inspects; Level 3, work unit inspects; Level 4, self-inspection; Level 5, mistake proofing. A functioning iteration of a Mouse Cage Work-In-Process Board was eventually established using electronic data entry, an improvement that increased the quality level from 1 to 3 while reducing wasteful steps, handoffs and queues. A visual workplace was realized via a daily management system that included a Work-In-Process Board, a problem solving board and two Heijunka boards. One Heijunka board tracked cage changing as a function of a biological kanban, which was validated via ammonia levels. A 17% reduction in cage changing frequency provided vivarium staff with additional time to support Institute researchers in their mutual goal of advancing cures for pediatric diseases. Cage washing metrics demonstrated an improvement in the flow continuum in which a traditional batch and queue push system was replaced with a supermarket-type pull system. Staff engagement during the improvement process was challenging and is discussed. The collective data indicate that the hypothesis was found to be true. The reintroduction of CPI into daily work in the vivarium is consistent with the 4P Model of the Toyota Way and selected Principles

  19. Iterative Development of Visual Control Systems in a Research Vivarium

    PubMed Central

    Bassuk, James A.; Washington, Ida M.

    2014-01-01

    The goal of this study was to test the hypothesis that reintroduction of Continuous Performance Improvement (CPI) methodology, a lean approach to management at Seattle Children’s (Hospital, Research Institute, Foundation), would facilitate engagement of vivarium employees in the development and sustainment of a daily management system and a work-in-process board. Such engagement was implemented through reintroduction of aspects of the Toyota Production System. Iterations of a Work-In-Process Board were generated using Shewhart’s Plan-Do-Check-Act process improvement cycle. Specific attention was given to the importance of detecting and preventing errors through assessment of the following 5 levels of quality: Level 1, customer inspects; Level 2, company inspects; Level 3, work unit inspects; Level 4, self-inspection; Level 5, mistake proofing. A functioning iteration of a Mouse Cage Work-In-Process Board was eventually established using electronic data entry, an improvement that increased the quality level from 1 to 3 while reducing wasteful steps, handoffs and queues. A visual workplace was realized via a daily management system that included a Work-In-Process Board, a problem solving board and two Heijunka boards. One Heijunka board tracked cage changing as a function of a biological kanban, which was validated via ammonia levels. A 17% reduction in cage changing frequency provided vivarium staff with additional time to support Institute researchers in their mutual goal of advancing cures for pediatric diseases. Cage washing metrics demonstrated an improvement in the flow continuum in which a traditional batch and queue push system was replaced with a supermarket-type pull system. Staff engagement during the improvement process was challenging and is discussed. The collective data indicate that the hypothesis was found to be true. The reintroduction of CPI into daily work in the vivarium is consistent with the 4P Model of the Toyota Way and selected

  20. Characteristics of Volunteer Coaches in a Clinical Process Improvement Program.

    PubMed

    Morley, Katharine E; Barysauskas, Constance M; Carballo, Victoria; Kalibatas, Orinta; Rao, Sandhya K; Jacobson, Joseph O; Cummings, Brian M

    The Partners Clinical Process Improvement Leadership Program provides quality improvement training for clinicians and administrators, utilizing graduates as volunteer peer coaches for mentorship. We sought to understand the factors associated with volunteer coach participation and gain insight into how to improve and sustain this program. Review of coach characteristics from course database and survey of frequent coaches. Out of 516 Partners Clinical Process Improvement Leadership Program graduates from March 2010 to June 2015, 117 (23%) individuals volunteered as coaches. Sixty-one (52%) individuals coached once, 31 (27%) coached twice, and 25 (21%) coached 3 or more times. There were statistically significant associations between coaching and occupation (P = .005), Partners Clinical Process Improvement Leadership Program course taken (P = .001), and course location (P = .007). Administrators were more likely to coach than physicians (odds ratio: 1.75, P = .04). Reasons for volunteering as a coach included further development of skills, desire to stay involved with program, and enjoying mentoring. Reasons for repeated coaching included maintaining quality improvement skills, expanding skills to a wider variety of projects, and networking. A peer graduate volunteer coach model is a viable strategy for interprofessional quality improvement mentorship. Strategies that support repeat coaching and engage clinicians should be promoted to ensure an experienced and diversified group of coaches.

  1. Westinghouse modular grinding process - improvement for follow on processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fehrmann, Henning

    2013-07-01

    In nuclear power plants (NPP) ion exchange (IX) resins are used in several systems for water treatment. The resins can be in bead or powdered form. For waste treatment of spent IX resins, two methods are basically used: Direct immobilization (e.g. with cement, bitumen, polymer or High Integrity Container (HIC)); Thermal treatment (e.g. drying, oxidation or pyrolysis). Bead resins have some properties (e.g. particle size and density) that can have negative impacts on following waste treatment processes. Negative impacts could be: Floatation of bead resins in cementation process; Sedimentation in pipeline during transportation; Poor compaction properties for Hot Resin Supercompactionmore » (HRSC). Reducing the particle size of the bead resins can have beneficial effects enhancing further treatment processes and overcoming prior mentioned effects. Westinghouse Electric Company has developed a modular grinding process to crush/grind the bead resins. This modular process is designed for flexible use and enables a selective adjustment of particle size to tailor the grinding system to the customer needs. The system can be equipped with a crusher integrated in the process tank and if necessary a colloid mill. The crusher reduces the bead resins particle size and converts the bead resins to a pump able suspension with lower sedimentation properties. With the colloid mill the resins can be ground to a powder. Compared to existing grinding systems this equipment is designed to minimize radiation exposure of the worker during operation and maintenance. Using the crushed and/or ground bead resins has several beneficial effects like facilitating cementation process and recipe development, enhancing oxidation of resins, improving the Hot Resin Supercompaction volume reduction performance. (authors)« less

  2. Design Process Improvement for Electric CAR Harness

    NASA Astrophysics Data System (ADS)

    Sawatdee, Thiwarat; Chutima, Parames

    2017-06-01

    In an automobile parts design company, the customer satisfaction is one of the most important factors for product design. Therefore, the company employs all means to focus its product design process based on the various requirements of customers resulting in high number of design changes. The objective of this research is to improve the design process of the electric car harness that effects the production scheduling by using Fault Tree Analysis (FTA) and Failure Mode and Effect Analysis (FMEA) as the main tools. FTA is employed for root cause analysis and FMEA is used to ranking a High Risk Priority Number (RPN) which is shows the priority of factors in the electric car harness that have high impact to the design of the electric car harness. After the implementation, the improvements are realized significantly since the number of design change is reduced from 0.26% to 0.08%.

  3. Improving preanalytic processes using the principles of lean production (Toyota Production System).

    PubMed

    Persoon, Thomas J; Zaleski, Sue; Frerichs, Janice

    2006-01-01

    The basic technologies used in preanalytic processes for chemistry tests have been mature for a long time, and improvements in preanalytic processes have lagged behind improvements in analytic and postanalytic processes. We describe our successful efforts to improve chemistry test turnaround time from a central laboratory by improving preanalytic processes, using existing resources and the principles of lean production. Our goal is to report 80% of chemistry tests in less than 1 hour and to no longer recognize a distinction between expedited and routine testing. We used principles of lean production (the Toyota Production System) to redesign preanalytic processes. The redesigned preanalytic process has fewer steps and uses 1-piece flow to move blood samples through the accessioning, centrifugation, and aliquoting processes. Median preanalytic processing time was reduced from 29 to 19 minutes, and the laboratory met the goal of reporting 80% of chemistry results in less than 1 hour for 11 consecutive months.

  4. Improving quality of care in substance abuse treatment using five key process improvement principles

    PubMed Central

    Hoffman, Kim A.; Green, Carla A.; Ford, James H.; Wisdom, Jennifer P.; Gustafson, David H.; McCarty, Dennis

    2012-01-01

    Process and quality improvement techniques have been successfully applied in health care arenas, but efforts to institute these strategies in alcohol and drug treatment are underdeveloped. The Network for the Improvement of Addiction Treatment (NIATx) teaches participating substance abuse treatment agencies to use process improvement strategies to increase client access to, and retention in, treatment. NIATx recommends five principles to promote organizational change: 1) Understand and involve the customer; 2) Fix key problems; 3) Pick a powerful change leader; 4) Get ideas from outside the organization; and 5) Use rapid-cycle testing. Using case studies, supplemented with cross-agency analyses of interview data, this paper profiles participating NIATx treatment agencies that illustrate application of each principle. Results suggest that the most successful organizations integrate and apply most, if not all, of the five principles as they develop and test change strategies. PMID:22282129

  5. Process Improvement for Next Generation Space Flight Vehicles: MSFC Lessons Learned

    NASA Technical Reports Server (NTRS)

    Housch, Helen

    2008-01-01

    This viewgraph presentation reviews the lessons learned from process improvement for Next Generation Space Flight Vehicles. The contents include: 1) Organizational profile; 2) Process Improvement History; 3) Appraisal Preparation; 4) The Appraisal Experience; 5) Useful Tools; and 6) Is CMMI working?

  6. Periodontal health and treatment needs among hospitalized chronic psychiatric patients in Istanbul, Turkey.

    PubMed

    Gurbuz, O; Alatas, G; Kurt, E; Dogan, F; Issever, H

    2011-03-01

    The aim of the study was to evaluate the periodontal health and treatment needs of chronically hospitalized psychiatric patients in Istanbul, Turkey. The subjects' periodontal health was recorded by the CPI (Community Periodontal Index) method. Of the 330 patients examined, 179 (52.5%) were males and 151 (47.5%) females. The mean age of the patients was 49.2 +/- 11.7 years. The majority (61.8%) was diagnosed with schizophrenia and 30.6% diagnosed with mental retardation. The mean length of hospitalization was 16.0 +/- 10.9 years. Healthy periodontal tissues (CPI 0) were found in 8.8% of the subjects. Bleeding on probing (CPI 1) was recorded in 6.3%, and dental calculus (CPI 2) in 51.8% of the subjects. These were determined as the worst findings. Altogether, 33% of the subjects had deep periodontal pockets, 14.2% with at least one 4- to 5-mm pocket (CPI 3), and 18.8% with at least one 6-mm pocket (CPI 4). The stepwise logistic regression analysis, between the final CPI score and seven variables including age, gender, psychiatric diagnosis, length of hospitalization, degree of helplessness, tooth brushing habits and smoking, showed that irregular tooth brushing habits and male gender were significant contributors to having a final CPI score of 2 or more. The regression analysis also showed that tooth brushing habits remained as an explanatory variable in CPI 0 coded subjects; helplessness and psychiatric diagnosis (mental retardation) in CPI 2; tooth brushing habits and psychiatric diagnosis (schizophrenia) in CPI 3; and only helplessness in CPI 4. The present study underlines a considerable need for prevention and treatment of periodontal disease among chronic psychiatric patients in Istanbul. Efforts need to be focused above all on raising this population's awareness of the importance of oral hygiene and on early diagnosis of periodontal problems.

  7. Bootstrapping Process Improvement Metrics: CMMI Level 4 Process Improvement Metrics in a Level 3 World

    NASA Technical Reports Server (NTRS)

    Hihn, Jairus; Lewicki, Scott; Morgan, Scott

    2011-01-01

    The measurement techniques for organizations which have achieved the Software Engineering Institutes CMMI Maturity Levels 4 and 5 are well documented. On the other hand, how to effectively measure when an organization is Maturity Level 3 is less well understood, especially when there is no consistency in tool use and there is extensive tailoring of the organizational software processes. Most organizations fail in their attempts to generate, collect, and analyze standard process improvement metrics under these conditions. But at JPL, NASA's prime center for deep space robotic exploration, we have a long history of proving there is always a solution: It just may not be what you expected. In this paper we describe the wide variety of qualitative and quantitative techniques we have been implementing over the last few years, including the various approaches used to communicate the results to both software technical managers and senior managers.

  8. Deployment of lean six sigma in care coordination: an improved discharge process.

    PubMed

    Breslin, Susan Ellen; Hamilton, Karen Marie; Paynter, Jacquelyn

    2014-01-01

    This article presents a quality improvement project to reduce readmissions in the Medicare population related to heart failure, acute myocardial infarction, and pneumonia. The article describes a systematic approach to the discharge process aimed at improving transitions of care from hospital to post-acute care, utilizing Lean Six Sigma methodology. Inpatient acute care hospital. A coordinated discharge process, which includes postdischarge follow-up, can reduce avoidable readmissions. Implications for The quality improvement project demonstrated the significant role case management plays in preventing costly readmissions and improving outcomes for patients through better transitions of care from the hospital to the community. By utilizing Lean Six Sigma methodology, hospitals can focus on eliminating waste in their current processes and build more sustainable improvements to deliver a safe, quality, discharge process for their patients. Case managers are leading this effort to improve care transitions and assure a smoother transition into the community postdischarge..

  9. Improving Competition: Reforming the Requirements Process

    DTIC Science & Technology

    2016-07-01

    45 Defense AT&L: July-August 2016 Improving Competition Reforming the Requirements Process Roy Wood, Ph.D. Wood is the Acting Vice President...professional. T ypical acquisition reform efforts have been focused in the margins, achiev­ ing marginal results. The evidence of decades of...acquisition reform indicates that the marginal reforms typically taken are not making the funda­ mental changes needed by the Department of Defense (DoD

  10. Improving healthcare using Lean processes.

    PubMed

    Baker, G Ross

    2014-01-01

    For more than a decade, healthcare organizations across Canada have been using Lean management tools to improve care processes, reduce preventable adverse events, increase patient satisfaction and create better work environments. The largest system-wide effort in Canada, and perhaps anywhere, is currently under way in Saskatchewan. The jury is still out on whether Lean efforts in that province, or elsewhere in Canada, are robust enough to transform current delivery systems and sustain new levels of performance. This issue of Healthcare Quarterly features several articles that provide a perspective on Lean methods in healthcare. Copyright © 2014 Longwoods Publishing.

  11. Focused process improvement events: sustainability of impact on process and performance in an academic radiology department.

    PubMed

    Rosenkrantz, Andrew B; Lawson, Kirk; Ally, Rosina; Chen, David; Donno, Frank; Rittberg, Steven; Rodriguez, Joan; Recht, Michael P

    2015-01-01

    To evaluate sustainability of impact of rapid, focused process improvement (PI) events on process and performance within an academic radiology department. Our department conducted PI during 2011 and 2012 in CT, MRI, ultrasound, breast imaging, and research billing. PI entailed participation by all stakeholders, facilitation by the department chair, collection of baseline data, meetings during several weeks, definition of performance metrics, creation of an improvement plan, and prompt implementation. We explore common themes among PI events regarding initial impact and durability of changes. We also assess performance in each area pre-PI, immediately post-PI, and at the time of the current study. All PI events achieved an immediate improvement in performance metrics, often entailing both examination volumes and on-time performance. IT-based solutions, process standardization, and redefinition of staff responsibilities were often central in these changes, and participants consistently expressed improved internal leadership and problem-solving ability. Major environmental changes commonly occurred after PI, including a natural disaster with equipment loss, a change in location or services offered, and new enterprise-wide electronic medical record system incorporating new billing and radiology informatics systems, requiring flexibility in the PI implementation plan. Only one PI team conducted regular post-PI follow-up meetings. Sustained improvement was frequently, but not universally, observed: in the long-term following initial PI, measures of examination volume showed continued progressive improvements, whereas measures of operational efficiency remained stable or occasionally declined. Focused PI is generally effective in achieving performance improvement, although a changing environment influences the sustainability of impact. Thus, continued process evaluation and ongoing workflow modifications are warranted. Copyright © 2015 American College of Radiology

  12. Improved process for forming a three-dimensional undersurface on a printed cantilever

    NASA Astrophysics Data System (ADS)

    Kanazawa, Shusuke; Kusaka, Yasuyuki; Yamamoto, Noritaka; Ushijima, Hirobumi

    2018-05-01

    An improvement in the lift-on offset printing process is reported as a means of enabling the structural customization of hollow structures used as moving parts of sensors and actuators. The improved process can add structures to the underside of a hollow structure by modifying the preparation of the pre-structure. As a demonstration, the mechanical displacement of a cantilever in a gravitational acceleration sensor was enhanced by the addition of a proof mass. The improved process can be expected to further produce functionalized hollow structures by an efficient manufacturing process.

  13. Improvement of radiology services based on the process management approach.

    PubMed

    Amaral, Creusa Sayuri Tahara; Rozenfeld, Henrique; Costa, Janaina Mascarenhas Hornos; Magon, Maria de Fátima de Andrade; Mascarenhas, Yvone Maria

    2011-06-01

    The health sector requires continuous investments to ensure the improvement of products and services from a technological standpoint, the use of new materials, equipment and tools, and the application of process management methods. Methods associated with the process management approach, such as the development of reference models of business processes, can provide significant innovations in the health sector and respond to the current market trend for modern management in this sector (Gunderman et al. (2008) [4]). This article proposes a process model for diagnostic medical X-ray imaging, from which it derives a primary reference model and describes how this information leads to gains in quality and improvements. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  14. Electronic Timekeeping: North Dakota State University Improves Payroll Processing.

    ERIC Educational Resources Information Center

    Vetter, Ronald J.; And Others

    1993-01-01

    North Dakota State University has adopted automated timekeeping to improve the efficiency and effectiveness of payroll processing. The microcomputer-based system accurately records and computes employee time, tracks labor distribution, accommodates complex labor policies and company pay practices, provides automatic data processing and reporting,…

  15. [Improving inpatient pharmacoterapeutic process by Lean Six Sigma methodology].

    PubMed

    Font Noguera, I; Fernández Megía, M J; Ferrer Riquelme, A J; Balasch I Parisi, S; Edo Solsona, M D; Poveda Andres, J L

    2013-01-01

    Lean Six Sigma methodology has been used to improve care processes, eliminate waste, reduce costs, and increase patient satisfaction. To analyse the results obtained with Lean Six Sigma methodology in the diagnosis and improvement of the inpatient pharmacotherapy process during structural and organisational changes in a tertiary hospital. 1.000 beds tertiary hospital. prospective observational study. The define, measure, analyse, improve and control (DMAIC), were deployed from March to September 2011. An Initial Project Charter was updated as results were obtained. 131 patients with treatments prescribed within 24h after admission and with 4 drugs. safety indicators (medication errors), and efficiency indicators (complaints and time delays). Proportion of patients with a medication error was reduced from 61.0% (25/41 patients) to 55.7% (39/70 patients) in four months. Percentage of errors (regarding the opportunities for error) decreased in the different phases of the process: Prescription: from 5.1% (19/372 opportunities) to 3.3% (19/572 opportunities); Preparation: from 2.7% (14/525 opportunities) to 1.3% (11/847 opportunities); and administration: from 4.9% (16/329 opportunities) to 3.0% (13/433 opportunities). Nursing complaints decreased from 10.0% (2119/21038 patients) to 5.7% (1779/31097 patients). The estimated economic impact was 76,800 euros saved. An improvement in the pharmacotherapeutic process and a positive economic impact was observed, as well as enhancing patient safety and efficiency of the organization. Standardisation and professional training are future Lean Six Sigma candidate projects. Copyright © 2012 SECA. Published by Elsevier Espana. All rights reserved.

  16. 5 CFR 591.226 - How does OPM apply the CPIs?

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... survey. (1) Step 1. OPM computes the annual or biennial CPI change for the COLA area. (2) Step 2. OPM computes the annual or biennial CPI change for the DC area. (3) Step 3. OPM multiplies the COLA area price index from the last survey by the COLA area CPI change computed in step 1 divided by the DC area CPI...

  17. 5 CFR 591.226 - How does OPM apply the CPIs?

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... survey. (1) Step 1. OPM computes the annual or biennial CPI change for the COLA area. (2) Step 2. OPM computes the annual or biennial CPI change for the DC area. (3) Step 3. OPM multiplies the COLA area price index from the last survey by the COLA area CPI change computed in step 1 divided by the DC area CPI...

  18. 5 CFR 591.226 - How does OPM apply the CPIs?

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... survey. (1) Step 1. OPM computes the annual or biennial CPI change for the COLA area. (2) Step 2. OPM computes the annual or biennial CPI change for the DC area. (3) Step 3. OPM multiplies the COLA area price index from the last survey by the COLA area CPI change computed in step 1 divided by the DC area CPI...

  19. 5 CFR 591.226 - How does OPM apply the CPIs?

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... survey. (1) Step 1. OPM computes the annual or biennial CPI change for the COLA area. (2) Step 2. OPM computes the annual or biennial CPI change for the DC area. (3) Step 3. OPM multiplies the COLA area price index from the last survey by the COLA area CPI change computed in step 1 divided by the DC area CPI...

  20. 5 CFR 591.226 - How does OPM apply the CPIs?

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... survey. (1) Step 1. OPM computes the annual or biennial CPI change for the COLA area. (2) Step 2. OPM computes the annual or biennial CPI change for the DC area. (3) Step 3. OPM multiplies the COLA area price index from the last survey by the COLA area CPI change computed in step 1 divided by the DC area CPI...

  1. Using A3 thinking to improve the STAT medication process.

    PubMed

    Manojlovich, Milisa; Chase, Valerie J; Mack, Megan; Conroy, Meghan K; Belanger, Karen; Zawol, Debbie; Corr, Karen M; Fowler, Karen E; Viglianti, Elizabeth

    2014-08-01

    Although the term STAT conveys a sense of urgency, it is sometimes used to circumvent a system that may be too slow to accomplish tasks in a timely manner. We describe a quality-improvement project undertaken by a US Department of Veterans Affairs (VA) hospital to improve the STAT medication process. We adapted A3 Thinking, a problem-solving process common in Lean organizations, to our problem. In the discovery phase, a color-coded flow map of the existing process was constructed, and a real-time STAT order was followed in a modified "Go to the Gemba" exercise. In the envisioning phase, the team brainstormed to come up with as many improvement ideas as possible, which were then prioritized based on the anticipated effort and impact. The team then identified initial experiments to be carried out in the experimentation phase; each experiment followed a standard Plan-Do-Study-Act cycle. On average, the number of STAT medications ordered per month decreased by 9.5%. The average time from STAT order entry to administration decreased by 21%, and time from medication delivery to administration decreased by 26%. Improvements were also made in technician awareness of STAT medications and nurse notification of STAT medication delivery. Adapting A3 Thinking for process improvement was a low-cost/low-tech option for a VA facility. The A3 Thinking process led to a better understanding of the meaning of STAT across disciplines, and promoted a collaborative culture in which other hospital-wide problems may be addressed in the future. Published 2014. This article is a U.S. Government work and is in the public domain in the USA.

  2. Microbiology of broiler carcasses and chemistry of chiller water as affected by water reuse.

    PubMed

    Northcutt, J K; Smith, D; Huezo, R I; Ingram, K D

    2008-07-01

    A study was conducted to determine the effects of treating and reusing poultry chiller water in a commercial poultry processing facility. Broiler carcasses and chiller water were obtained from a commercial processing facility which had recently installed a TOMCO Pathogen Management System to recycle water in sections 2 and 3 of two 3-compartment chillers. In this system, reused water is blended with fresh water to maintain the chiller volume. Carcasses were sampled prechill and postchill (final exit), and chiller water was sampled from the beginning and end of each of the 3 sections. Carcasses were subjected to a whole carcass rinse (WCR) in 0.1% peptone. Numbers of Escherichia coli (EC), coliforms (CF), and Campylobacter (CPY) were determined from the WCR and chiller water samples. Prevalence of Salmonella (SAL) was also determined on the WCR and chiller water samples. On average, prechill levels of bacteria recovered from rinses were 2.6, 2.9, and 2.6 log10 cfu/mL for EC, CF, and CPY, respectively. Ten out of 40 (25%) prechill carcasses were positive for SAL. After chilling, numbers of EC, CF, and CPY recovered from carcass rinses decreased by 1.5, 1.5, and 2.0 log10 cfu/mL, respectively. However, 9 out of 40 (22%) postchill carcasses were positive for SAL. When the chiller water samples were tested, counts of EC, CF, and CPY were found only in water collected from the first section of the chiller (inlet and outlet). Two of 4 water samples collected from the inlet of the first section tested positive for SAL. This study shows that fresh and reused water can be used to cool poultry in chiller systems to achieve a reduction in numbers of bacteria (EC, CF, and CPY) or equivalent prevalence (SAL) of bacteria recovered from broiler carcasses.

  3. A generic interface between COSMIC/NASTRAN and PATRAN (R)

    NASA Technical Reports Server (NTRS)

    Roschke, Paul N.; Premthamkorn, Prakit; Maxwell, James C.

    1990-01-01

    Despite its powerful analytical capabilities, COSMIC/NASTRAN lacks adequate post-processing adroitness. PATRAN, on the other hand is widely accepted for its graphical capabilities. A nonproprietary, public domain code mnemonically titled CPI (for COSMIC/NASTRAN-PATRAN Interface) is designed to manipulate a large number of files rapidly and efficiently between the two parent codes. In addition to PATRAN's results file preparation, CPI also prepares PATRAN's P/PLOT data files for xy plotting. The user is prompted for necessary information during an interactive session. Current implementation supports NASTRAN's displacement approach including the following rigid formats: (1) static analysis, (2) normal modal analysis, (3) direct transient response, and (4) modal transient response. A wide variety of data blocks are also supported. Error trapping is given special consideration. A sample session with CPI illustrates its simplicity and ease of use.

  4. Novel Diffusivity Measurement Technique

    NASA Technical Reports Server (NTRS)

    Rashidnia, Nasser

    2001-01-01

    A common-path interferometer (CPI) system was developed to measure the diffusivity of liquid pairs. The CPI is an optical technique that can be used to measure changes in the gradient of the refraction index of transparent materials. This system uses a shearing interferometer that shares the same optical path from a laser light source to the final imaging plane. Hence, the molecular diffusion coefficient of liquids can be determined using the physical relations between changes in the optical path length and the liquid phase properties. The data obtained with this interferometer were compared with similar results from other techniques and demonstrated that the instrument is superior in measuring the diffusivity of miscible liquids while keeping the system very compact and robust. CPI can also be used for studies in interface dynamics and other diffusion-dominated-process applications.

  5. Partial purification and characterization of cysteine proteinase inhibitor from chicken plasma.

    PubMed

    Rawdkuen, Saroat; Benjakul, Soottawat; Visessanguan, Wonnop; Lanier, Tyre C

    2006-08-01

    A high-molecular-weight cysteine proteinase inhibitor (CPI) was purified from chicken (Gallus gallus) plasma using polyethylene glycol (PEG) fractionation and affinity chromatography on carboxymethyl-papain-Sepharose-4B. The CPI was purified 96.8-fold with a yield of 28.9%. Based on inhibitory activity staining for papain, CPI was shown to have an apparent molecular mass of 122 kDa. No inhibitory activity was obtained under reducing condition, indicating that CPI from chicken plasma was stabilized by disulfide bonds. CPI was stable in temperature ranges from 40 to 70 degrees C for 10 min; however, more than 50% of the inhibitory activity towards papain was lost within 30 min of heating at 90 degrees C. CPI was stable in the presence of salt up to 3%. The purified CPI exhibited the inhibitory activity toward autolysis of arrowtooth flounder (Atheresthes stomias) and Pacific whiting (Merluccius productus) natural actomyosin (NAM) in a concentration-dependent manner.

  6. Quality initiatives: planning, setting up, and carrying out radiology process improvement projects.

    PubMed

    Tamm, Eric P; Szklaruk, Janio; Puthooran, Leejo; Stone, Danna; Stevens, Brian L; Modaro, Cathy

    2012-01-01

    In the coming decades, those who provide radiologic imaging services will be increasingly challenged by the economic, demographic, and political forces affecting healthcare to improve their efficiency, enhance the value of their services, and achieve greater customer satisfaction. It is essential that radiologists master and consistently apply basic process improvement skills that have allowed professionals in many other fields to thrive in a competitive environment. The authors provide a step-by-step overview of process improvement from the perspective of a radiologic imaging practice by describing their experience in conducting a process improvement project: to increase the daily volume of body magnetic resonance imaging examinations performed at their institution. The first step in any process improvement project is to identify and prioritize opportunities for improvement in the work process. Next, an effective project team must be formed that includes representatives of all participants in the process. An achievable aim must be formulated, appropriate measures selected, and baseline data collected to determine the effects of subsequent efforts to achieve the aim. Each aspect of the process in question is then analyzed by using appropriate tools (eg, flowcharts, fishbone diagrams, Pareto diagrams) to identify opportunities for beneficial change. Plans for change are then established and implemented with regular measurements and review followed by necessary adjustments in course. These so-called PDSA (planning, doing, studying, and acting) cycles are repeated until the aim is achieved or modified and the project closed.

  7. Pre-processing by data augmentation for improved ellipse fitting.

    PubMed

    Kumar, Pankaj; Belchamber, Erika R; Miklavcic, Stanley J

    2018-01-01

    Ellipse fitting is a highly researched and mature topic. Surprisingly, however, no existing method has thus far considered the data point eccentricity in its ellipse fitting procedure. Here, we introduce the concept of eccentricity of a data point, in analogy with the idea of ellipse eccentricity. We then show empirically that, irrespective of ellipse fitting method used, the root mean square error (RMSE) of a fit increases with the eccentricity of the data point set. The main contribution of the paper is based on the hypothesis that if the data point set were pre-processed to strategically add additional data points in regions of high eccentricity, then the quality of a fit could be improved. Conditional validity of this hypothesis is demonstrated mathematically using a model scenario. Based on this confirmation we propose an algorithm that pre-processes the data so that data points with high eccentricity are replicated. The improvement of ellipse fitting is then demonstrated empirically in real-world application of 3D reconstruction of a plant root system for phenotypic analysis. The degree of improvement for different underlying ellipse fitting methods as a function of data noise level is also analysed. We show that almost every method tested, irrespective of whether it minimizes algebraic error or geometric error, shows improvement in the fit following data augmentation using the proposed pre-processing algorithm.

  8. Redesigning the ICU nursing discharge process: a quality improvement study.

    PubMed

    Chaboyer, Wendy; Lin, Frances; Foster, Michelle; Retallick, Lorraine; Panuwatwanich, Kriengsak; Richards, Brent

    2012-02-01

    To evaluate the impact of a redesigned intensive care unit (ICU) nursing discharge process on ICU discharge delay, hospital mortality, and ICU readmission within 72 hours. A quality improvement study using a time series design and statistical process control analysis was conducted in one Australian general ICU. The primary outcome measure was hours of discharge delay per patient discharged alive per month, measured for 15 months prior to, and for 12 months after the redesigned process was implemented. The redesign process included appointing a change agent to facilitate process improvement, developing a patient handover sheet, requesting ward staff to nominate an estimated transfer time, and designing a daily ICU discharge alert sheet that included an expected date of discharge. A total of 1,787 ICU discharges were included in this study, 1,001 in the 15 months before and 786 in the 12 months after the implementation of the new discharge processes. There was no difference in in-hospital mortality after discharge from ICU or ICU readmission within 72 hours during the study period. However, process improvement was demonstrated by a reduction in the average patient discharge delay time of 3.2 hours (from 4.6 hour baseline to 1.0 hours post-intervention). Involving both ward and ICU staff in the redesign process may have contributed to a shared situational awareness of the problems, which led to more timely and effective ICU discharge processes. The use of a change agent, whose ongoing role involved follow-up of patients discharged from ICU, may have helped to embed the new process into practice. ©2011 Sigma Theta Tau International.

  9. Unconscious decisional learning improves unconscious information processing.

    PubMed

    Vlassova, Alexandra; Pearson, Joel

    2018-07-01

    The idea that unconscious input can result in long-term learning or task improvement has been debated for decades, yet there is still little evidence to suggest that learning outside of awareness can produce meaningful changes to decision-making. Here we trained participants using noisy motion stimuli, which require the gradual accumulation of information until a decision can be reached. These stimuli were suppressed from conscious awareness by simultaneously presenting a dynamic dichoptic mask. We show that a short period of training on either a partially or fully suppressed motion stimulus resulted in improved accuracy when tested on a partially suppressed motion stimulus traveling in the orthogonal direction. We found this improvement occurred even when performance on the training task was at chance. Performance gains generalized across motion directions, suggesting that the improvement was the result of changes to the decisional mechanisms rather than perceptual. Interestingly, unconscious learning had a stronger effect on unconscious, compared to conscious decisional accumulation. We further show that a conscious coherent percept is necessary to reap the benefits of unconscious learning. Together, these data suggest that unconscious decisional processing can be improved via training. Copyright © 2018 Elsevier B.V. All rights reserved.

  10. Motor Carrier Safety Fitness Determination: An Improved Process

    DOT National Transportation Integrated Search

    1996-12-01

    PREFACE This report was undertaken to define an improved process for motor carrier safety fitness determination. It was produced by the Research and Special Program Administration's (RSPA} John A. Volpe National Transportation Systems Center (the Vol...

  11. Process Improvement Tools, Commitment to Change Lead to Serious Turnaround.

    PubMed

    Birznieks, Derek; Zane, Richard

    2017-05-01

    The ED at the University of Colorado Hospital (UCH) has undergone a dramatic transformation in recent years, doubling in size while also using process improvement methods to dramatically reduce wait times, eliminate ambulance diversion, and boost patient satisfaction. Throughout this period, volume has continued to increase while the cost per patient and avoidable hospital admissions have experienced steady declines. Guiding the effort has been a series of core principles, with a particular focus on making sure that all processes are patient-centered. . To begin the improvement effort, ED leaders established a leadership team, and hired a process improvement chief with no previous experience in healthcare to provide fresh, outside perspective on processes. . In addition to mandating that all processes be patient-centered, the other guiding principles included a commitment to use and track data, to speak with one voice, to value everyone's perspective, to deliver high-quality care to all patients, and to set a standard for other academic medical centers. . To get points on the board early and win approval from staff, one of the first changes administrators implemented was to hire scribes for every physician so they wouldn't be bogged down with data input. The approach has essentially paid for itself. . Among the biggest changes was the elimination of triage, a process that improvement teams found no longer added value or quality to the patient experience. . Leadership also has moved to equilibrate the size and staff of the various zones in the ED so that they are more generic and less specialized. The move has facilitated patient flow, enabling patients in zones with resuscitation bays to connect with providers quickly.

  12. Improved image processing of road pavement defect by infrared thermography

    NASA Astrophysics Data System (ADS)

    Sim, Jun-Gi

    2018-03-01

    This paper intends to achieve improved image processing for the clear identification of defects in damaged road pavement structure using infrared thermography non-destructive testing (NDT). To that goal, 4 types of pavement specimen including internal defects were fabricated to exploit the results obtained by heating the specimens by natural light. The results showed that defects located down to a depth of 3 cm could be detected by infrared thermography NDT using the improved image processing method.

  13. Improving compound-protein interaction prediction by building up highly credible negative samples.

    PubMed

    Liu, Hui; Sun, Jianjiang; Guan, Jihong; Zheng, Jie; Zhou, Shuigeng

    2015-06-15

    Computational prediction of compound-protein interactions (CPIs) is of great importance for drug design and development, as genome-scale experimental validation of CPIs is not only time-consuming but also prohibitively expensive. With the availability of an increasing number of validated interactions, the performance of computational prediction approaches is severely impended by the lack of reliable negative CPI samples. A systematic method of screening reliable negative sample becomes critical to improving the performance of in silico prediction methods. This article aims at building up a set of highly credible negative samples of CPIs via an in silico screening method. As most existing computational models assume that similar compounds are likely to interact with similar target proteins and achieve remarkable performance, it is rational to identify potential negative samples based on the converse negative proposition that the proteins dissimilar to every known/predicted target of a compound are not much likely to be targeted by the compound and vice versa. We integrated various resources, including chemical structures, chemical expression profiles and side effects of compounds, amino acid sequences, protein-protein interaction network and functional annotations of proteins, into a systematic screening framework. We first tested the screened negative samples on six classical classifiers, and all these classifiers achieved remarkably higher performance on our negative samples than on randomly generated negative samples for both human and Caenorhabditis elegans. We then verified the negative samples on three existing prediction models, including bipartite local model, Gaussian kernel profile and Bayesian matrix factorization, and found that the performances of these models are also significantly improved on the screened negative samples. Moreover, we validated the screened negative samples on a drug bioactivity dataset. Finally, we derived two sets of new

  14. Quality Improvement Process in a Large Intensive Care Unit: Structure and Outcomes.

    PubMed

    Reddy, Anita J; Guzman, Jorge A

    2016-11-01

    Quality improvement in the health care setting is a complex process, and even more so in the critical care environment. The development of intensive care unit process measures and quality improvement strategies are associated with improved outcomes, but should be individualized to each medical center as structure and culture can differ from institution to institution. The purpose of this report is to describe the structure of quality improvement processes within a large medical intensive care unit while using examples of the study institution's successes and challenges in the areas of stat antibiotic administration, reduction in blood product waste, central line-associated bloodstream infections, and medication errors. © The Author(s) 2015.

  15. Improved Warm-Working Process For An Iron-Base Alloy

    NASA Technical Reports Server (NTRS)

    Cone, Fred P.; Cryns, Brendan J.; Miller, John A.; Zanoni, Robert

    1992-01-01

    Warm-working process produces predominantly unrecrystallized grain structure in forgings of iron-base alloy A286 (PWA 1052 composition). Yield strength and ultimate strength increased, and elongation and reduction of area at break decreased. Improved process used on forgings up to 10 in. thick and weighing up to 900 lb.

  16. Outpatient Pain Predicts Subsequent One-Year Acute Health Care Utilization Among Adults With Sickle Cell Disease

    PubMed Central

    Ezenwa, Miriam O.; Molokie, Robert E.; Wang, Zaijie Jim; Yao, Yingwei; Suarez, Marie L.; Angulo, Veronica; Wilkie, Diana J.

    2014-01-01

    Context Patient demographic and clinical factors have known associations with acute health care utilization (AHCU) among patients with sickle cell disease (SCD), but it is unknown if pain measured predominantly in an outpatient setting is a predictor of future AHCU in patients with SCD. Objectives To determine whether multidimensional pain scores obtained predominantly in an outpatient setting predicted subsequent one-year AHCU by 137 adults with SCD and whether the pain measured at a second visit also predicted AHCU. Methods Pain data included the Composite Pain Index (CPI), a single score representative of a multidimensional pain experience (number of pain sites, intensity, quality, and pattern). Based on the distribution of AHCU events, we divided patients into three groups: (1) zero events (Zero), (2) 1–3 events (Low), or (3) 4–23 events (High). Results The initial CPI scores differed significantly by the three groups (F(2,134)=7.38, P=0.001). Post hoc comparisons showed that the Zero group had lower CPI scores than both the Low group (P<0.01) and the High group (P<0.001). In multiviariate, overdispersed Poisson regression analyses, age, and CPI scores (at both measurement times) were statistically significant predictors of utilization events. Pain intensity scores at both measurement times were significant predictors of utilization, but other pain scores (number of pain sites, quality, and pattern) were not. Conclusion Findings support use of outpatient CPI scores or pain intensity and age to identify at-risk young adults with SCD who are likely to benefit from improved outpatient pain management plans. PMID:24636960

  17. Improvement in Patient Transfer Process From the Operating Room to the PICU Using a Lean and Six Sigma-Based Quality Improvement Project.

    PubMed

    Gleich, Stephen J; Nemergut, Michael E; Stans, Anthony A; Haile, Dawit T; Feigal, Scott A; Heinrich, Angela L; Bosley, Christopher L; Tripathi, Sandeep

    2016-08-01

    Ineffective and inefficient patient transfer processes can increase the chance of medical errors. Improvements in such processes are high-priority local institutional and national patient safety goals. At our institution, nonintubated postoperative pediatric patients are first admitted to the postanesthesia care unit before transfer to the PICU. This quality improvement project was designed to improve the patient transfer process from the operating room (OR) to the PICU. After direct observation of the baseline process, we introduced a structured, direct OR-PICU transfer process for orthopedic spinal fusion patients. We performed value stream mapping of the process to determine error-prone and inefficient areas. We evaluated primary outcome measures of handoff error reduction and the overall efficiency of patient transfer process time. Staff satisfaction was evaluated as a counterbalance measure. With the introduction of the new direct OR-PICU patient transfer process, the handoff communication error rate improved from 1.9 to 0.3 errors per patient handoff (P = .002). Inefficiency (patient wait time and non-value-creating activity) was reduced from 90 to 32 minutes. Handoff content was improved with fewer information omissions (P < .001). Staff satisfaction significantly improved among nearly all PICU providers. By using quality improvement methodology to design and implement a new direct OR-PICU transfer process with a structured multidisciplinary verbal handoff, we achieved sustained improvements in patient safety and efficiency. Handoff communication was enhanced, with fewer errors and content omissions. The new process improved efficiency, with high staff satisfaction. Copyright © 2016 by the American Academy of Pediatrics.

  18. Ethnographic process evaluation of a quality improvement project to improve transitions of care for older people

    PubMed Central

    Sutton, Elizabeth; Dixon-Woods, Mary; Tarrant, Carolyn

    2016-01-01

    Objectives Quality improvement projects to address transitions of care across care boundaries are increasingly common but meet with mixed success for reasons that are poorly understood. We aimed to characterise challenges in a project to improve transitions for older people between hospital and care homes. Design Independent process evaluation, using ethnographic observations and interviews, of a quality improvement project. Setting and participants An English hospital and two residential care homes for older people. Data 32 hours of non-participant observations and 12 semistructured interviews with project members, hospital and care home staff. Results A hospital-based improvement team sought to reduce unplanned readmissions from residential care homes using interventions including a community-based geriatric team that could be accessed directly by care homes and a communication tool intended to facilitate transfer of information between homes and hospital. Only very modest (if any) impacts of these interventions on readmission rates could be detected. The process evaluation identified multiple challenges in implementing interventions and securing improvement. Many of these arose because of lack of consensus on the nature of the problem and the proper solutions: while the hospital team was keen to reduce readmissions and saw the problems as lying in poor communication and lack of community-based support for care homes, the care home staff had different priorities. Care home staff were unconvinced that the improvement interventions were aligned with their needs or addressed their concerns, resulting in compromised implementation. Conclusions Process evaluations have a valuable role in quality improvement. Our study suggests that a key task for quality improvement projects aimed at transitions of care is that of developing a shared view of the problem to be addressed. A more participatory approach could help to surface assumptions, interpretations and interests

  19. Improving Treatment Response for Paediatric Anxiety Disorders: An Information-Processing Perspective.

    PubMed

    Ege, Sarah; Reinholdt-Dunne, Marie Louise

    2016-12-01

    Cognitive behavioural therapy (CBT) is considered the treatment of choice for paediatric anxiety disorders, yet there remains substantial room for improvement in treatment outcomes. This paper examines whether theory and research into the role of information-processing in the underlying psychopathology of paediatric anxiety disorders indicate possibilities for improving treatment response. Using a critical review of recent theoretical, empirical and academic literature, the paper examines the role of information-processing biases in paediatric anxiety disorders, the extent to which CBT targets information-processing biases, and possibilities for improving treatment response. The literature reviewed indicates a role for attentional and interpretational biases in anxious psychopathology. While there is theoretical grounding and limited empirical evidence to indicate that CBT ameliorates interpretational biases, evidence regarding the effects of CBT on attentional biases is mixed. Novel treatment methods including attention bias modification training, attention feedback awareness and control training, and mindfulness-based therapy may hold potential in targeting attentional biases, and thereby in improving treatment response. The integration of novel interventions into an existing evidence-based protocol is a complex issue and faces important challenges with regard to determining the optimal treatment package. Novel interventions targeting information-processing biases may hold potential in improving response to CBT for paediatric anxiety disorders. Many important questions remain to be answered.

  20. Course Development Cycle Time: A Framework for Continuous Process Improvement.

    ERIC Educational Resources Information Center

    Lake, Erinn

    2003-01-01

    Details Edinboro University's efforts to reduce the extended cycle time required to develop new courses and programs. Describes a collaborative process improvement framework, illustrated data findings, the team's recommendations for improvement, and the outcomes of those recommendations. (EV)

  1. Quality control process improvement of flexible printed circuit board by FMEA

    NASA Astrophysics Data System (ADS)

    Krasaephol, Siwaporn; Chutima, Parames

    2018-02-01

    This research focuses on the quality control process improvement of Flexible Printed Circuit Board (FPCB), centred around model 7-Flex, by using Failure Mode and Effect Analysis (FMEA) method to decrease proportion of defective finished goods that are found at the final inspection process. Due to a number of defective units that were found at the final inspection process, high scraps may be escaped to customers. The problem comes from poor quality control process which is not efficient enough to filter defective products from in-process because there is no In-Process Quality Control (IPQC) or sampling inspection in the process. Therefore, the quality control process has to be improved by setting inspection gates and IPCQs at critical processes in order to filter the defective products. The critical processes are analysed by the FMEA method. IPQC is used for detecting defective products and reducing chances of defective finished goods escaped to the customers. Reducing proportion of defective finished goods also decreases scrap cost because finished goods incur higher scrap cost than work in-process. Moreover, defective products that are found during process can reflect the abnormal processes; therefore, engineers and operators should timely solve the problems. Improved quality control was implemented for 7-Flex production lines from July 2017 to September 2017. The result shows decreasing of the average proportion of defective finished goods and the average of Customer Manufacturers Lot Reject Rate (%LRR of CMs) equal to 4.5% and 4.1% respectively. Furthermore, cost saving of this quality control process equals to 100K Baht.

  2. Improving photometric redshift estimation using GPZ: size information, post processing, and improved photometry

    NASA Astrophysics Data System (ADS)

    Gomes, Zahra; Jarvis, Matt J.; Almosallam, Ibrahim A.; Roberts, Stephen J.

    2018-03-01

    The next generation of large-scale imaging surveys (such as those conducted with the Large Synoptic Survey Telescope and Euclid) will require accurate photometric redshifts in order to optimally extract cosmological information. Gaussian Process for photometric redshift estimation (GPZ) is a promising new method that has been proven to provide efficient, accurate photometric redshift estimations with reliable variance predictions. In this paper, we investigate a number of methods for improving the photometric redshift estimations obtained using GPZ (but which are also applicable to others). We use spectroscopy from the Galaxy and Mass Assembly Data Release 2 with a limiting magnitude of r < 19.4 along with corresponding Sloan Digital Sky Survey visible (ugriz) photometry and the UKIRT Infrared Deep Sky Survey Large Area Survey near-IR (YJHK) photometry. We evaluate the effects of adding near-IR magnitudes and angular size as features for the training, validation, and testing of GPZ and find that these improve the accuracy of the results by ˜15-20 per cent. In addition, we explore a post-processing method of shifting the probability distributions of the estimated redshifts based on their Quantile-Quantile plots and find that it improves the bias by ˜40 per cent. Finally, we investigate the effects of using more precise photometry obtained from the Hyper Suprime-Cam Subaru Strategic Program Data Release 1 and find that it produces significant improvements in accuracy, similar to the effect of including additional features.

  3. Improving health care, Part 4: Concepts for improving any clinical process.

    PubMed

    Batalden, P B; Mohr, J J; Nelson, E C; Plume, S K

    1996-10-01

    One promising method for streamlining the generation of "good ideas" is to formulate what are sometimes called change concepts-general notions or approaches to change found useful in developing specific ideas for changes that lead to improvement. For example, in current efforts to reduce health care costs by discounting provider charges, the underlying generic concept is "reducing health care costs," and the specific idea is "discounting provider charges." Short-term gains in health care cost reduction can occur by pursuing discounts. After some time, however, limits to such reduction in costs are experienced. Persevering and continuing to travel down the "discounting provider charges" path is less likely to produce further substantial improvement than returning to the basic concept of "reducing health care costs." An interdisciplinary team aiming to reduce costs while improving quality of care for patients in need of hip joint replacement generated ideas for changing "what's done (process) to get better results." After team members wrote down their improvement ideas, they deduced the underlying change concepts and used them to generate even more ideas for improvement. Such change concepts include reordering the sequence of steps (preadmission physical therapy "certification"), eliminating failures at hand-offs between steps (transfer of information from physician's office to hospital), and eliminating a step (epidural pain control). Learning about making change, encouraging change, managing the change within and across organizations, and learning from the changes tested will characterize the sustainable, thriving health systems of the future.

  4. Electrical Storm Simulation to Improve the Learning Physics Process

    ERIC Educational Resources Information Center

    Martínez Muñoz, Miriam; Jiménez Rodríguez, María Lourdes; Gutiérrez de Mesa, José Antonio

    2013-01-01

    This work is part of a research project whose main objective is to understand the impact that the use of Information and Communication Technology (ICT) has on the teaching and learning process on the subject of Physics. We will show that, with the use of a storm simulator, physics students improve their learning process on one hand they understand…

  5. A Prototype for the Support of Integrated Software Process Development and Improvement

    NASA Astrophysics Data System (ADS)

    Porrawatpreyakorn, Nalinpat; Quirchmayr, Gerald; Chutimaskul, Wichian

    An efficient software development process is one of key success factors for quality software. Not only can the appropriate establishment but also the continuous improvement of integrated project management and of the software development process result in efficiency. This paper hence proposes a software process maintenance framework which consists of two core components: an integrated PMBOK-Scrum model describing how to establish a comprehensive set of project management and software engineering processes and a software development maturity model advocating software process improvement. Besides, a prototype tool to support the framework is introduced.

  6. Sphingosine kinase 2-deficiency mediated changes in spinal pain processing.

    PubMed

    Canlas, Jastrow; Holt, Phillip; Carroll, Alexander; Rix, Shane; Ryan, Paul; Davies, Lorena; Matusica, Dusan; Pitson, Stuart M; Jessup, Claire F; Gibbins, Ian L; Haberberger, Rainer V

    2015-01-01

    Chronic pain is one of the most burdensome health issues facing the planet (as costly as diabetes and cancer combined), and in desperate need for new diagnostic targets leading to better therapies. The bioactive lipid sphingosine 1-phosphate (S1P) and its receptors have recently been shown to modulate nociceptive signaling at the level of peripheral nociceptors and central neurons. However, the exact role of S1P generating enzymes, in particular sphingosine kinase 2 (Sphk2), in nociception remains unknown. We found that both sphingosine kinases, Sphk1 and Sphk2, were expressed in spinal cord (SC) with higher levels of Sphk2 mRNA compared to Sphk1. All three Sphk2 mRNA-isoforms were present with the Sphk2.1 mRNA showing the highest relative expression. Mice deficient in Sphk2 (Sphk2(-/-)) showed in contrast to mice deficient in Sphk1 (Sphk1(-/-)) substantially lower spinal S1P levels compared to wild-type C57BL/6 mice. In the formalin model of acute peripheral inflammatory pain, Sphk2(-/-) mice showed facilitation of nociceptive transmission during the late response, whereas responses to early acute pain, and the number of c-Fos immunoreactive dorsal horn neurons were not different between Sphk2(-/-) and wild-type mice. Chronic peripheral inflammation (CPI) caused a bilateral increase in mechanical sensitivity in Sphk2(-/-) mice. Additionally, CPI increased the relative mRNA expression of P2X4 receptor, brain-derived neurotrophic factor and inducible nitric oxide synthase in the ipsilateral SC of wild-type but not Sphk2(-/-) mice. Similarly, Sphk2(-/-) mice showed in contrast to wild-type no CPI-dependent increase in areas of the dorsal horn immunoreactive for the microglia marker Iba-1 and the astrocyte marker Glial fibrillary acidic protein (GFAP). Our results suggest that the tightly regulated cell signaling enzyme Sphk2 may be a key component for facilitation of nociceptive circuits in the CNS leading to central sensitization and pain memory formation.

  7. Comparing child protective investigation performance between law enforcement agencies and child welfare agencies.

    PubMed

    Jordan, Neil; Yampolskaya, Svetlana; Gustafson, Mara; Armstrong, Mary; McNeish, Roxann; Vargo, Amy

    2011-01-01

    This study examines the comparative effectiveness of using law enforcement agencies for child protective investigation (CPI), in contrast with the traditional approach of CPI conducted by the public child welfare agency. The analysis uses 2006-2007 data from a natural experiment conducted in Florida to show modest differences in performance and cost-efficiency between the two approaches to CPI. These findings may have implications for other states considering outsourcing CPI to law enforcement.

  8. Improving Logistics Processes in Industry Using Web Technologies

    NASA Astrophysics Data System (ADS)

    Jánošík, Ján; Tanuška, Pavol; Václavová, Andrea

    2016-12-01

    The aim of this paper is to propose the concept of a system that takes advantage of web technologies and integrates them into the management process and management of internal stocks which may relate to external applications and creates the conditions to transform a Computerized Control of Warehouse Stock (CCWS) in the company. The importance of implementing CCWS is in the elimination of the claims caused by the human factor, as well as to allow the processing of information for analytical purposes and their subsequent use to improve internal processes. Using CCWS in the company would also facilitate better use of the potential tools Business Intelligence and Data Mining.

  9. 76 FR 19976 - Proposed Information Collection; Comment Request; Survey of EDA Grant Process Improvement

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-04-11

    ...; Comment Request; Survey of EDA Grant Process Improvement AGENCY: Economic Development Administration.... In 2010, EDA made improvements in its grant application process. The proposed short survey of five to... improvements to the grant application process and to make any necessary adjustments. EDA would like to conduct...

  10. How to improve patient satisfaction when patients are already satisfied: a continuous process-improvement approach.

    PubMed

    Friesner, Dan; Neufelder, Donna; Raisor, Janet; Bozman, Carl S

    2009-01-01

    The authors present a methodology that measures improvement in customer satisfaction scores when those scores are already high and the production process is slow and thus does not generate a large amount of useful data in any given time period. The authors used these techniques with data from a midsized rehabilitation institute affiliated with a regional, nonprofit medical center. Thus, this article functions as a case study, the findings of which may be applicable to a large number of other healthcare providers that share both the mission and challenges faced by this facility. The methodology focused on 2 factors: use of the unique characteristics of panel data to overcome the paucity of observations and a dynamic benchmarking approach to track process variability over time. By focusing on these factors, the authors identify some additional areas for process improvement despite the institute's past operational success.

  11. Improved silicon carbide for advanced heat engines. I - Process development for injection molding

    NASA Technical Reports Server (NTRS)

    Whalen, Thomas J.; Trela, Walter

    1989-01-01

    Alternate processing methods have been investigated as a means of improving the mechanical properties of injection-molded SiC. Various mixing processes (dry, high-sheer, and fluid) were evaluated along with the morphology and particle size of the starting beta-SiC powder. Statistically-designed experiments were used to determine significant effects and interactions of variables in the mixing, injection molding, and binder removal process steps. Improvements in mechanical strength can be correlated with the reduction in flaw size observed in the injection molded green bodies obtained with improved processing methods.

  12. Statistical process management: An essential element of quality improvement

    NASA Astrophysics Data System (ADS)

    Buckner, M. R.

    Successful quality improvement requires a balanced program involving the three elements that control quality: organization, people and technology. The focus of the SPC/SPM User's Group is to advance the technology component of Total Quality by networking within the Group and by providing an outreach within Westinghouse to foster the appropriate use of statistic techniques to achieve Total Quality. SPM encompasses the disciplines by which a process is measured against its intrinsic design capability, in the face of measurement noise and other obscuring variability. SPM tools facilitate decisions about the process that generated the data. SPM deals typically with manufacturing processes, but with some flexibility of definition and technique it accommodates many administrative processes as well. The techniques of SPM are those of Statistical Process Control, Statistical Quality Control, Measurement Control, and Experimental Design. In addition, techniques such as job and task analysis, and concurrent engineering are important elements of systematic planning and analysis that are needed early in the design process to ensure success. The SPC/SPM User's Group is endeavoring to achieve its objectives by sharing successes that have occurred within the member's own Westinghouse department as well as within other US and foreign industry. In addition, failures are reviewed to establish lessons learned in order to improve future applications. In broader terms, the Group is interested in making SPM the accepted way of doing business within Westinghouse.

  13. Relationship between quality improvement processes and clinical performance.

    PubMed

    Damberg, Cheryl L; Shortell, Stephen M; Raube, Kristiana; Gillies, Robin R; Rittenhouse, Diane; McCurdy, Rodney K; Casalino, Lawrence P; Adams, John

    2010-08-01

    To examine the association between performance on clinical process measures and intermediate outcomes and the use of chronic care management processes (CMPs), electronic medical record (EMR) capabilities, and participation in external quality improvement (QI) initiatives. Cross-sectional analysis of linked 2006 clinical performance scores from the Integrated Healthcare Association's pay-for-performance program and survey data from the 2nd National Study of Physician Organizations among 108 California physician organizations (POs). Controlling for differences in PO size, organization type (medical group or independent practice association), and Medicaid revenue, we used ordinary least squares regression analysis to examine the association between the use of CMPs, EMR capabilities, and external QI initiatives and performance on the following 3 clinical composite measures: diabetes management, processes of care, and intermediate outcomes (diabetes and cardiovascular). Greater use of CMPs was significantly associated with clinical performance: among POs using more than 5 CMPs, we observed a 3.2-point higher diabetes management score on a performance scale with scores ranging from 0 to 100 (P <.001), while for each 1.0-point increase on the CMP index, we observed a 1.0-point gain in intermediate outcomes (P <.001). Participation in external QI initiatives was positively associated with improved delivery of clinical processes of care: a 1.0-point increase on the QI index translated into a 1.4-point gain in processes-of-care performance (P = .02). No relationship was observed between EMR capabilities and performance. Greater investments in CMPs and QI interventions may help POs raise clinical performance and achieve success under performance-based accountability schemes.

  14. Developing lettuce with improved quality for processed salads.

    USDA-ARS?s Scientific Manuscript database

    Lettuce is increasingly consumed as minimally processed salads. Cultivars grown for this market may require breeding for improved shelf-life and resistance to physiological defects such as tipburn (TB). Tipburn is a calcium deficiency related defect causing necrosis on the leaf margins, typically on...

  15. Process mapping as a framework for performance improvement in emergency general surgery.

    PubMed

    DeGirolamo, Kristin; D'Souza, Karan; Hall, William; Joos, Emilie; Garraway, Naisan; Sing, Chad Kim; McLaughlin, Patrick; Hameed, Morad

    2017-12-01

    Emergency general surgery conditions are often thought of as being too acute for the development of standardized approaches to quality improvement. However, process mapping, a concept that has been applied extensively in manufacturing quality improvement, is now being used in health care. The objective of this study was to create process maps for small bowel obstruction in an effort to identify potential areas for quality improvement. We used the American College of Surgeons Emergency General Surgery Quality Improvement Program pilot database to identify patients who received nonoperative or operative management of small bowel obstruction between March 2015 and March 2016. This database, patient charts and electronic health records were used to create process maps from the time of presentation to discharge. Eighty-eight patients with small bowel obstruction (33 operative; 55 nonoperative) were identified. Patients who received surgery had a complication rate of 32%. The processes of care from the time of presentation to the time of follow-up were highly elaborate and variable in terms of duration; however, the sequences of care were found to be consistent. We used data visualization strategies to identify bottlenecks in care, and they showed substantial variability in terms of operating room access. Variability in the operative care of small bowel obstruction is high and represents an important improvement opportunity in general surgery. Process mapping can identify common themes, even in acute care, and suggest specific performance improvement measures.

  16. Process mapping as a framework for performance improvement in emergency general surgery.

    PubMed

    DeGirolamo, Kristin; D'Souza, Karan; Hall, William; Joos, Emilie; Garraway, Naisan; Sing, Chad Kim; McLaughlin, Patrick; Hameed, Morad

    2018-02-01

    Emergency general surgery conditions are often thought of as being too acute for the development of standardized approaches to quality improvement. However, process mapping, a concept that has been applied extensively in manufacturing quality improvement, is now being used in health care. The objective of this study was to create process maps for small bowel obstruction in an effort to identify potential areas for quality improvement. We used the American College of Surgeons Emergency General Surgery Quality Improvement Program pilot database to identify patients who received nonoperative or operative management of small bowel obstruction between March 2015 and March 2016. This database, patient charts and electronic health records were used to create process maps from the time of presentation to discharge. Eighty-eight patients with small bowel obstruction (33 operative; 55 nonoperative) were identified. Patients who received surgery had a complication rate of 32%. The processes of care from the time of presentation to the time of follow-up were highly elaborate and variable in terms of duration; however, the sequences of care were found to be consistent. We used data visualization strategies to identify bottlenecks in care, and they showed substantial variability in terms of operating room access. Variability in the operative care of small bowel obstruction is high and represents an important improvement opportunity in general surgery. Process mapping can identify common themes, even in acute care, and suggest specific performance improvement measures.

  17. Recent National Transonic Facility Test Process Improvements (Invited)

    NASA Technical Reports Server (NTRS)

    Kilgore, W. A.; Balakrishna, S.; Bobbitt, C. W., Jr.; Adcock, J. B.

    2001-01-01

    This paper describes the results of two recent process improvements; drag feed-forward Mach number control and simultaneous force/moment and pressure testing, at the National Transonic Facility. These improvements have reduced the duration and cost of testing. The drag feed-forward Mach number control reduces the Mach number settling time by using measured model drag in the Mach number control algorithm. Simultaneous force/moment and pressure testing allows simultaneous collection of force/moment and pressure data without sacrificing data quality thereby reducing the overall testing time. Both improvements can be implemented at any wind tunnel. Additionally the NTF is working to develop and implement continuous pitch as a testing option as an additional method to reduce costs and maintain data quality.

  18. Recent National Transonic Facility Test Process Improvements (Invited)

    NASA Technical Reports Server (NTRS)

    Kilgore, W. A.; Balakrishna, S.; Bobbitt, C. W., Jr.; Adcock, J. B.

    2001-01-01

    This paper describes the results of two recent process improvements; drag feed-forward Mach number control and simultaneous force/moment and pressure testing, at the National Transonic Facility. These improvements have reduced the duration and cost of testing. The drag feedforward Mach number control reduces the Mach number settling time by using measured model drag in the Mach number control algorithm. Simultaneous force/moment and pressure testing allows simultaneous collection of force/moment and pressure data without sacrificing data quality thereby reducing the overall testing time. Both improvements can be implemented at any wind tunnel. Additionally the NTF is working to develop and implement continuous pitch as a testing option as an additional method to reduce costs and maintain data quality.

  19. Improved molding process ensures plastic parts of higher tensile strength

    NASA Technical Reports Server (NTRS)

    Heier, W. C.

    1968-01-01

    Single molding process ensures that plastic parts /of a given mechanical design/ produced from a conventional thermosetting molding compound will have a maximum tensile strength. The process can also be used for other thermosetting compounds to produce parts with improved physical properties.

  20. Ethnographic process evaluation of a quality improvement project to improve transitions of care for older people.

    PubMed

    Sutton, Elizabeth; Dixon-Woods, Mary; Tarrant, Carolyn

    2016-08-04

    Quality improvement projects to address transitions of care across care boundaries are increasingly common but meet with mixed success for reasons that are poorly understood. We aimed to characterise challenges in a project to improve transitions for older people between hospital and care homes. Independent process evaluation, using ethnographic observations and interviews, of a quality improvement project. An English hospital and two residential care homes for older people. 32 hours of non-participant observations and 12 semistructured interviews with project members, hospital and care home staff. A hospital-based improvement team sought to reduce unplanned readmissions from residential care homes using interventions including a community-based geriatric team that could be accessed directly by care homes and a communication tool intended to facilitate transfer of information between homes and hospital. Only very modest (if any) impacts of these interventions on readmission rates could be detected. The process evaluation identified multiple challenges in implementing interventions and securing improvement. Many of these arose because of lack of consensus on the nature of the problem and the proper solutions: while the hospital team was keen to reduce readmissions and saw the problems as lying in poor communication and lack of community-based support for care homes, the care home staff had different priorities. Care home staff were unconvinced that the improvement interventions were aligned with their needs or addressed their concerns, resulting in compromised implementation. Process evaluations have a valuable role in quality improvement. Our study suggests that a key task for quality improvement projects aimed at transitions of care is that of developing a shared view of the problem to be addressed. A more participatory approach could help to surface assumptions, interpretations and interests and could facilitate the coproduction of solutions. This finding is

  1. Case Study: Accelerating Process Improvement by Integrating the TSP and CMMI

    DTIC Science & Technology

    2005-12-01

    improve their work? Watts S . Humphrey , a founder of the process improvement initiative at the SEI, de- cided to apply SW-CMM principles to the...authorized PSP instructor. At Schwalb’s urging, Watts Humphrey briefed the SLT on the PSP and TSP, and after the briefing, the team understood...hefley.html. [ Humphrey 96] Humphrey , Watts S . Introduction to the Personal Software Process. Boston, MA: Addison-Wesley Publishing Company, Inc., 1996

  2. Applying Process Improvement Methods to Clinical and Translational Research: Conceptual Framework and Case Examples

    PubMed Central

    Selker, Harry P.; Leslie, Laurel K.

    2015-01-01

    Abstract There is growing appreciation that process improvement holds promise for improving quality and efficiency across the translational research continuum but frameworks for such programs are not often described. The purpose of this paper is to present a framework and case examples of a Research Process Improvement Program implemented at Tufts CTSI. To promote research process improvement, we developed online training seminars, workshops, and in‐person consultation models to describe core process improvement principles and methods, demonstrate the use of improvement tools, and illustrate the application of these methods in case examples. We implemented these methods, as well as relational coordination theory, with junior researchers, pilot funding awardees, our CTRC, and CTSI resource and service providers. The program focuses on capacity building to address common process problems and quality gaps that threaten the efficient, timely and successful completion of clinical and translational studies. PMID:26332869

  3. IMPROVING TACONITE PROCESSING PLANT EFFICIENCY BY COMPUTER SIMULATION, Final Report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    William M. Bond; Salih Ersayin

    2007-03-30

    This project involved industrial scale testing of a mineral processing simulator to improve the efficiency of a taconite processing plant, namely the Minorca mine. The Concentrator Modeling Center at the Coleraine Minerals Research Laboratory, University of Minnesota Duluth, enhanced the capabilities of available software, Usim Pac, by developing mathematical models needed for accurate simulation of taconite plants. This project provided funding for this technology to prove itself in the industrial environment. As the first step, data representing existing plant conditions were collected by sampling and sample analysis. Data were then balanced and provided a basis for assessing the efficiency ofmore » individual devices and the plant, and also for performing simulations aimed at improving plant efficiency. Performance evaluation served as a guide in developing alternative process strategies for more efficient production. A large number of computer simulations were then performed to quantify the benefits and effects of implementing these alternative schemes. Modification of makeup ball size was selected as the most feasible option for the target performance improvement. This was combined with replacement of existing hydrocyclones with more efficient ones. After plant implementation of these modifications, plant sampling surveys were carried out to validate findings of the simulation-based study. Plant data showed very good agreement with the simulated data, confirming results of simulation. After the implementation of modifications in the plant, several upstream bottlenecks became visible. Despite these bottlenecks limiting full capacity, concentrator energy improvement of 7% was obtained. Further improvements in energy efficiency are expected in the near future. The success of this project demonstrated the feasibility of a simulation-based approach. Currently, the Center provides simulation-based service to all the iron ore mining companies operating in northern

  4. [Process management in the hospital pharmacy for the improvement of the patient safety].

    PubMed

    Govindarajan, R; Perelló-Juncá, A; Parès-Marimòn, R M; Serrais-Benavente, J; Ferrandez-Martí, D; Sala-Robinat, R; Camacho-Calvente, A; Campabanal-Prats, C; Solà-Anderiu, I; Sanchez-Caparrós, S; Gonzalez-Estrada, J; Martinez-Olalla, P; Colomer-Palomo, J; Perez-Mañosas, R; Rodríguez-Gallego, D

    2013-01-01

    To define a process management model for a hospital pharmacy in order to measure, analyse and make continuous improvements in patient safety and healthcare quality. In order to implement process management, Igualada Hospital was divided into different processes, one of which was the Hospital Pharmacy. A multidisciplinary management team was given responsibility for each process. For each sub-process one person was identified to be responsible, and a working group was formed under his/her leadership. With the help of each working group, a risk analysis using failure modes and effects analysis (FMEA) was performed, and the corresponding improvement actions were implemented. Sub-process indicators were also identified, and different process management mechanisms were introduced. The first risk analysis with FMEA produced more than thirty preventive actions to improve patient safety. Later, the weekly analysis of errors, as well as the monthly analysis of key process indicators, permitted us to monitor process results and, as each sub-process manager participated in these meetings, also to assume accountability and responsibility, thus consolidating the culture of excellence. The introduction of different process management mechanisms, with the participation of people responsible for each sub-process, introduces a participative management tool for the continuous improvement of patient safety and healthcare quality. Copyright © 2012 SECA. Published by Elsevier Espana. All rights reserved.

  5. Demystifying process mapping: a key step in neurosurgical quality improvement initiatives.

    PubMed

    McLaughlin, Nancy; Rodstein, Jennifer; Burke, Michael A; Martin, Neil A

    2014-08-01

    Reliable delivery of optimal care can be challenging for care providers. Health care leaders have integrated various business tools to assist them and their teams in ensuring consistent delivery of safe and top-quality care. The cornerstone to all quality improvement strategies is the detailed understanding of the current state of a process, captured by process mapping. Process mapping empowers caregivers to audit how they are currently delivering care to subsequently strategically plan improvement initiatives. As a community, neurosurgery has clearly shown dedication to enhancing patient safety and delivering quality care. A care redesign strategy named NERVS (Neurosurgery Enhanced Recovery after surgery, Value, and Safety) is currently being developed and piloted within our department. Through this initiative, a multidisciplinary team led by a clinician neurosurgeon has process mapped the way care is currently being delivered throughout the entire episode of care. Neurosurgeons are becoming leaders in quality programs, and their education on the quality improvement strategies and tools is essential. The authors present a comprehensive review of process mapping, demystifying its planning, its building, and its analysis. The particularities of using process maps, initially a business tool, in the health care arena are discussed, and their specific use in an academic neurosurgical department is presented.

  6. Refined avian risk assessment for chlorpyrifos in the United States.

    PubMed

    Moore, Dwayne R J; Teed, R Scott; Greer, Colleen D; Solomon, Keith R; Giesy, John P

    2014-01-01

    Refined risk assessments for birds exposed to flowable and granular formulations ofCPY were conducted for a range of current use patterns in the United States. Overall,the collective evidence from the modeling and field study lines of evidence indicate that flowable and granular CPY do not pose significant risks to the bird communities foraging in agro-ecosystems in the United States. The available information indicates that avian incidents resulting from the legal, registered uses of CPY have been very infrequent since 2002 (see SI Appendix 3). The small number of recent incidents suggests that the current labels for CPY are generally protective of birds.However, incident data are uncertain because of the difficulties associated with finding dead birds in the field and linking any mortality observed to CPY.Plowable CPY is registered for a variety of crops in the United States including alfalfa, brassica vegetables, citrus, corn, cotton, grape, mint, onion, peanut, pome and stone fruits, soybean, sugar beet, sunflower, sweet potato, tree nuts, and wheat under the trade name Lorsban Advanced. The major routes of exposure for birds to flowable CPY were consumption of treated dietary items and drinking water. The Liquid Pesticide Avian Risk Assessment Model (Liquid PARAM) was used to simulate avian ingestion of CPY by these routes of exposure. For acute exposure,Liquid PARAM estimated the maximum retained dose in each of 20 birds on each of1,000 fields that were treated with CPY over the 60-d period following initial application.The model used a 1-h time step. For species lacking acceptable acute oral toxicity data (all focal species except northern bobwhite (C. virginianus) and redwinged blackbird (A. phoeniceus)), a species sensitivity distribution (SSD) approach was used to generate hypothetical dose-response curves assuming high, median and low sensitivity to CPY. For acute risk, risk curves were generated for each use pattern and exposure scenario. The risk

  7. Measurement Comparisons Towards Improving the Understanding of Aerosol-Cloud Processing

    NASA Astrophysics Data System (ADS)

    Noble, Stephen R.

    Cloud processing of aerosol is an aerosol-cloud interaction that is not heavily researched but could have implications on climate. The three types of cloud processing are chemical processing, collision and coalescence processing, and Brownian capture of interstitial particles. All types improve cloud condensation nuclei (CCN) in size or hygroscopicity (kappa). These improved CCN affect subsequent clouds. This dissertation focuses on measurement comparisons to improve our observations and understanding of aerosol-cloud processing. Particle size distributions measured at the continental Southern Great Plains (SGP) site were compared with ground based measurements of cloud fraction (CF) and cloud base altitude (CBA). Particle size distributions were described by a new objective shape parameter to define bimodality rather than an old subjective one. Cloudy conditions at SGP were found to be correlated with lagged shape parameter. Horizontal wind speed and regional CF explained 42%+ of this lag time. Many of these surface particle size distributions were influenced by aerosol-cloud processing. Thus, cloud processing may be more widespread with more implications than previously thought. Particle size distributions measured during two aircraft field campaigns (MArine Stratus/stratocumulus Experiment; MASE; and Ice in Cloud Experiment-Tropical; ICE-T) were compared to CCN distributions. Tuning particle size to critical supersaturation revealed hygroscopicity expressed as ? when the distributions were overlain. Distributions near cumulus clouds (ICE-T) had a higher frequency of the same ?s (48% in ICE-T to 42% in MASE) between the accumulation (processed) and Aitken (unprocessed) modes. This suggested physical processing domination in ICE-T. More MASE (stratus cloud) kappa differences between modes pointed to chemical cloud processing. Chemistry measurements made in MASE showed increases in sulfates and nitrates with distributions that were more processed. This supported

  8. Applying Process Improvement Methods to Clinical and Translational Research: Conceptual Framework and Case Examples.

    PubMed

    Daudelin, Denise H; Selker, Harry P; Leslie, Laurel K

    2015-12-01

    There is growing appreciation that process improvement holds promise for improving quality and efficiency across the translational research continuum but frameworks for such programs are not often described. The purpose of this paper is to present a framework and case examples of a Research Process Improvement Program implemented at Tufts CTSI. To promote research process improvement, we developed online training seminars, workshops, and in-person consultation models to describe core process improvement principles and methods, demonstrate the use of improvement tools, and illustrate the application of these methods in case examples. We implemented these methods, as well as relational coordination theory, with junior researchers, pilot funding awardees, our CTRC, and CTSI resource and service providers. The program focuses on capacity building to address common process problems and quality gaps that threaten the efficient, timely and successful completion of clinical and translational studies. © 2015 The Authors. Clinical and Translational Science published by Wiley Periodicals, Inc.

  9. Intelligent process mapping through systematic improvement of heuristics

    NASA Technical Reports Server (NTRS)

    Ieumwananonthachai, Arthur; Aizawa, Akiko N.; Schwartz, Steven R.; Wah, Benjamin W.; Yan, Jerry C.

    1992-01-01

    The present system for automatic learning/evaluation of novel heuristic methods applicable to the mapping of communication-process sets on a computer network has its basis in the testing of a population of competing heuristic methods within a fixed time-constraint. The TEACHER 4.1 prototype learning system implemented or learning new postgame analysis heuristic methods iteratively generates and refines the mappings of a set of communicating processes on a computer network. A systematic exploration of the space of possible heuristic methods is shown to promise significant improvement.

  10. Control Improvement for Jump-Diffusion Processes with Applications to Finance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baeuerle, Nicole, E-mail: nicole.baeuerle@kit.edu; Rieder, Ulrich, E-mail: ulrich.rieder@uni-ulm.de

    2012-02-15

    We consider stochastic control problems with jump-diffusion processes and formulate an algorithm which produces, starting from a given admissible control {pi}, a new control with a better value. If no improvement is possible, then {pi} is optimal. Such an algorithm is well-known for discrete-time Markov Decision Problems under the name Howard's policy improvement algorithm. The idea can be traced back to Bellman. Here we show with the help of martingale techniques that such an algorithm can also be formulated for stochastic control problems with jump-diffusion processes. As an application we derive some interesting results in financial portfolio optimization.

  11. The Data-to-Action Framework: A Rapid Program Improvement Process

    ERIC Educational Resources Information Center

    Zakocs, Ronda; Hill, Jessica A.; Brown, Pamela; Wheaton, Jocelyn; Freire, Kimberley E.

    2015-01-01

    Although health education programs may benefit from quality improvement methods, scant resources exist to help practitioners apply these methods for program improvement. The purpose of this article is to describe the Data-to-Action framework, a process that guides practitioners through rapid-feedback cycles in order to generate actionable data to…

  12. A Study on Improving Information Processing Abilities Based on PBL

    ERIC Educational Resources Information Center

    Kim, Du Gyu; Lee, JaeMu

    2014-01-01

    This study examined an instruction method for the improvement of information processing abilities in elementary school students. Current elementary students are required to develop information processing abilities to create new knowledge for this digital age. There is, however, a shortage of instruction strategies for these information processing…

  13. The use of discrete-event simulation modelling to improve radiation therapy planning processes.

    PubMed

    Werker, Greg; Sauré, Antoine; French, John; Shechter, Steven

    2009-07-01

    The planning portion of the radiation therapy treatment process at the British Columbia Cancer Agency is efficient but nevertheless contains room for improvement. The purpose of this study is to show how a discrete-event simulation (DES) model can be used to represent this complex process and to suggest improvements that may reduce the planning time and ultimately reduce overall waiting times. A simulation model of the radiation therapy (RT) planning process was constructed using the Arena simulation software, representing the complexities of the system. Several types of inputs feed into the model; these inputs come from historical data, a staff survey, and interviews with planners. The simulation model was validated against historical data and then used to test various scenarios to identify and quantify potential improvements to the RT planning process. Simulation modelling is an attractive tool for describing complex systems, and can be used to identify improvements to the processes involved. It is possible to use this technique in the area of radiation therapy planning with the intent of reducing process times and subsequent delays for patient treatment. In this particular system, reducing the variability and length of oncologist-related delays contributes most to improving the planning time.

  14. Interrupted Time Series Versus Statistical Process Control in Quality Improvement Projects.

    PubMed

    Andersson Hagiwara, Magnus; Andersson Gäre, Boel; Elg, Mattias

    2016-01-01

    To measure the effect of quality improvement interventions, it is appropriate to use analysis methods that measure data over time. Examples of such methods include statistical process control analysis and interrupted time series with segmented regression analysis. This article compares the use of statistical process control analysis and interrupted time series with segmented regression analysis for evaluating the longitudinal effects of quality improvement interventions, using an example study on an evaluation of a computerized decision support system.

  15. Improving Work Processes by Making the Invisible Visible

    ERIC Educational Resources Information Center

    Bakker, Arthur; Hoyles, Celia; Kent, Phillip; Noss, Richard

    2006-01-01

    Increasingly, companies are taking part in process improvement programmes, which brings about a growing need for employees to interpret and act on data representations. We have carried out case studies in a range of companies to identify the existence and need of what we call "techno-mathematical literacies" (TmL): functional mathematical…

  16. Ontario's emergency department process improvement program: the experience of implementation.

    PubMed

    Rotteau, Leahora; Webster, Fiona; Salkeld, Erin; Hellings, Chelsea; Guttmann, Astrid; Vermeulen, Marian J; Bell, Robert S; Zwarenstein, Merrick; Rowe, Brian H; Nigam, Amit; Schull, Michael J

    2015-06-01

    In recent years, Lean manufacturing principles have been applied to health care quality improvement efforts to improve wait times. In Ontario, an emergency department (ED) process improvement program based on Lean principles was introduced by the Ministry of Health and Long-Term Care as part of a strategy to reduce ED length of stay (LOS) and to improve patient flow. This article aims to describe the hospital-based teams' experiences during the ED process improvement program implementation and the teams' perceptions of the key factors that influenced the program's success or failure. A qualitative evaluation was conducted based on semistructured interviews with hospital implementation team members, such as team leads, medical leads, and executive sponsors, at 10 purposively selected hospitals in Ontario, Canada. Sites were selected based, in part, on their changes in median ED LOS following the implementation period. A thematic framework approach as used for interviews, and a standard thematic coding framework was developed. Twenty-four interviews were coded and analyzed. The results are organized according to participants' experience and are grouped into four themes that were identified as significantly affecting the implementation experience: local contextual factors, relationship between improvement team and support players, staff engagement, and success and sustainability. The results demonstrate the importance of the context of implementation, establishing strong relationships and communication strategies, and preparing for implementation and sustainability prior to the start of the project. Several key factors were identified as important to the success of the program, such as preparing for implementation, ensuring strong executive support, creation of implementation teams based on the tasks and outcomes of the initiative, and using multiple communication strategies throughout the implementation process. Explicit incorporation of these factors into the

  17. Women-focused treatment agencies and process improvement: Strategies to increase client engagement

    PubMed Central

    Wisdom, Jennifer P.; Hoffman, Kim; Rechberger, Elke; Seim, Kay; Owens, Betta

    2009-01-01

    Behavioral health treatment agencies often struggle to keep clients engaged in treatment. Women clients often have additional factors such as family responsibilities, financial difficulties, or abuse histories that provide extra challenges to remaining in care. As part of a national initiative, four women-focused drug treatment agencies used process improvement to address treatment engagement. Interviews and focus groups with staff assessed the nature and extent of interventions. Women-focused drug treatment agencies selected relational-based interventions to engage clients in treatment and improved four-week treatment retention from 66% to 76%. Process improvement interventions in women-focused treatment may be useful to improve engagement. PMID:20046914

  18. Improving operating room productivity via parallel anesthesia processing.

    PubMed

    Brown, Michael J; Subramanian, Arun; Curry, Timothy B; Kor, Daryl J; Moran, Steven L; Rohleder, Thomas R

    2014-01-01

    Parallel processing of regional anesthesia may improve operating room (OR) efficiency in patients undergoes upper extremity surgical procedures. The purpose of this paper is to evaluate whether performing regional anesthesia outside the OR in parallel increases total cases per day, improve efficiency and productivity. Data from all adult patients who underwent regional anesthesia as their primary anesthetic for upper extremity surgery over a one-year period were used to develop a simulation model. The model evaluated pure operating modes of regional anesthesia performed within and outside the OR in a parallel manner. The scenarios were used to evaluate how many surgeries could be completed in a standard work day (555 minutes) and assuming a standard three cases per day, what was the predicted end-of-day time overtime. Modeling results show that parallel processing of regional anesthesia increases the average cases per day for all surgeons included in the study. The average increase was 0.42 surgeries per day. Where it was assumed that three cases per day would be performed by all surgeons, the days going to overtime was reduced by 43 percent with parallel block. The overtime with parallel anesthesia was also projected to be 40 minutes less per day per surgeon. Key limitations include the assumption that all cases used regional anesthesia in the comparisons. Many days may have both regional and general anesthesia. Also, as a case study, single-center research may limit generalizability. Perioperative care providers should consider parallel administration of regional anesthesia where there is a desire to increase daily upper extremity surgical case capacity. Where there are sufficient resources to do parallel anesthesia processing, efficiency and productivity can be significantly improved. Simulation modeling can be an effective tool to show practice change effects at a system-wide level.

  19. The Goddard Cumulus Ensemble Model (GCE): Improvements and Applications for Studying Precipitation Processes

    NASA Technical Reports Server (NTRS)

    Tao, Wei-Kuo; Lang, Stephen E.; Zeng, Xiping; Li, Xiaowen; Matsui, Toshi; Mohr, Karen; Posselt, Derek; Chern, Jiundar; Peters-Lidard, Christa; Norris, Peter M.; hide

    2014-01-01

    Convection is the primary transport process in the Earth's atmosphere. About two-thirds of the Earth's rainfall and severe floods derive from convection. In addition, two-thirds of the global rain falls in the tropics, while the associated latent heat release accounts for three-fourths of the total heat energy for the Earth's atmosphere. Cloud-resolving models (CRMs) have been used to improve our understanding of cloud and precipitation processes and phenomena from micro-scale to cloud-scale and mesoscale as well as their interactions with radiation and surface processes. CRMs use sophisticated and realistic representations of cloud microphysical processes and can reasonably well resolve the time evolution, structure, and life cycles of clouds and cloud systems. CRMs also allow for explicit interaction between clouds, outgoing longwave (cooling) and incoming solar (heating) radiation, and ocean and land surface processes. Observations are required to initialize CRMs and to validate their results. The Goddard Cumulus Ensemble model (GCE) has been developed and improved at NASA/Goddard Space Flight Center over the past three decades. It is amulti-dimensional non-hydrostatic CRM that can simulate clouds and cloud systems in different environments. Early improvements and testing were presented in Tao and Simpson (1993) and Tao et al. (2003a). A review on the application of the GCE to the understanding of precipitation processes can be found in Simpson and Tao (1993) and Tao (2003). In this paper, recent model improvements (microphysics, radiation and land surface processes) are described along with their impact and performance on cloud and precipitation events in different geographic locations via comparisons with observations. In addition, recent advanced applications of the GCE are presented that include understanding the physical processes responsible for diurnal variation, examining the impact of aerosols (cloud condensation nuclei or CCN and ice nuclei or IN) on

  20. Predictors of Online Cancer Prevention Information Seeking Among Patients and Caregivers Across the Digital Divide: A Cross-Sectional, Correlational Study.

    PubMed

    Ginossar, Tamar

    2016-03-09

    The digital divide is a recognized public health problem caused by social determinants that exacerbate health disparities. Despite the "tectonic shift" in how most of the public obtains cancer information, underserved communities are at increased risk of being digitally marginalized. However, research that examines factors underlying eHealth information seeking in diverse health contexts is lacking. The aim of this paper is to explore preferences and use of eHealth cancer prevention information (CPI) among patients and caregivers attending a minority-serving oncology clinic using the comprehensive model of information seeking as a theoretical framework. Specifically, the study examined the role of social determinants and prevention orientation in differences in preference and use of the Internet for CPI seeking among this diverse sample. Survey methodology was used to identify social determinants and behavioral factors, including prevention orientation as correlates and predictors of respondents' (n=252) preferences and use of eHealth for CPI seeking. Less than half (112/252, 44.4%) of respondents said that if faced with the need to seek CPI, they would seek this information online. In the final logistic regression model, education, ethnicity, age, and prevention orientation made significant contributions to the model (P<.05). Specifically, for each year increase in age, participants were 3% less likely to use the Internet for CPI seeking (P=.011). Compared to college graduates, respondents who did not complete high school were 11.75 times less likely to cite the Internet as a CPI carrier (P<.001) and those with a high school education were 3 times (2.99, P=.015) less likely. In addition, the odds that a Spanish speaker would cite the Internet as a CPI carrier were one-fifth (22%) of non-Hispanic whites (P=.032) and about one-quarter (26%) of English-speaking Latinos (P=.036). Finally, with each one point increase on the prevention orientation scale, respondents

  1. Statistical process control methods allow the analysis and improvement of anesthesia care.

    PubMed

    Fasting, Sigurd; Gisvold, Sven E

    2003-10-01

    Quality aspects of the anesthetic process are reflected in the rate of intraoperative adverse events. The purpose of this report is to illustrate how the quality of the anesthesia process can be analyzed using statistical process control methods, and exemplify how this analysis can be used for quality improvement. We prospectively recorded anesthesia-related data from all anesthetics for five years. The data included intraoperative adverse events, which were graded into four levels, according to severity. We selected four adverse events, representing important quality and safety aspects, for statistical process control analysis. These were: inadequate regional anesthesia, difficult emergence from general anesthesia, intubation difficulties and drug errors. We analyzed the underlying process using 'p-charts' for statistical process control. In 65,170 anesthetics we recorded adverse events in 18.3%; mostly of lesser severity. Control charts were used to define statistically the predictable normal variation in problem rate, and then used as a basis for analysis of the selected problems with the following results: Inadequate plexus anesthesia: stable process, but unacceptably high failure rate; Difficult emergence: unstable process, because of quality improvement efforts; Intubation difficulties: stable process, rate acceptable; Medication errors: methodology not suited because of low rate of errors. By applying statistical process control methods to the analysis of adverse events, we have exemplified how this allows us to determine if a process is stable, whether an intervention is required, and if quality improvement efforts have the desired effect.

  2. Investigation of optical current transformer signal processing method based on an improved Kalman algorithm

    NASA Astrophysics Data System (ADS)

    Shen, Yan; Ge, Jin-ming; Zhang, Guo-qing; Yu, Wen-bin; Liu, Rui-tong; Fan, Wei; Yang, Ying-xuan

    2018-01-01

    This paper explores the problem of signal processing in optical current transformers (OCTs). Based on the noise characteristics of OCTs, such as overlapping signals, noise frequency bands, low signal-to-noise ratios, and difficulties in acquiring statistical features of noise power, an improved standard Kalman filtering algorithm was proposed for direct current (DC) signal processing. The state-space model of the OCT DC measurement system is first established, and then mixed noise can be processed by adding mixed noise into measurement and state parameters. According to the minimum mean squared error criterion, state predictions and update equations of the improved Kalman algorithm could be deduced based on the established model. An improved central difference Kalman filter was proposed for alternating current (AC) signal processing, which improved the sampling strategy and noise processing of colored noise. Real-time estimation and correction of noise were achieved by designing AC and DC noise recursive filters. Experimental results show that the improved signal processing algorithms had a good filtering effect on the AC and DC signals with mixed noise of OCT. Furthermore, the proposed algorithm was able to achieve real-time correction of noise during the OCT filtering process.

  3. Social Security cost-of-living adjustments and the Consumer Price Index.

    PubMed

    Burdick, Clark; Fisher, Lynn

    2007-01-01

    OASDI benefits are indexed for inflation to protect beneficiaries from the loss of purchasing power implied by inflation. In the absence of such indexing, the purchasing power of Social Security benefits would be eroded as rising prices raise the cost of living. By statute, cost-of-living adjustments (COLAs) for Social Security benefits are calculated using the Bureau of Labor Statistics (BLS) Consumer Price Index for Urban Wage Earners and Clerical Workers (CPI-W). Some argue that this index does not accurately reflect the inflation experienced by the elderly population and should be changed to an elderly-specific price index such as the Experimental Consumer Price Index for Americans 62 Years of Age and Older, often referred to as the Consumer Price Index for the Elderly (CPI-E). Others argue that the measure of inflation underlying the COLA is technically biased, causing it to overestimate changes in the cost of living. This argument implies that current COLAs tend to increase, rather than merely maintain, the purchasing power of benefits over time. Potential bias in the CPI as a cost-of-living index arises from a number of sources, including incomplete accounting for the ability of consumers to substitute goods or change purchasing outlets in response to relative price changes. The BLS has constructed a new index called the Chained Consumer Price Index for All Urban Consumers (C-CPI-U) that better accounts for those consumer adjustments. Price indexes are not true cost-of-living indexes, but approximations of cost-of-living indexes (COLI). The Bureau of Labor Statistics (2006a) explains the difference between the two: As it pertains to the CPI, the COLI for the current month is based on the answer to the following question: "What is the cost, at this month ' market prices, of achieving the standard of living actually attained in the base period?" This cost is a hypothetical expenditure-the lowest expenditure level necessary at this month's prices to achieve the

  4. The operations manual: a mechanism for improving the research process.

    PubMed

    Bowman, Ann; Wyman, Jean F; Peters, Jennifer

    2002-01-01

    The development and use of an operations manual has the potential to improve the capacity of nurse scientists to address the complex, multifaceted issues associated with conducting research in today's healthcare environment. An operations manual facilitates communication, standardizes training and evaluation, and enhances the development and standard implementation of clear policies, processes, and protocols. A 10-year review of methodology articles in relevant nursing journals revealed no attention to this topic. This article will discuss how an operations manual can improve the conduct of research methods and outcomes for both small-scale and large-scale research studies. It also describes the purpose and components of a prototype operations manual for use in quantitative research. The operations manual increases reliability and reproducibility of the research while improving the management of study processes. It can prevent costly and untimely delays or errors in the conduct of research.

  5. Chromobacterium pathogenicity island 1 type III secretion system is a major virulence determinant for Chromobacterium violaceum-induced cell death in hepatocytes.

    PubMed

    Miki, Tsuyoshi; Iguchi, Mirei; Akiba, Kinari; Hosono, Masato; Sobue, Tomoyoshi; Danbara, Hirofumi; Okada, Nobuhiko

    2010-08-01

    Chromobacterium violaceum is a Gram-negative bacterium that causes fatal septicaemia in humans and animals. C. violaceum ATCC 12472 possesses genes associated with two distinct type III secretion systems (T3SSs). One of these systems is encoded by Chromobacterium pathogenicity islands 1 and 1a (Cpi-1/-1a), another is encoded by Chromobacterium pathogenicity island 2 (Cpi-2). Here we show that C. violaceum causes fulminant hepatitis in a mouse infection model, and Cpi-1/-1a-encoded T3SS is required for its virulence. In addition, using C. violaceum strains with defined mutations in the genes that encode the Cpi-1/-1a or Cpi-2 locus in combination with cultured mammalian cell lines, we found that C. violaceum is able to induce cytotoxicity in a Cpi-1/-1a-dependent manner. Characterization of Chromobacterium-induced cytotoxicity revealed that cell lysis by C. violaceum infection involves the formation of pore structures on the host cell membrane, as demonstrated by protection by cytotoxicity in the presence of osmoprotectants. Finally, we demonstrated that CipB, a Cpi-1/-1a effector, is implicated in translocator-mediated pore formation and the ability of CipB to form a pore is essential for Chromobacterium-induced cytotoxicity. These results strongly suggest that Cpi-1/-1a-encoded T3SS is a virulence determinant that causes fatal infection by the induction of cell death in hepatocytes. © 2010 Blackwell Publishing Ltd.

  6. Films based on protein isolated from croaker (Micropogonias furnieri) and palm oil.

    PubMed

    Halal, Shanise Lisie Mello El; Zavareze, Elessandra da Rosa; Rocha, Meritaine da; Pinto, Vânia Zanella; Nunes, Michael Ramos; Luvielmo, Márcia de Mello; Prentice, Carlos

    2016-05-01

    The microstructure and the physical, mechanical, barrier and thermal properties of films based on different concentrations of protein isolated from croaker waste (CPI) and palm oil (PO) were analyzed. Films were elaborated by a casting technique using 2, 3 and 4 g CPI 100 g(-1) of a filmogenic solution and 0, 10 and 20 g of PO 100 g(-1) CPI. Microstructure of the film surfaces of CPI with PO showed no presence of lipid droplets dispersed in the filmogenic matrix, although a rough surface was present. Films with 3% and 4% CPI and 20% PO had the lowest rates of water vapor permeability. When there was an addition of PO to the reduced tensile strength of the films, regardless of the concentration of CPI, this addition reduced the elongation of films with 3% and 4% CPI; however, it did not influence films with 2% CPI, which did not differ from the control film (0% OP). Thermal analysis revealed that films with the highest PO percentage had a lower initial weight loss when compared with other films, due to higher hydrophobicity. The use of protein isolate obtained from fish residues of low commercial value and palm oil is viable for the production of biodegradable films because the latter constitute good barrier properties and thermal stability. © 2015 Society of Chemical Industry. © 2015 Society of Chemical Industry.

  7. Cerebral Pulsatility Index Is Elevated in Patients with Elevated Right Atrial Pressure.

    PubMed

    Lahiri, Shouri; Schlick, Konrad H; Padrick, Matthew M; Rinsky, Brenda; Gonzalez, Nestor; Jones, Heather; Mayer, Stephan A; Lyden, Patrick D

    2018-01-01

    Extracerebral venous congestion can precipitate intracranial hypertension due to obstruction of cerebral blood outflow. Conditions that increase right atrial pressure, such as hypervolemia, are thought to increase resistance to jugular venous outflow and contribute to cerebro-venous congestion. Cerebral pulsatility index (CPI) is considered a surrogate marker of distal cerebrovascular resistance and is elevated with intracranial hypertension. Thus, we sought to test the hypothesis that elevated right atrial pressure is associated with increased CPI compared to normal right atrial pressure. We retrospectively reviewed 61 consecutive patients with subarachnoid hemorrhage. We calculated CPI from transcranial Doppler studies and correlated these with echocardiographic measures of right atrial pressure. CPIs were compared from patients with elevated and normal right atrial pressure. There was a significant difference between CPI obtained from all patients with elevated right atrial pressure compared to those with normal right atrial pressure (P < .0001). This finding was consistent in sensitivity analysis that compared right and left hemispheric CPI from patients with both elevated and normal right atrial pressure. Patients with elevated right atrial pressure had significantly higher CPI compared to patients with normal right atrial pressure. These findings suggest that cerebro-venous congestion due to impaired jugular venous outflow may increase distal cerebrovascular resistance as measured by CPI. Since elevated CPI is associated with poor outcome in numerous neurological conditions, future studies are needed to elucidate the significance of these results in other populations. Copyright © 2017 by the American Society of Neuroimaging.

  8. Improving Process Writing with the Use Authentic Assessment

    ERIC Educational Resources Information Center

    bin Abdul Aziz, Muhammad Noor; Yusoff, Nurahimah Mohd

    2016-01-01

    The paper discusses on how process writing is improved with the use of authentic assessment in an English Language classroom. Eleven primary school children from Year 4 in a rural school in Sabah are the participants of the study. Data were collected by observing them during the English Language lessons and at the end of the series of…

  9. Boosting compound-protein interaction prediction by deep learning.

    PubMed

    Tian, Kai; Shao, Mingyu; Wang, Yang; Guan, Jihong; Zhou, Shuigeng

    2016-11-01

    The identification of interactions between compounds and proteins plays an important role in network pharmacology and drug discovery. However, experimentally identifying compound-protein interactions (CPIs) is generally expensive and time-consuming, computational approaches are thus introduced. Among these, machine-learning based methods have achieved a considerable success. However, due to the nonlinear and imbalanced nature of biological data, many machine learning approaches have their own limitations. Recently, deep learning techniques show advantages over many state-of-the-art machine learning methods in some applications. In this study, we aim at improving the performance of CPI prediction based on deep learning, and propose a method called DL-CPI (the abbreviation of Deep Learning for Compound-Protein Interactions prediction), which employs deep neural network (DNN) to effectively learn the representations of compound-protein pairs. Extensive experiments show that DL-CPI can learn useful features of compound-protein pairs by a layerwise abstraction, and thus achieves better prediction performance than existing methods on both balanced and imbalanced datasets. Copyright © 2016 Elsevier Inc. All rights reserved.

  10. The use of fractal dimension analysis in estimation of blood vessels shape in transplantable mammary adenocarcinoma in Wistar rats after photodynamic therapy combined with cysteine protease inhibitors.

    PubMed

    Jurczyszyn, Kamil; Osiecka, Beata J; Ziółkowski, Piotr

    2012-01-01

    Fractal dimension analysis (FDA) is modern mathematical method widely used to describing of complex and chaotic shapes when classic methods fail. The main aim of this study was evaluating the influence of photodynamic therapy (PDT) with cystein proteases inhibitors (CPI) on the number and morphology of blood vessels inside tumor and on increase of effectiveness of combined therapy in contrast to PDT and CPI used separately. Animals were divided into four groups: control, treated using only PDT, treated using only CPI and treated using combined therapy, PDT and CPI. Results showed that time of animal survival and depth of necrosis inside tumor were significantly higher in CPI+PDT group in contrast to other groups. The higher value of fractal dimension (FD) was observed in control group, while the lowest value was found in the group which was treated by cystein protease inhibitors. The differences between FD were observed in CPI group and PDT+CPI group in comparison to control group. Our results revealed that fractal dimension analysis is a very useful tool in estimating differences between irregular shapes like blood vessels in PDT treated tumors. Thus, the implementation of FDA algorithms could be useful method in evaluating the efficacy of PDT.

  11. The Use of Fractal Dimension Analysis in Estimation of Blood Vessels Shape in Transplantable Mammary Adenocarcinoma in Wistar Rats after Photodynamic Therapy Combined with Cysteine Protease Inhibitors

    PubMed Central

    Jurczyszyn, Kamil; Osiecka, Beata J.; Ziółkowski, Piotr

    2012-01-01

    Fractal dimension analysis (FDA) is modern mathematical method widely used to describing of complex and chaotic shapes when classic methods fail. The main aim of this study was evaluating the influence of photodynamic therapy (PDT) with cystein proteases inhibitors (CPI) on the number and morphology of blood vessels inside tumor and on increase of effectiveness of combined therapy in contrast to PDT and CPI used separately. Animals were divided into four groups: control, treated using only PDT, treated using only CPI and treated using combined therapy, PDT and CPI. Results showed that time of animal survival and depth of necrosis inside tumor were significantly higher in CPI+PDT group in contrast to other groups. The higher value of fractal dimension (FD) was observed in control group, while the lowest value was found in the group which was treated by cystein protease inhibitors. The differences between FD were observed in CPI group and PDT+CPI group in comparison to control group. Our results revealed that fractal dimension analysis is a very useful tool in estimating differences between irregular shapes like blood vessels in PDT treated tumors. Thus, the implementation of FDA algorithms could be useful method in evaluating the efficacy of PDT. PMID:22991578

  12. Improved soft-agar colony assay in a fluid processing apparatus.

    PubMed

    Forsman, A D; Herpich, A R; Chapes, S K

    1999-01-01

    The standard method for quantitating bone marrow precursor cells has been to count the number of colony-forming units that form in semisolid (0.3%) agar. Recently we adapted this assay for use in hardware, the Fluid Processing Apparatus, that is flown in standard payload lockers of the space shuttle. When mouse or rat macrophage colony-forming units were measured with this hardware in ground-based assays, we found significantly more colony growth than that seen in standard plate assays. The improved growth correlates with increased agar thickness but also appears to be due to properties inherent to the Fluid Processing Apparatus. This paper describes an improved method for determining bone marrow macrophage precursor numbers in semisolid agar.

  13. Usage of information safety requirements in improving tube bending process

    NASA Astrophysics Data System (ADS)

    Livshitz, I. I.; Kunakov, E.; Lontsikh, P. A.

    2018-05-01

    This article is devoted to an improvement of the technological process's analysis with the information security requirements implementation. The aim of this research is the competition increase analysis in aircraft industry enterprises due to the information technology implementation by the example of the tube bending technological process. The article analyzes tube bending kinds and current technique. In addition, a potential risks analysis in a tube bending technological process is carried out in terms of information security.

  14. Improving performances of the knee replacement surgery process by applying DMAIC principles.

    PubMed

    Improta, Giovanni; Balato, Giovanni; Romano, Maria; Ponsiglione, Alfonso Maria; Raiola, Eliana; Russo, Mario Alessandro; Cuccaro, Patrizia; Santillo, Liberatina Carmela; Cesarelli, Mario

    2017-12-01

    The work is a part of a project about the application of the Lean Six Sigma to improve health care processes. A previously published work regarding the hip replacement surgery has shown promising results. Here, we propose an application of the DMAIC (Define, Measure, Analyse, Improve, and Control) cycle to improve quality and reduce costs related to the prosthetic knee replacement surgery by decreasing patients' length of hospital stay (LOS) METHODS: The DMAIC cycle has been adopted to decrease the patients' LOS. The University Hospital "Federico II" of Naples, one of the most important university hospitals in Southern Italy, participated in this study. Data on 148 patients who underwent prosthetic knee replacement between 2010 and 2013 were used. Process mapping, statistical measures, brainstorming activities, and comparative analysis were performed to identify factors influencing LOS and improvement strategies. The study allowed the identification of variables influencing the prolongation of the LOS and the implementation of corrective actions to improve the process of care. The adopted actions reduced the LOS by 42%, from a mean value of 14.2 to 8.3 days (standard deviation also decreased from 5.2 to 2.3 days). The DMAIC approach has proven to be a helpful strategy ensuring a significant decreasing of the LOS. Furthermore, through its implementation, a significant reduction of the average costs of hospital stay can be achieved. Such a versatile approach could be applied to improve a wide range of health care processes. © 2017 John Wiley & Sons, Ltd.

  15. The road to business process improvement--can you get there from here?

    PubMed

    Gilberto, P A

    1995-11-01

    Historically, "improvements" within the organization have been frequently attained through automation by building and installing computer systems. Material requirements planning (MRP), manufacturing resource planning II (MRP II), just-in-time (JIT), computer aided design (CAD), computer aided manufacturing (CAM), electronic data interchange (EDI), and various other TLAs (three-letter acronyms) have been used as the methods to attain business objectives. But most companies have found that installing computer software, cleaning up their data, and providing every employee with training on how to best use the systems have not resulted in the level of business improvements needed. The software systems have simply made management around the problems easier but did little to solve the basic problems. The missing element in the efforts to improve the performance of the organization has been a shift in focus from individual department improvements to cross-organizational business process improvements. This article describes how the Electric Boat Division of General Dynamics Corporation, in conjunction with the Data Systems Division, moved its focus from one of vertical organizational processes to horizontal business processes. In other words, how we got rid of the dinosaurs.

  16. Post-processing for improving hyperspectral anomaly detection accuracy

    NASA Astrophysics Data System (ADS)

    Wu, Jee-Cheng; Jiang, Chi-Ming; Huang, Chen-Liang

    2015-10-01

    Anomaly detection is an important topic in the exploitation of hyperspectral data. Based on the Reed-Xiaoli (RX) detector and a morphology operator, this research proposes a novel technique for improving the accuracy of hyperspectral anomaly detection. Firstly, the RX-based detector is used to process a given input scene. Then, a post-processing scheme using morphology operator is employed to detect those pixels around high-scoring anomaly pixels. Tests were conducted using two real hyperspectral images with ground truth information and the results based on receiver operating characteristic curves, illustrated that the proposed method reduced the false alarm rates of the RXbased detector.

  17. Less severe processing improves carbon nanotube photovoltaic performance

    NASA Astrophysics Data System (ADS)

    Shea, Matthew J.; Wang, Jialiang; Flach, Jessica T.; Zanni, Martin T.; Arnold, Michael S.

    2018-05-01

    Thin film semiconducting single walled carbon nanotube (s-SWCNT) photovoltaics suffer losses due to trapping and quenching of excitons by defects induced when dispersing s-SWCNTs into solution. We study these aspects by preparing photovoltaic devices from (6,5) carbon nanotubes isolated by different processes: extended ultrasonication, brief ultrasonication, and shear force mixing. Peak quantum efficiency increases from 28% to 38% to 49% as the processing harshness decreases and is attributed to both increasing s-SWCNT length and reducing sidewall defects. Fill-factor and open-circuit voltage also improve with shear force mixing, highlighting the importance of obtaining long, defect-free s-SWCNTs for efficient photoconversion devices.

  18. Generation and characterization of recombinant bivalent fusion protein r-Cpib for immunotherapy against Clostridium perfringens beta and iota toxemia.

    PubMed

    Das, Shreya; Majumder, Saugata; Kingston, Joseph J; Batra, Harsh V

    2016-02-01

    Clostridium perfringens beta (CPB) and iota (CPI) toxaemias result in some of the most lethal forms of haemorrhagic and necrotic enteritis and sudden death syndrome affecting especially neonates. While CPB enterotoxemia is one of the most common forms of clostridial enterotoxemia, CPI enterotoxemia though putatively considered to be rare is an emerging cause of concern. The similarities in clinical manifestation, gross and histopathology findings of both types of toxaemias coupled to the infrequency of CPI toxaemia might lead to symptomatic misidentification with Type C resulting in therapeutic failure due to habitual administration of CPB anti-toxin which is ineffective against CPI. Therefore in the present study, to generate a composite anti-toxin capable of neutralizing both toxaemias, a novel bivalent chimera r-Cpib was constructed by splicing the non-toxic C terminal binding regions of CPB and CPI, via a flexible glycine linker (G4S) by overlap-extension PCR. The fusion protein was characterized for its therapeutic abilities toward CPI and CPB toxin neutralizations. The r-Cpib was found to be non-toxic and could competitively inhibit binding of CPB to host cell receptors thereby reducing its cytotoxicity. Immunization of mice with r-Cpib generated specific antibodies capable of neutralizing the above toxaemias both in vitro and in vivo. Caco-2 cells exposed to a mixture of anti-r-Cpib sera and native CPI or CPB, displayed significantly superior protection against the respective toxins while passive challenge of mice with a similar mixture resulted in 83 and 91% protection against CPI and CPB respectively. Alternatively, mice exposed to a mixture of sham sera and native toxins died within 2-3 days. This work thus demonstrates r-Cpib as a novel bivalent fusion protein capable of efficient immunotherapy against C. perfringens CPI and CPB toxaemia. Copyright © 2015 Elsevier Ltd. All rights reserved.

  19. [Improving the continuous care process in primary care during weekends and holidays: redesigning and FMEA].

    PubMed

    Cañada Dorado, A; Cárdenas Valladolid, J; Espejo Matorrales, F; García Ferradal, I; Sastre Páez, S; Vicente Martín, I

    2010-01-01

    To describe a project carried out in order to improve the process of Continuous Health Care (CHC) on Saturdays and bank holidays in Primary Care, area number 4, Madrid. The aim of this project was to guarantee a safe and error-free service to patients receiving home health care on weekends. The urgent need for improving CHC process was identified by the Risk Management Functional Unit (RMFU) of the area. In addition, some complaints had been received from the nurses involved in the process as well as from their patients. A SWOT (Strengths, Weaknesses, Opportunities and Threats) analysis performed in 2009 highlighted a number of problems with the process. As a result, a project for improvement was drawn up, to be implemented in the following stages: 1. Redesigning and improving the existing process. 2. Application of failure mode and effect analysis (FMEA) to the new process. 3. Follow up, managing and leading the project. 4. Nurse training. 5. Implementing the process in the whole area. 6. CHC nurse satisfaction surveys. After carrying out this project, the efficiency and level of automation improved considerably. Since implementation of the process enhancement measures, no complaints have been received from patients and surveys show that CHC nurse satisfaction has improved. By using FMEA, errors were given priority and enhancement steps were taken in order to: Inform professionals, back-up personnel and patients about the process. Improve the specialist follow-up report. Provide training in ulcer patient care. The process enhancement, and especially its automation, has resulted in a significant step forward toward achieving greater patient safety. FMEA was a useful tool, which helped in taking some important actions. Finally, CHC nurse satisfaction has clearly improved. Copyright © 2009 SECA. Published by Elsevier Espana. All rights reserved.

  20. Correcting Inadequate Model Snow Process Descriptions Dramatically Improves Mountain Hydrology Simulations

    NASA Astrophysics Data System (ADS)

    Pomeroy, J. W.; Fang, X.

    2014-12-01

    The vast effort in hydrology devoted to parameter calibration as a means to improve model performance assumes that the models concerned are not fundamentally wrong. By focussing on finding optimal parameter sets and ascribing poor model performance to parameter or data uncertainty, these efforts may fail to consider the need to improve models with more intelligent descriptions of hydrological processes. To test this hypothesis, a flexible physically based hydrological model including a full suite of snow hydrology processes as well as warm season, hillslope and groundwater hydrology was applied to Marmot Creek Research Basin, Canadian Rocky Mountains where excellent driving meteorology and basin biophysical descriptions exist. Model parameters were set from values found in the basin or from similar environments; no parameters were calibrated. The model was tested against snow surveys and streamflow observations. The model used algorithms that describe snow redistribution, sublimation and forest canopy effects on snowmelt and evaporative processes that are rarely implemented in hydrological models. To investigate the contribution of these processes to model predictive capability, the model was "falsified" by deleting parameterisations for forest canopy snow mass and energy, blowing snow, intercepted rain evaporation, and sublimation. Model falsification by ignoring forest canopy processes contributed to a large increase in SWE errors for forested portions of the research basin with RMSE increasing from 19 to 55 mm and mean bias (MB) increasing from 0.004 to 0.62. In the alpine tundra portion, removing blowing processes resulted in an increase in model SWE MB from 0.04 to 2.55 on north-facing slopes and -0.006 to -0.48 on south-facing slopes. Eliminating these algorithms degraded streamflow prediction with the Nash Sutcliffe efficiency dropping from 0.58 to 0.22 and MB increasing from 0.01 to 0.09. These results show dramatic model improvements by including snow

  1. Applying industrial process improvement techniques to increase efficiency in a surgical practice.

    PubMed

    Reznick, David; Niazov, Lora; Holizna, Eric; Siperstein, Allan

    2014-10-01

    The goal of this study was to examine how industrial process improvement techniques could help streamline the preoperative workup. Lean process improvement was used to streamline patient workup at an endocrine surgery service at a tertiary medical center utilizing multidisciplinary collaboration. The program consisted of several major changes in how patients are processed in the department. The goal was to shorten the wait time between initial call and consult visit and between consult and surgery. We enrolled 1,438 patients enrolled in the program. The wait time from the initial call until consult was reduced from 18.3 ± 0.7 to 15.4 ± 0.9 days. Wait time from consult until operation was reduced from 39.9 ± 1.5 to 33.9 ± 1.3 days for the overall practice and to 15.0 ± 4.8 days for low-risk patients. Patient cancellations were reduced from 27.9 ± 2.4% to 17.3 ± 2.5%. Overall patient flow increased from 30.9 ± 5.1 to 52.4 ± 5.8 consults per month (all P < .01). Utilizing process improvement methodology, surgery patients can benefit from an improved, streamlined process with significant reduction in wait time from call to initial consult and initial consult to surgery, with reduced cancellations. This generalized process has resulted in increased practice throughput and efficiency and is applicable to any surgery practice. Copyright © 2014 Elsevier Inc. All rights reserved.

  2. Application of process improvement principles to increase the frequency of complete airway management documentation.

    PubMed

    McCarty, L Kelsey; Saddawi-Konefka, Daniel; Gargan, Lauren M; Driscoll, William D; Walsh, John L; Peterfreund, Robert A

    2014-12-01

    Process improvement in healthcare delivery settings can be difficult, even when there is consensus among clinicians about a clinical practice or desired outcome. Airway management is a medical intervention fundamental to the delivery of anesthesia care. Like other medical interventions, a detailed description of the management methods should be documented. Despite this expectation, airway documentation is often insufficient. The authors hypothesized that formal adoption of process improvement methods could be used to increase the rate of "complete" airway management documentation. The authors defined a set of criteria as a local practice standard of "complete" airway management documentation. The authors then employed selected process improvement methodologies over 13 months in three iterative and escalating phases to increase the percentage of records with complete documentation. The criteria were applied retrospectively to determine the baseline frequency of complete records, and prospectively to measure the impact of process improvements efforts over the three phases of implementation. Immediately before the initial intervention, a retrospective review of 23,011 general anesthesia cases over 6 months showed that 13.2% of patient records included complete documentation. At the conclusion of the 13-month improvement effort, documentation improved to a completion rate of 91.6% (P<0.0001). During the subsequent 21 months, the completion rate was sustained at an average of 90.7% (SD, 0.9%) across 82,571 general anesthetic records. Systematic application of process improvement methodologies can improve airway documentation and may be similarly effective in improving other areas of anesthesia clinical practice.

  3. Performance improvements of binary diffractive structures via optimization of the photolithography and dry etch processes

    NASA Astrophysics Data System (ADS)

    Welch, Kevin; Leonard, Jerry; Jones, Richard D.

    2010-08-01

    Increasingly stringent requirements on the performance of diffractive optical elements (DOEs) used in wafer scanner illumination systems are driving continuous improvements in their associated manufacturing processes. Specifically, these processes are designed to improve the output pattern uniformity of off-axis illumination systems to minimize degradation in the ultimate imaging performance of a lithographic tool. In this paper, we discuss performance improvements in both photolithographic patterning and RIE etching of fused silica diffractive optical structures. In summary, optimized photolithographic processes were developed to increase critical dimension uniformity and featuresize linearity across the substrate. The photoresist film thickness was also optimized for integration with an improved etch process. This etch process was itself optimized for pattern transfer fidelity, sidewall profile (wall angle, trench bottom flatness), and across-wafer etch depth uniformity. Improvements observed with these processes on idealized test structures (for ease of analysis) led to their implementation in product flows, with comparable increases in performance and yield on customer designs.

  4. Introducing the CERT (Trademark) Resiliency Engineering Framework: Improving the Security and Sustainability Processes

    DTIC Science & Technology

    2007-05-01

    Organizational Structure 40 6.1.3 Funding Model 40 6.1.4 Role of Information Technology 40 6.2 Considering Process Improvement 41 6.2.1 Dimensions of...to the process definition for resiliency engineering. 6.1.3 Funding Model Just as organizational structures tend to align across security and...responsibility. Adopting an enter- prise view of operational resiliency and a process improvement approach requires that the funding model evolve to one

  5. Recent Improvements in the FDNS CFD Code and its Associated Process

    NASA Technical Reports Server (NTRS)

    West, Jeff S.; Dorney, Suzanne M.; Turner, Jim (Technical Monitor)

    2002-01-01

    This viewgraph presentation gives an overview on recent improvements in the Finite Difference Navier Stokes (FDNS) computational fluid dynamics (CFD) code and its associated process. The development of a utility, PreViewer, has essentially eliminated the creeping of simple human error into the FDNS Solution process. Extension of PreViewer to encapsulate the Domain Decompression process has made practical the routine use of parallel processing. The combination of CVS source control and ATS consistency validation significantly increases the efficiency of the CFD process.

  6. Improving Video Game Development: Facilitating Heterogeneous Team Collaboration through Flexible Software Processes

    NASA Astrophysics Data System (ADS)

    Musil, Juergen; Schweda, Angelika; Winkler, Dietmar; Biffl, Stefan

    Based on our observations of Austrian video game software development (VGSD) practices we identified a lack of systematic processes/method support and inefficient collaboration between various involved disciplines, i.e. engineers and artists. VGSD includes heterogeneous disciplines, e.g. creative arts, game/content design, and software. Nevertheless, improving team collaboration and process support is an ongoing challenge to enable a comprehensive view on game development projects. Lessons learned from software engineering practices can help game developers to increase game development processes within a heterogeneous environment. Based on a state of the practice survey in the Austrian games industry, this paper presents (a) first results with focus on process/method support and (b) suggests a candidate flexible process approach based on Scrum to improve VGSD and team collaboration. Results showed (a) a trend to highly flexible software processes involving various disciplines and (b) identified the suggested flexible process approach as feasible and useful for project application.

  7. Enhancing performing characteristics of organic semiconducting films by improved solution processing

    DOEpatents

    Bazan, Guillermo C; Moses, Daniel; Peet, Jeffrey; Heeger, Alan J

    2014-05-13

    Improved processing methods for enhanced properties of conjugated polymer films are disclosed, as well as the enhanced conjugated polymer films produced thereby. Addition of low molecular weight alkyl-containing molecules to solutions used to form conjugated polymer films leads to improved photoconductivity and improvements in other electronic properties. The enhanced conjugated polymer films can be used in a variety of electronic devices, such as solar cells and photodiodes.

  8. 78 FR 63465 - Adjusting Civil Money Penalties for Inflation

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-10-24

    ..., Bureau of Labor Statistics, and is available at its Web site: ftp://ftp.bls.gov/pub/special.requests/cpi... violation under section 5.65(c) and (d) of the Act. C. Mathematical Calculation 1. The adjustment... to the Bureau of Labor Statistics, the CPI for June 2001 was 178, and the CPI for June 2012 was 229...

  9. An Index of Competition Based on Relative Crown Position and Size

    Treesearch

    Dwight D. O' Neal; Allan E. Houston; Edward R. Buckner; James S. Meadows

    1995-01-01

    A new competition index, the Crown Position Index (CPI) was evaluated using a 41-year-old, well stocked, upland hardwood stand in southwestern Tennessee. CPI wss based on relative crown position and crown size as expressed by crown projections and relative heights of crop trees and their competitors. Comparisons were made among CPI, the Hegyl (1974)...

  10. Cognitive Proficiency Index for the Canadian Edition of the Wechsler Intelligence Scale for Children-Fourth Edition

    ERIC Educational Resources Information Center

    Saklofske, Donald H.; Zhu, Jianjun; Coalson, Diane L.; Raiford, Susan E.; Weiss, Lawrence G.

    2010-01-01

    The Cognitive Proficiency Index (CPI) developed for the most recent Wechsler intelligence scales comprises the working memory and processing speed subtests. It reflects the proficiency and efficiency of cognitive processing and provides another lens for analyzing children's abilities assessed by the Wechsler Intelligence Scale for Children--Fourth…

  11. Numerical Processing Efficiency Improved in Experienced Mental Abacus Children

    ERIC Educational Resources Information Center

    Wang, Yunqi; Geng, Fengji; Hu, Yuzheng; Du, Fenglei; Chen, Feiyan

    2013-01-01

    Experienced mental abacus (MA) users are able to perform mental arithmetic calculations with unusual speed and accuracy. However, it remains unclear whether their extraordinary gains in mental arithmetic ability are accompanied by an improvement in numerical processing efficiency. To address this question, the present study, using a numerical…

  12. The Role of Evaluation in the School Improvement Process

    ERIC Educational Resources Information Center

    Lindahl, Ronald A.; Beach, Robert H.

    2013-01-01

    Although evaluation serves many purposes in education, there is virtually unanimous agreement that evaluation is a critical component of all school improvement processes. Hamilton et al. (2003) asserted that "assessment and evaluation should be built into reform programs from the outset" (p. 26). Kimball, Lander, and Thorn (2010)…

  13. Software quality and process improvement in scientific simulation codes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ambrosiano, J.; Webster, R.

    1997-11-01

    This report contains viewgraphs on the quest to develope better simulation code quality through process modeling and improvement. This study is based on the experience of the authors and interviews with ten subjects chosen from simulation code development teams at LANL. This study is descriptive rather than scientific.

  14. Development of Six Sigma methodology for CNC milling process improvements

    NASA Astrophysics Data System (ADS)

    Ismail, M. N.; Rose, A. N. M.; Mohammed, N. Z.; Rashid, M. F. F. Ab

    2017-10-01

    Quality and productivity have been identified as an important role in any organization, especially for manufacturing sectors to gain more profit that leads to success of a company. This paper reports a work improvement project in Kolej Kemahiran Tinggi MARA Kuantan. It involves problem identification in production of “Khufi” product and proposing an effective framework to improve the current situation effectively. Based on the observation and data collection on the work in progress (WIP) product, the major problem has been identified related to function of the product which is the parts can’t assemble properly due to dimension of the product is out of specification. The six sigma has been used as a methodology to study and improve of the problems identified. Six Sigma is a highly statistical and data driven approach to solving complex business problems. It uses a methodical five phase approach define, measure, analysis, improve and control (DMAIC) to help understand the process and the variables that affect it so that can be optimized the processes. Finally, the root cause and solution for the production of “Khufi” problem has been identified and implemented then the result for this product was successfully followed the specification of fitting.

  15. Use of Failure Mode and Effects Analysis to Improve Emergency Department Handoff Processes.

    PubMed

    Sorrentino, Patricia

    2016-01-01

    The purpose of this article is to describe a quality improvement process using failure mode and effects analysis (FMEA) to evaluate systems handoff communication processes, improve emergency department (ED) throughput and reduce crowding through development of a standardized handoff, and, ultimately, improve patient safety. Risk of patient harm through ineffective communication during handoff transitions is a major reason for breakdown of systems. Complexities of ED processes put patient safety at risk. An increased incidence of submitted patient safety event reports for handoff communication failures between the ED and inpatient units solidified a decision to implement the use of FMEA to identify handoff failures to mitigate patient harm through redesign. The clinical nurse specialist implemented an FMEA. Handoff failure themes were created from deidentified retrospective reviews. Weekly meetings were held over a 3-month period to identify failure modes and determine cause and effect on the process. A functional block diagram process map tool was used to illustrate handoff processes. An FMEA grid was used to list failure modes and assign a risk priority number to quantify results. Multiple areas with actionable failures were identified. A majority of causes for high-priority failure modes were specific to communications. Findings demonstrate the complexity of transition and handoff processes. The FMEA served to identify and evaluate risk of handoff failures and provide a framework for process improvement. A focus on mentoring nurses to quality handoff processes so that it becomes habitual practice is crucial to safe patient transitions. Standardizing content and hardwiring within the system are best practice. The clinical nurse specialist is prepared to provide strong leadership to drive and implement system-wide quality projects.

  16. CM Process Improvement and the International Space Station Program (ISSP)

    NASA Technical Reports Server (NTRS)

    Stephenson, Ginny

    2007-01-01

    This viewgraph presentation reviews the Configuration Management (CM) process improvements planned and undertaken for the International Space Station Program (ISSP). It reviews the 2004 findings and recommendations and the progress towards their implementation.

  17. Improvement for enhancing effectiveness of universal power system (UPS) continuous testing process

    NASA Astrophysics Data System (ADS)

    Sriratana, Lerdlekha

    2018-01-01

    This experiment aims to enhance the effectiveness of the Universal Power System (UPS) continuous testing process of the Electrical and Electronic Institute by applying work scheduling and time study methods. Initially, the standard time of testing process has not been considered that results of unaccurate testing target and also time wasting has been observed. As monitoring and reducing waste time for improving the efficiency of testing process, Yamazumi chart and job scheduling theory (North West Corner Rule) were applied to develop new work process. After the improvements, the overall efficiency of the process possibly increased from 52.8% to 65.6% or 12.7%. Moreover, the waste time could reduce from 828.3 minutes to 653.6 minutes or 21%, while testing units per batch could increase from 3 to 4 units. Therefore, the number of testing units would increase from 12 units up to 20 units per month that also contribute to increase of net income of UPS testing process by 72%.

  18. Periodontal status and selected parameters of oral condition of Poles aged 65 to 74 years.

    PubMed

    Konopka, Tomasz; Dembowska, Elżbieta; Pietruska, Małgorzata; Dymalski, Paweł; Górska, Renata

    2015-01-01

    The goal of this study was the evaluation of the periodontal health by means of CPI score in inhabitants of big and small cities in the age range from 65 to 74 and making comparison with previous Polish and European studies from XXI century. Also an average number of natural teeth, the edentulous persons percentage, the percentage of people with oral function maintenance and prevalence of oral mucosal diseases were evaluated. There were also attempts to evaluate essential behaviours related to the oral health and the percentage of people that are treated with use of non-reimbursed or reimbursed services. Studies were conducted in 5 big cities: Warszawa, Szczecin, Wrocław, Białystok and Toruń, as well as in 4 towns, such as Oława, Police, Łobez and Ełk. From sampling 7400 people aged from 65 to 74 years for the study reported only 807 people. In the mouth evaluated CPI score, number of natural teeth and prevalence of pathological lesions on cavity mucosa. Answers for questions on selected attitudes and health-seeking behaviours related to the oral health and the range of dental treatment were also analysed. Distribution of values of CPI codes in the whole group was as follows: CPI0-1.2%, CPI1-9.4%, CPI2-16.6%, CPI3-21.8%, CPI4-19.7% and the number of people excluded from examinations (1 tooth in the sextant or edentulous 31.3%). The state of the periodontium was worse in big cities and in men. An average number of teeth was 13.7 and was higher in big cities and in men. The percentage of edentulous persons was 28.9% and was higher in towns and in woman. The percentage of people with oral function maintenance was 25.15% and was higher in big cities and in men. The most three common pathologies of the oral cavity were leukoplakia and leukokeratosis that were found in 10.5% of examined people, candidiasis 5.82% and lichen planus 2.2%. The state of the periodontium of Poles at the age from 65 to 74 has not been improved in XXI century, but also does not

  19. 78 FR 14913 - Domestic Baggage Liability

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-03-08

    ...,500 x 1.355], which yields $3,387.50. (The base amount of $2,500 in the formula was the minimum... was the CPI-U for July 2012, and 168.8 was the CPI-U for December 1999. The CPI-U data are from the...), the Department required the amount of compensation due to passengers in instances of denied boarding...

  20. Cyber Physical Intelligence for Oil Spills (CPI)

    NASA Astrophysics Data System (ADS)

    Lary, D. J.

    2015-12-01

    The National Academy of Sciences estimate 1.7 to 8.8 million tons of oil are released into global waters every year. The effects of these spills include dead wildlife, oil covered marshlands and contaminated water. Deepwater horizon cost approximately $50 billion and severely challenged response capabilities. In such large spills optimizing a coordinated response is a particular challenge. This challenge can be met in a revolutionary new way by using an objectively optimized Cyber Physical Decision Making System (CPS) for rapid response products and a framework for objectively optimized decision-making in an uncertain environment. The CPS utilizes machine learning for the processing of the massive real-time streams of Big Data from comprehensive hyperspectral remote sensing acquired by a team of low-cost robotic aerial vehicles, providing a real-time aerial view and stream of hyperspectral imagery from the near UV to the thermal infrared, and a characterization of oil thickness, oil type and oil weathering. The objective decision making paradigm is modeled on the human brain and provides the optimal course trajectory for response vessels to achieve the most expeditious cleanup of oil spills using the available resources. In addition, oil spill cleanups often involve surface oil burns that can lead to air quality issues. The aerial vehicles comprehensively characterize air quality in real-time, streaming location, temperature, pressure, humidity, the abundance of 6 criterion pollutants (O3, CO, NO, NO2, SO2, and H2S) and the full size distribution of airborne particulates. This CPS can be readily applied to other systems in agriculture, water conversation, monitoring of stream quality, air quality, diagnosing risk of wild fires, etc..

  1. Risk to pollinators from the use of chlorpyrifos in the United States.

    PubMed

    Cutler, G Christopher; Purdy, John; Giesy, John P; Solomon, Keith R

    2014-01-01

    CPY is an organophosphorus insecticide that is widely used in North American agriculture. It is non-systemic, comes in several sprayable and granular formulations,and is used on a number of high-acreage crops on which pollinators can forage,including tree fruits, alfalfa, corn, sunflower, and almonds. Bees (Apoidea) are the most important pollinators of agricultural crops in North America and were the main pollinators of interest in this risk assessment.The conceptual model identified a number of potential exposure pathways for pollinators, some more significant than others. CPY is classified as being highly toxic to honey bees by direct contact exposure. However, label precautions and good agricultural practices prohibit application of CPY when bees are flying and/or when flowering crops or weeds are present in the treatment area. Therefore, the risk of CPY to pollinators through direct contact exposure should be small. The main hazards for primary exposure for honey bees are dietary and contact exposure from flowers that were sprayed during application and remain available to bees after application. The main pathways for potential secondary exposure to CPY is through pollen and nectar brought to the hive by forager bees and the sublethal body burden of CPY carried on forager bees. Foraging for other materials, including water or propolis, does not appear to be an important exposure route. Since adult forager honey bees are most exposed, their protection from exposure via pollen, honey, and contact with plant surfaces is expected to be protective of other life stages and castes of honey bees.Tier- I approaches to estimate oral exposure to CPY through pollen and nectar/honey, the principle food sources for honey bees, suggested that CPY poses a risk to honey bees through consumption of pollen and nectar. However, a Tier-2 assessment of concentrations reported in pollen and honey from monitoring work in North America indicated there is little risk of acute toxicity

  2. A Knowledge Management Approach to Support Software Process Improvement Implementation Initiatives

    NASA Astrophysics Data System (ADS)

    Montoni, Mariano Angel; Cerdeiral, Cristina; Zanetti, David; Cavalcanti da Rocha, Ana Regina

    The success of software process improvement (SPI) implementation initiatives depends fundamentally of the strategies adopted to support the execution of such initiatives. Therefore, it is essential to define adequate SPI implementation strategies aiming to facilitate the achievement of organizational business goals and to increase the benefits of process improvements. The objective of this work is to present an approach to support the execution of SPI implementation initiatives. We also describe a methodology applied to capture knowledge related to critical success factors that influence SPI initiatives. This knowledge was used to define effective SPI strategies aiming to increase the success of SPI initiatives coordinated by a specific SPI consultancy organization. This work also presents the functionalities of a set of tools integrated in a process-centered knowledge management environment, named CORE-KM, customized to support the presented approach.

  3. Application of agent-based system for bioprocess description and process improvement.

    PubMed

    Gao, Ying; Kipling, Katie; Glassey, Jarka; Willis, Mark; Montague, Gary; Zhou, Yuhong; Titchener-Hooker, Nigel J

    2010-01-01

    Modeling plays an important role in bioprocess development for design and scale-up. Predictive models can also be used in biopharmaceutical manufacturing to assist decision-making either to maintain process consistency or to identify optimal operating conditions. To predict the whole bioprocess performance, the strong interactions present in a processing sequence must be adequately modeled. Traditionally, bioprocess modeling considers process units separately, which makes it difficult to capture the interactions between units. In this work, a systematic framework is developed to analyze the bioprocesses based on a whole process understanding and considering the interactions between process operations. An agent-based approach is adopted to provide a flexible infrastructure for the necessary integration of process models. This enables the prediction of overall process behavior, which can then be applied during process development or once manufacturing has commenced, in both cases leading to the capacity for fast evaluation of process improvement options. The multi-agent system comprises a process knowledge base, process models, and a group of functional agents. In this system, agent components co-operate with each other in performing their tasks. These include the description of the whole process behavior, evaluating process operating conditions, monitoring of the operating processes, predicting critical process performance, and providing guidance to decision-making when coping with process deviations. During process development, the system can be used to evaluate the design space for process operation. During manufacture, the system can be applied to identify abnormal process operation events and then to provide suggestions as to how best to cope with the deviations. In all cases, the function of the system is to ensure an efficient manufacturing process. The implementation of the agent-based approach is illustrated via selected application scenarios, which

  4. Strategy for 90% autoverification of clinical chemistry and immunoassay test results using six sigma process improvement.

    PubMed

    Randell, Edward W; Short, Garry; Lee, Natasha; Beresford, Allison; Spencer, Margaret; Kennell, Marina; Moores, Zoë; Parry, David

    2018-06-01

    Six Sigma involves a structured process improvement strategy that places processes on a pathway to continued improvement. The data presented here summarizes a project that took three clinical laboratories from autoverification processes that allowed between about 40% to 60% of tests being auto-verified to more than 90% of tests and samples auto-verified. The project schedule, metrics and targets, a description of the previous system and detailed information on the changes made to achieve greater than 90% auto-verification is presented for this Six Sigma DMAIC (Design, Measure, Analyze, Improve, Control) process improvement project.

  5. A quantum retrograde canon: complete population inversion in n 2-state systems

    NASA Astrophysics Data System (ADS)

    Padan, Alon; Suchowski, Haim

    2018-04-01

    We present a novel approach for analytically reducing a family of time-dependent multi-state quantum control problems to two-state systems. The presented method translates between {SU}(2)× {SU}(2) related n 2-state systems and two-state systems, such that the former undergo complete population inversion (CPI) if and only if the latter reach specific states. For even n, the method translates any two-state CPI scheme to a family of CPI schemes in n 2-state systems. In particular, facilitating CPI in a four-state system via real time-dependent nearest-neighbors couplings is reduced to facilitating CPI in a two-level system. Furthermore, we show that the method can be used for operator control, and provide conditions for producing several universal gates for quantum computation as an example. In addition, we indicate a basis for utilizing the method in optimal control problems.

  6. Developing and Modeling Complex Social Interventions: Introducing the Connecting People Intervention

    ERIC Educational Resources Information Center

    Webber, Martin; Reidy, Hannah; Ansari, David; Stevens, Martin; Morris, David

    2016-01-01

    Objectives: Modeling the processes involved in complex social interventions is important in social work practice, as it facilitates their implementation and translation into different contexts. This article reports the process of developing and modeling the connecting people intervention (CPI), a model of practice that supports people with mental…

  7. Experimental Investigation on the Joining of Aluminum Alloy Sheets Using Improved Clinching Process

    PubMed Central

    Chen, Chao; Zhao, Shengdun; Han, Xiaolan; Zhao, Xuzhe; Ishida, Tohru

    2017-01-01

    Aluminum alloy sheets have been widely used to build the thin-walled structures by mechanical clinching technology in recent years. However, there is an exterior protrusion located on the lower sheet and a pit on the upper sheet, which may restrict the application of the clinching technology in visible areas. In the present study, an improved clinched joint used to join aluminum alloy sheets was investigated by experimental method. The improved clinching process used for joining aluminum alloy evolves through four phases: (a) localized deformation; (b) drawing; (c) backward extrusion; and (d) mechanical interlock forming. A flat surface can be produced using the improved clinching process. Shearing strength, tensile strength, material flow, main geometrical parameters, and failure mode of the improved clinched joint were investigated. The sheet material was compressed to flow radially and upward using a punch, which generated a mechanical interlock by producing severe localized plastic deformation. The neck thickness and interlock of the improved clinched joint were increased by increasing the forming force, which also contributed to increase the strength of the clinched joint. The improved clinched joint can get high shearing strength and tensile strength. Three main failure modes were observed in the failure process, which were neck fracture mode, button separation mode, and mixed failure mode. The improved clinched joint has better joining quality to join aluminum alloy sheets on the thin-walled structures. PMID:28763027

  8. Experimental Investigation on the Joining of Aluminum Alloy Sheets Using Improved Clinching Process.

    PubMed

    Chen, Chao; Zhao, Shengdun; Han, Xiaolan; Zhao, Xuzhe; Ishida, Tohru

    2017-08-01

    Aluminum alloy sheets have been widely used to build the thin-walled structures by mechanical clinching technology in recent years. However, there is an exterior protrusion located on the lower sheet and a pit on the upper sheet, which may restrict the application of the clinching technology in visible areas. In the present study, an improved clinched joint used to join aluminum alloy sheets was investigated by experimental method. The improved clinching process used for joining aluminum alloy evolves through four phases: (a) localized deformation; (b) drawing; (c) backward extrusion; and (d) mechanical interlock forming. A flat surface can be produced using the improved clinching process. Shearing strength, tensile strength, material flow, main geometrical parameters, and failure mode of the improved clinched joint were investigated. The sheet material was compressed to flow radially and upward using a punch, which generated a mechanical interlock by producing severe localized plastic deformation. The neck thickness and interlock of the improved clinched joint were increased by increasing the forming force, which also contributed to increase the strength of the clinched joint. The improved clinched joint can get high shearing strength and tensile strength. Three main failure modes were observed in the failure process, which were neck fracture mode, button separation mode, and mixed failure mode. The improved clinched joint has better joining quality to join aluminum alloy sheets on the thin-walled structures.

  9. Improving the treatment planning and delivery process of Xoft electronic skin brachytherapy.

    PubMed

    Manger, Ryan; Rahn, Douglas; Hoisak, Jeremy; Dragojević, Irena

    2018-05-14

    To develop an improved Xoft electronic skin brachytherapy process and identify areas of further improvement. A multidisciplinary team conducted a failure modes and effects analysis (FMEA) by developing a process map and a corresponding list of failure modes. The failure modes were scored for their occurrence, severity, and detectability, and a risk priority number (RPN) was calculated for each failure mode as the product of occurrence, severity, and detectability. Corrective actions were implemented to address the higher risk failure modes, and a revised process was generated. The RPNs of the failure modes were compared between the initial process and final process to assess the perceived benefits of the corrective actions. The final treatment process consists of 100 steps and 114 failure modes. The FMEA took approximately 20 person-hours (one physician, three physicists, and two therapists) to complete. The 10 most dangerous failure modes had RPNs ranging from 336 to 630. Corrective actions were effective at addressing most failure modes (10 riskiest RPNs ranging from 189 to 310), yet the RPNs were higher than those published for alternative systems. Many of these high-risk failure modes remained due to hardware design limitations. FMEA helps guide process improvement efforts by emphasizing the riskiest steps. Significant risks are apparent when using a Xoft treatment unit for skin brachytherapy due to hardware limitations such as the lack of several interlocks, a short source lifespan, and variability in source output. The process presented in this article is expected to reduce but not eliminate these risks. Copyright © 2018 American Brachytherapy Society. Published by Elsevier Inc. All rights reserved.

  10. Development of the Navy Computer Adaptive Personality Scales (NCAPS)

    DTIC Science & Technology

    2006-09-01

    Psychological Inventory (CPI) 20 Gough & Bradley (1996) Multidimensional Personality Questionnaire (MPQ) 11 Tellegen (1982) O*NET Work Styles 17 Borman...Force enlisted personnel. Social astuteness also has conceptual overlap with the CPI Psychological Mindedness scale. The CPI Psychological Mindedness...scale had internal consistency reliability of α = .62 (n = 6,000; 3,000 males and 3,000 females). The Psychological Mindedness scale had a 1- year

  11. Using Group Projects to Teach Process Improvement in a Quality Class

    ERIC Educational Resources Information Center

    Neidigh, Robert O.

    2016-01-01

    This paper provides a description of a teaching approach that uses experiential learning to teach process improvement. The teaching approach uses student groups to perform and gather process data in a senior-level quality management class that focuses on Lean Six Sigma. A strategy to link the experiential learning in the group projects to the…

  12. TRMM Data Improvement as Part of the GPM Data Processing

    NASA Technical Reports Server (NTRS)

    Stocker, Erich F.; Ji, Y.; Kwiatkowski, J.; Kelley, O.; Stout, J.; Woltz, L.

    2016-01-01

    NASA has a long standing commitment to the improvement of its mission datasets. Indeed, data reprocessing is always built into the plans, schedule and budget for the mission data processing system. However, in addition to these ongoing mission reprocessing, NASA also supports a final reprocessing of all the data for a mission upon its completion (known as Phase F). TRMM Phase F started with the end of the TRMM mission in June of 2015. This last reprocessing has two overall goals: improvement of the TRMM mission data products; incorporation of the 17+ years of TRMM data into the ongoing NASA/JAXA GPM data processing. The first goal guarantees that the latest algorithms used for precipitation retrievals will also be used in reprocessing the TRMM data. The second goal ensures that as GPM algorithms are improved, the entire TRMM data will always be reprocessed with each GPM reprocessing. In essence TRMM becomes another of the GPM constellation satellites. This paper will concentrate on presenting the improvements to TMI level 1 data including calibration, geolocation, and emissive antenna corrections. It will describe the format changes that will occur how the TMI level 1C product will be intercalibrated using GMI as the reference calibration. It will also provide an overview of changes in the precipitation radar products as well as the combined TMIPR product.

  13. Process Reengineering for Quality Improvement in ICU Based on Taylor's Management Theory.

    PubMed

    Tao, Ziqi

    2015-06-01

    Using methods including questionnaire-based surveys and control analysis, we analyzed the improvements in the efficiency of ICU rescue, service quality, and patients' satisfaction, in Xuzhou Central Hospital after the implementation of fine management, with an attempt to further introduce the concept of fine management and implement the brand construction. Originating in Taylor's "Theory of Scientific Management" (1982), fine management uses programmed, standardized, digitalized, and informational approaches to ensure each unit of an organization is running with great accuracy, high efficiency, strong coordination, and at sustained duration (Wang et al., Fine Management, 2007). The nature of fine management is a process that breaks up the strategy and goal, and executes it. Strategic planning takes place at every part of the process. Fine management demonstrates that everybody has a role to play in the management process, every area must be examined through the management process, and everything has to be managed (Zhang et al., The Experience of Hospital Nursing Precise Management, 2006). In other words, this kind of management theory demands all people to be involved in the entire process (Liu and Chen, Med Inf, 2007). As public hospital reform is becoming more widespread, it becomes imperative to "build a unified and efficient public hospital management system" and "improve the quality of medical services" (Guidelines on the Pilot Reform of Public Hospitals, 2010). The execution of fine management is of importance in optimizing the medical process, improving medical services and building a prestigious hospital brand.

  14. Fermentation process improvement of a Chinese traditional food: soybean residue cake.

    PubMed

    Yao, Yingzheng; Pan, Siyi; Wang, Kexing; Xu, Xiaoyun

    2010-09-01

    Fermentation process improvement of soybean residue cake, a Chinese traditional fermented food, and its physicochemical analysis during fermentation were studied. One of the dominant strains in the fermentation was isolated and identified as Mucor racemosus Fresenius. The fermentation process was improved by subsection fermentation. The crude protein content decreased from 19.95 ± 0.03% in the raw soybean residue to 16.85 ± 0.10% in the fermented products, and the formaldehyde nitrogen content increased from 0.068 ± 0.004% to 0.461 ± 0.022% in final fermented cakes. Hardness of samples significantly (P < 0.05) increased whereas springiness, cohesiveness, and resilience significantly (P < 0.05) decreased with increasing fermentation time, respectively. Microstructure observations showed obvious change of the surface of cake samples during the fermentation process. During the soybean processing, it will produce plenty of by-products, and the most part of them is soybean residue. The discarded soybean residue causes economic loss. Fortunately, we can obtain nutritious and delicious fermented soybean residue cakes by fermenting soybean residue as raw material.

  15. EMPRESS: A European Project to Enhance Process Control Through Improved Temperature Measurement

    NASA Astrophysics Data System (ADS)

    Pearce, J. V.; Edler, F.; Elliott, C. J.; Rosso, L.; Sutton, G.; Andreu, A.; Machin, G.

    2017-08-01

    A new European project called EMPRESS, funded by the EURAMET program `European Metrology Program for Innovation and Research,' is described. The 3 year project, which started in the summer of 2015, is intended to substantially augment the efficiency of high-value manufacturing processes by improving temperature measurement techniques at the point of use. The project consortium has 18 partners and 5 external collaborators, from the metrology sector, high-value manufacturing, sensor manufacturing, and academia. Accurate control of temperature is key to ensuring process efficiency and product consistency and is often not achieved to the level required for modern processes. Enhanced efficiency of processes may take several forms including reduced product rejection/waste; improved energy efficiency; increased intervals between sensor recalibration/maintenance; and increased sensor reliability, i.e., reduced amount of operator intervention. Traceability of temperature measurements to the International Temperature Scale of 1990 (ITS-90) is a critical factor in establishing low measurement uncertainty and reproducible, consistent process control. Introducing such traceability in situ (i.e., within the industrial process) is a theme running through this project.

  16. GREENING OF OXIDATION CATALYSIS THROUGH IMPROVED CATALYST AND PROCESS DESIGN

    EPA Science Inventory


    Greening of Oxidation Catalysis Through Improved Catalysts and Process Design
    Michael A. Gonzalez*, Thomas Becker, and Raymond Smith

    United State Environmental Protection Agency, Office of Research and Development, National Risk Management Research Laboratory, 26 W...

  17. Initiating statistical process control to improve quality outcomes in colorectal surgery.

    PubMed

    Keller, Deborah S; Stulberg, Jonah J; Lawrence, Justin K; Samia, Hoda; Delaney, Conor P

    2015-12-01

    Unexpected variations in postoperative length of stay (LOS) negatively impact resources and patient outcomes. Statistical process control (SPC) measures performance, evaluates productivity, and modifies processes for optimal performance. The goal of this study was to initiate SPC to identify LOS outliers and evaluate its feasibility to improve outcomes in colorectal surgery. Review of a prospective database identified colorectal procedures performed by a single surgeon. Patients were grouped into elective and emergent categories and then stratified by laparoscopic and open approaches. All followed a standardized enhanced recovery protocol. SPC was applied to identify outliers and evaluate causes within each group. A total of 1294 cases were analyzed--83% elective (n = 1074) and 17% emergent (n = 220). Emergent cases were 70.5% open and 29.5% laparoscopic; elective cases were 36.8% open and 63.2% laparoscopic. All groups had a wide range in LOS. LOS outliers ranged from 8.6% (elective laparoscopic) to 10.8% (emergent laparoscopic). Evaluation of outliers demonstrated patient characteristics of higher ASA scores, longer operating times, ICU requirement, and temporary nursing at discharge. Outliers had higher postoperative complication rates in elective open (57.1 vs. 20.0%) and elective lap groups (77.6 vs. 26.1%). Outliers also had higher readmission rates for emergent open (11.4 vs. 5.4%), emergent lap (14.3 vs. 9.2%), and elective lap (32.8 vs. 6.9%). Elective open outliers did not follow trends of longer LOS or higher reoperation rates. SPC is feasible and promising for improving colorectal surgery outcomes. SPC identified patient and process characteristics associated with increased LOS. SPC may allow real-time outlier identification, during quality improvement efforts, and reevaluation of outcomes after introducing process change. SPC has clinical implications for improving patient outcomes and resource utilization.

  18. Leveraging health information exchange to improve population health reporting processes: lessons in using a collaborative-participatory design process.

    PubMed

    Revere, Debra; Dixon, Brian E; Hills, Rebecca; Williams, Jennifer L; Grannis, Shaun J

    2014-01-01

    Surveillance, or the systematic monitoring of disease within a population, is a cornerstone function of public health. Despite significant investment in information technologies (IT) to improve the public's health, health care providers continue to rely on manual, spontaneous reporting processes that can result in incomplete and delayed surveillance activities. Participatory design principles advocate including real users and stakeholders when designing an information system to ensure high ecological validity of the product, incorporate relevance and context into the design, reduce misconceptions designers can make due to insufficient domain expertise, and ultimately reduce barriers to adoption of the system. This paper focuses on the collaborative and informal participatory design process used to develop enhanced, IT-enabled reporting processes that leverage available electronic health records in a health information exchange to prepopulate notifiable-conditions report forms used by public health authorities. Over nine months, public health stakeholders, technical staff, and informatics researchers were engaged in a multiphase participatory design process that included public health stakeholder focus groups, investigator-engineering team meetings, public health survey and census regarding high-priority data elements, and codesign of exploratory prototypes and final form mock-ups. A number of state-mandated report fields that are not highly used or desirable for disease investigation were eliminated, which allowed engineers to repurpose form space for desired and high-priority data elements and improve the usability of the forms. Our participatory design process ensured that IT development was driven by end user expertise and needs, resulting in significant improvements to the layout and functionality of the reporting forms. In addition to informing report form development, engaging with public health end users and stakeholders through the participatory design

  19. Leveraging Health Information Exchange to Improve Population Health Reporting Processes: Lessons in Using a Collaborative-Participatory Design Process

    PubMed Central

    Revere, Debra; Dixon, Brian E.; Hills, Rebecca; Williams, Jennifer L.; Grannis, Shaun J.

    2014-01-01

    Introduction: Surveillance, or the systematic monitoring of disease within a population, is a cornerstone function of public health. Despite significant investment in information technologies (IT) to improve the public’s health, health care providers continue to rely on manual, spontaneous reporting processes that can result in incomplete and delayed surveillance activities. Background: Participatory design principles advocate including real users and stakeholders when designing an information system to ensure high ecological validity of the product, incorporate relevance and context into the design, reduce misconceptions designers can make due to insufficient domain expertise, and ultimately reduce barriers to adoption of the system. This paper focuses on the collaborative and informal participatory design process used to develop enhanced, IT-enabled reporting processes that leverage available electronic health records in a health information exchange to prepopulate notifiable-conditions report forms used by public health authorities. Methods: Over nine months, public health stakeholders, technical staff, and informatics researchers were engaged in a multiphase participatory design process that included public health stakeholder focus groups, investigator-engineering team meetings, public health survey and census regarding high-priority data elements, and codesign of exploratory prototypes and final form mock-ups. Findings: A number of state-mandated report fields that are not highly used or desirable for disease investigation were eliminated, which allowed engineers to repurpose form space for desired and high-priority data elements and improve the usability of the forms. Our participatory design process ensured that IT development was driven by end user expertise and needs, resulting in significant improvements to the layout and functionality of the reporting forms. Discussion: In addition to informing report form development, engaging with public health end

  20. Managerial process improvement: a lean approach to eliminating medication delivery.

    PubMed

    Hussain, Aftab; Stewart, LaShonda M; Rivers, Patrick A; Munchus, George

    2015-01-01

    Statistical evidence shows that medication errors are a major cause of injuries that concerns all health care oganizations. Despite all the efforts to improve the quality of care, the lack of understanding and inability of management to design a robust system that will strategically target those factors is a major cause of distress. The paper aims to discuss these issues. Achieving optimum organizational performance requires two key variables; work process factors and human performance factors. The approach is that healthcare administrators must take in account both variables in designing a strategy to reduce medication errors. However, strategies that will combat such phenomena require that managers and administrators understand the key factors that are causing medication delivery errors. The authors recommend that healthcare organizations implement the Toyota Production System (TPS) combined with human performance improvement (HPI) methodologies to eliminate medication delivery errors in hospitals. Despite all the efforts to improve the quality of care, there continues to be a lack of understanding and the ability of management to design a robust system that will strategically target those factors associated with medication errors. This paper proposes a solution to an ambiguous workflow process using the TPS combined with the HPI system.

  1. Developing a Quality Improvement Process to Optimize Faculty Success

    ERIC Educational Resources Information Center

    Merillat, Linda; Scheibmeir, Monica

    2016-01-01

    As part of a major shift to embed quality improvement processes within a School of Nursing at a medium-sized Midwestern university, a faculty enrichment program using a Plan-Do-Act-Study design was implemented. A central focus for the program was the development and maintenance of an online faculty resource center identified as "My Faculty…

  2. Health care managers' views on and approaches to implementing models for improving care processes.

    PubMed

    Andreasson, Jörgen; Eriksson, Andrea; Dellve, Lotta

    2016-03-01

    To develop a deeper understanding of health-care managers' views on and approaches to the implementation of models for improving care processes. In health care, there are difficulties in implementing models for improving care processes that have been decided on by upper management. Leadership approaches to this implementation can affect the outcome. In-depth interviews with first- and second-line managers in Swedish hospitals were conducted and analysed using grounded theory. 'Coaching for participation' emerged as a central theme for managers in handling top-down initiated process development. The vertical approach in this coaching addresses how managers attempt to sustain unit integrity through adapting and translating orders from top management. The horizontal approach in the coaching refers to managers' strategies for motivating and engaging their employees in implementation work. Implementation models for improving care processes require a coaching leadership built on close manager-employee interaction, mindfulness regarding the pace of change at the unit level, managers with the competence to share responsibility with their teams and engaged employees with the competence to share responsibility for improving the care processes, and organisational structures that support process-oriented work. Implications for nursing management are the importance of giving nurse managers knowledge of change management. © 2015 John Wiley & Sons Ltd.

  3. Impact of process improvements on measures of emergency department efficiency.

    PubMed

    Leung, Alexander K; Whatley, Shawn D; Gao, Dechang; Duic, Marko

    2017-03-01

    To study the operational impact of process improvements on emergency department (ED) patient flow. The changes did not require any increase in resources or expenditures. This was a 36-month pre- and post-intervention study to evaluate the effect of implementing process improvements at a community ED from January 2010 to December 2012. The intervention comprised streamlining triage by having patients accepted into internal waiting areas immediately after triage. Within the ED, parallel processes unfolded, and there was no restriction on when registration occurred or which health care provider a patient saw first. Flexible nursing ratios allowed nursing staff to redeploy and move to areas of highest demand. Last, demand-based physician scheduling was implemented. The main outcome was length of stay (LOS). Secondary outcomes included time to physician initial assessment (PIA), left-without-being-seen (LWBS) rates, and left-against-medical-advice (LAMA) rates. Segmented regression of interrupted time series analysis was performed to quantify the impact of the intervention, and whether it was sustained. Patients totalling 251,899 attended the ED during the study period. Daily patient volumes increased 17.3% during the post-intervention period. Post-intervention, mean LOS decreased by 0.64 hours (p<0.005). LOS for non-admitted Canadian Triage and Acuity Scale 2 (-0.58 hours, p<0.005), 3 (-0.75 hours, p<0.005), and 4 (-0.32 hours, p<0.005) patients also decreased. There were reductions in PIA (43.81 minutes, p<0.005), LWBS (35.2%, p<0.005), and LAMA (61.9%, p<0.005). A combination of process improvements in the ED was associated with clinically significant reductions in LOS, PIA, LWBS, and LAMA for non-resuscitative patients.

  4. Extension of ERIM multispectral data processing capabilities through improved data handling techniques

    NASA Technical Reports Server (NTRS)

    Kriegler, F. J.

    1973-01-01

    The improvement and extension of the capabilities of the Environmental Research Institute of Michigan processing facility in handling multispectral data are discussed. Improvements consisted of implementing hardware modifications which permitted more rapid access to the recorded data through improved numbering and indexing of such data. In addition, techniques are discussed for handling data from sources other than the ERIM M-5 and M-7 scanner systems.

  5. A combined disease management and process modeling approach for assessing and improving care processes: a fall management case-study.

    PubMed

    Askari, Marjan; Westerhof, Richard; Eslami, Saied; Medlock, Stephanie; de Rooij, Sophia E; Abu-Hanna, Ameen

    2013-10-01

    To propose a combined disease management and process modeling approach for evaluating and improving care processes, and demonstrate its usability and usefulness in a real-world fall management case study. We identified essential disease management related concepts and mapped them into explicit questions meant to expose areas for improvement in the respective care processes. We applied the disease management oriented questions to a process model of a comprehensive real world fall prevention and treatment program covering primary and secondary care. We relied on interviews and observations to complete the process models, which were captured in UML activity diagrams. A preliminary evaluation of the usability of our approach by gauging the experience of the modeler and an external validator was conducted, and the usefulness of the method was evaluated by gathering feedback from stakeholders at an invitational conference of 75 attendees. The process model of the fall management program was organized around the clinical tasks of case finding, risk profiling, decision making, coordination and interventions. Applying the disease management questions to the process models exposed weaknesses in the process including: absence of program ownership, under-detection of falls in primary care, and lack of efficient communication among stakeholders due to missing awareness about other stakeholders' workflow. The modelers experienced the approach as usable and the attendees of the invitational conference found the analysis results to be valid. The proposed disease management view of process modeling was usable and useful for systematically identifying areas of improvement in a fall management program. Although specifically applied to fall management, we believe our case study is characteristic of various disease management settings, suggesting the wider applicability of the approach. Copyright © 2013 Elsevier Ireland Ltd. All rights reserved.

  6. The protective effect of infliximab on cisplatin-induced intestinal tissue toxicity.

    PubMed

    Aydin, I; Kalkan, Y; Ozer, E; Yucel, A F; Pergel, A; Cure, E; Cure, M C; Sahin, D A

    2014-01-01

    Cisplatin (CP) is a popular chemotherapeutic agent. However, high doses of CP may lead to severe side effects to the gastrointestinal system. The aim of this study was to investigate the protective effects of infliximab on small intestine injury induced by high doses of CP. The A total of 30 rats were equally divided into three groups, including sham (C), cisplatin (CP), and cisplatin + infliximab (CPI). The CP group was treated with 7 mg/kg intraperitoneal cisplatin, and a laparotomy was performed 5 days later. The CPI group received 7 mg/kg infliximab intraperitoneally, were administered 7 mg/kg cisplatin 4 days later, and a laparotomy was performed 5 days after receiving cisplatin. Histopathological and immunohistochemical analysis of small intestine tissue sections were performed, and superoxide dismutase, malondialdehyde, and TNF-α levels were measured. Histopathological evaluation revealed that the CP group had damage in the epithelium and connective tissue, but this damage was significantly improved in the CPI group (p < 0.05). In addition, these histopathological findings were confirmed by biochemical analyses. These results suggest that infliximab is protective against the adverse effects of CP.

  7. Process improvement of knives production in a small scale industry

    NASA Astrophysics Data System (ADS)

    Ananto, Gamawan; Muktasim, Irfan

    2017-06-01

    Small scale industry that produces several kinds of knive should increase its capacity due to the demand from the market. Qualitatively, this case study consisted of formulating the problems, collecting and analyzing the necessary data, and determining the possible recommendations for the improvement. While the current capacity is only 9 (nine), it is expected that 20 units of knife will produced per month. The processes sequence are: profiling (a), truing (b), beveling (c), heat treatment (d), polishing (e), assembly (f), sharpening (g) and finishing (h). The first process (a) is held by out-house vendor company while other steps from (b) to (g) are executed by in-house vendor. However, there is a high dependency upon the high skilled operator who executes the in -house processes that are mostly held manually with several unbalance successive tasks, where the processing time of one or two tasks require longer duration than others since the operation is merely relied on the operator's skill. The idea is the improvement or change of the profiling and beveling process. Due to the poor surface quality and suboptimal hardness resulted from the laser cut machine for profiling, it is considered to subst itute this kind of process with wire cut that is capable to obtain good surface quality with certain range levels of roughness. Through simple cutting experiments on the samples, it is expected that the generated surface quality is adequate to omit the truing process (b). In addition, the cutting experiments on one, two, and four test samples resulted the shortest time that was obtained through four pieces in one cut. The technical parameters were set according to the recommendation of machine standard as referred to samples condition such as thickness and path length that affect ed the rate of wear. Meanwhile, in order to guarantee the uniformity of knife angles that are formed through beveling process (c), a grinding fixture was created. This kind of tool diminishes the

  8. Event (error and near-miss) reporting and learning system for process improvement in radiation oncology.

    PubMed

    Mutic, Sasa; Brame, R Scott; Oddiraju, Swetha; Parikh, Parag; Westfall, Melisa A; Hopkins, Merilee L; Medina, Angel D; Danieley, Jonathan C; Michalski, Jeff M; El Naqa, Issam M; Low, Daniel A; Wu, Bin

    2010-09-01

    The value of near-miss and error reporting processes in many industries is well appreciated and typically can be supported with data that have been collected over time. While it is generally accepted that such processes are important in the radiation therapy (RT) setting, studies analyzing the effects of organized reporting and process improvement systems on operation and patient safety in individual clinics remain scarce. The purpose of this work is to report on the design and long-term use of an electronic reporting system in a RT department and compare it to the paper-based reporting system it replaced. A specifically designed web-based system was designed for reporting of individual events in RT and clinically implemented in 2007. An event was defined as any occurrence that could have, or had, resulted in a deviation in the delivery of patient care. The aim of the system was to support process improvement in patient care and safety. The reporting tool was designed so individual events could be quickly and easily reported without disrupting clinical work. This was very important because the system use was voluntary. The spectrum of reported deviations extended from minor workflow issues (e.g., scheduling) to errors in treatment delivery. Reports were categorized based on functional area, type, and severity of an event. The events were processed and analyzed by a formal process improvement group that used the data and the statistics collected through the web-based tool for guidance in reengineering clinical processes. The reporting trends for the first 24 months with the electronic system were compared to the events that were reported in the same clinic with a paper-based system over a seven-year period. The reporting system and the process improvement structure resulted in increased event reporting, improved event communication, and improved identification of clinical areas which needed process and safety improvements. The reported data were also useful for the

  9. Wavelet Transform Analysis of the Power Spectrum of Centre of Pressure Signals to Detect the Critical Point Interval of Postural Control

    NASA Astrophysics Data System (ADS)

    Singh, Neeraj Kumar; Snoussi, Hichem; Hewson, David; Duchêne, Jacques

    The aim of this study was to develop a method to detecting the critical point interval (CPI) when sensory feedback is used as part of a closed-loop postural control strategy. Postural balance was evaluated using centre of pressure (COP) displacements from a force plate for 17 control and 10 elderly subjects under eyes open, eyes closed, and vibration conditions. A modified local-maximum-modulus wavelet transform analysis using the power spectrum of COP signals was used to calculate CPI. Lower CPI values indicate increased closed-loop postural control with a quicker response to sensory input. Such a strategy requires greater energy expenditure due to the repeated muscular interventions to remain stable. The CPI for elderly occurred significantly quicker than for controls, indicating tighter control of posture. Similar results were observed for eyes closed and vibration conditions. The CPI parameter can be used to detect differences in postural control due to ageing.

  10. Influence of corruption on economic growth rate and foreign investment

    NASA Astrophysics Data System (ADS)

    Podobnik, Boris; Shao, Jia; Njavro, Djuro; Ivanov, Plamen Ch.; Stanley, H. E.

    2008-06-01

    We analyze the dependence of the Gross Domestic Product ( GDP) per capita growth rates on changes in the Corruption Perceptions Index ( CPI). For the period 1999 2004 for all countries in the world, we find on average that an increase of CPI by one unit leads to an increase of the annual GDP per capita growth rate by 1.7%. By regressing only the European countries with transition economies, we find that an increase of CPI by one unit generates an increase of the annual GDP per capita growth rate by 2.4%. We also analyze the relation between foreign direct investments received by different countries and CPI, and we find a statistically significant power-law functional dependence between foreign direct investment per capita and the country corruption level measured by the CPI. We introduce a new measure to quantify the relative corruption between countries based on their respective wealth as measured by GDP per capita.

  11. Improving Students’ Science Process Skills through Simple Computer Simulations on Linear Motion Conceptions

    NASA Astrophysics Data System (ADS)

    Siahaan, P.; Suryani, A.; Kaniawati, I.; Suhendi, E.; Samsudin, A.

    2017-02-01

    The purpose of this research is to identify the development of students’ science process skills (SPS) on linear motion concept by utilizing simple computer simulation. In order to simplify the learning process, the concept is able to be divided into three sub-concepts: 1) the definition of motion, 2) the uniform linear motion and 3) the uniformly accelerated motion. This research was administered via pre-experimental method with one group pretest-posttest design. The respondents which were involved in this research were 23 students of seventh grade in one of junior high schools in Bandung City. The improving process of students’ science process skill is examined based on normalized gain analysis from pretest and posttest scores for all sub-concepts. The result of this research shows that students’ science process skills are dramatically improved by 47% (moderate) on observation skill; 43% (moderate) on summarizing skill, 70% (high) on prediction skill, 44% (moderate) on communication skill and 49% (moderate) on classification skill. These results clarify that the utilizing simple computer simulations in physics learning is be able to improve overall science skills at moderate level.

  12. Application of ultrasound to improve lees ageing processes in red wines.

    PubMed

    Del Fresno, Juan Manuel; Loira, Iris; Morata, Antonio; González, Carmen; Suárez-Lepe, Jose Antonio; Cuerda, Rafael

    2018-09-30

    Ageing on lees (AOL) is a technique that increases volatile compounds, promotes colour stability, improves mouthfeel and reduces astringency in red wines. The main drawback is that it is a slow process. Several months are necessary to obtain perceptible effects in wines. Different authors have studied the application of new techniques to accelerate the AOL process. Ultrasound (US) has been used to improve different food industry processes; it could be interesting to accelerate the yeast autolysis during AOL. This work evaluates the use of the US technique together with AOL and oak chips for this purpose studying the effects of different oenological parameters of red wines. The results obtained indicate an increase of polysaccharides content when US is applied in wine AOL. In addition, total polyphenol index (TPI) and volatile acidity were not affected. However, this treatment increases the dissolved oxygen affecting the volatile compounds and total anthocyanins. Copyright © 2018 Elsevier Ltd. All rights reserved.

  13. Process Improvements: Aerobic Food Waste Composting at ISF Academy

    NASA Astrophysics Data System (ADS)

    Lau, Y. K.

    2015-12-01

    ISF Academy, a school with 1500 students in Hong Kong, installed an aerobic food waste composting system in November of 2013. The system has been operational for over seven months; we will be making improvements to the system to ensure the continued operational viability and quality of the compost. As a school we are committed to reducing our carbon footprint and the amount of waste we send to the local landfill. Over an academic year we produce approximately 27 metric tons of food waste. Our system processes the food waste to compost in 14 days and the compost is used by our primary school students in a organic farming project.There are two areas of improvement: a) if the composting system becomes anaerobic, there is an odor problem that is noticed by the school community; we will be testing the use of a bio-filter to eliminate the odor problem and, b) we will be working with an equipment vendor from Australia to install an improved grease trap system. The grease and oil that is collected will be sold to a local company here in Hong Kong that processes used cooking oil for making biofuels. This system will include a two stage filtration system and a heated vessel for separating the oil from the waste water.The third project will be to evaluate biodegradable cutlery for the compositing in the system. Currently, we use a significant quantity of non-biodegradable cutlery that is then thrown away after one use. Several local HK companies are selling biodegradable cutlery, but we need to evaluate the different products to determine which ones will work with our composting system. The food waste composting project at ISF Academy demonstrates the commitment of the school community to a greener environment for HK, the above listed projects will improve the operation of the system.

  14. Improving the Learning Process in the Latest Prefabricated School Buildings

    ERIC Educational Resources Information Center

    Pons, Oriol; Oliva, Josep-Manuel; Maas, Sandra-Ruth

    2010-01-01

    Since 2000 hundreds of school centers have been constructed in Catalonia using industrialized technologies. These centers are modern, useful, educational edifices built using advantageous prefabricated technologies that improve the building process and reduce the environmental impact of the building. This article analyses whether these…

  15. Improving Service Delivery in a County Health Department WIC Clinic: An Application of Statistical Process Control Techniques

    PubMed Central

    Boe, Debra Thingstad; Parsons, Helen

    2009-01-01

    Local public health agencies are challenged to continually improve service delivery, yet they frequently operate with constrained resources. Quality improvement methods and techniques such as statistical process control are commonly used in other industries, and they have recently been proposed as a means of improving service delivery and performance in public health settings. We analyzed a quality improvement project undertaken at a local Special Supplemental Nutrition Program for Women, Infants, and Children (WIC) clinic to reduce waiting times and improve client satisfaction with a walk-in nutrition education service. We used statistical process control techniques to evaluate initial process performance, implement an intervention, and assess process improvements. We found that implementation of these techniques significantly reduced waiting time and improved clients' satisfaction with the WIC service. PMID:19608964

  16. Improvement of Landfill Leachate Biodegradability with Ultrasonic Process

    PubMed Central

    Mahvi, Amir Hossein; Roodbari, Ali Akbar; Nabizadeh Nodehi, Ramin; Nasseri, Simin; Dehghani, Mohammad Hadil; Alimohammadi, Mahmood

    2012-01-01

    Landfills leachates are known to contain recalcitrant and/or non-biodegradable organic substances and biological processes are not efficient in these cases. A promising alternative to complete oxidation of biorecalcitrant leachate is the use of ultrasonic process as pre-treatment to convert initially biorecalcitrant compounds to more readily biodegradable intermediates. The objectives of this study are to investigate the effect of ultrasonic process on biodegradability improvement. After the optimization by factorial design, the ultrasonic were applied in the treatment of raw leachates using a batch wise mode. For this, different scenarios were tested with regard to power intensities of 70 and 110 W, frequencies of 30, 45 and 60 KHz, reaction times of 30, 60, 90 and 120 minutes and pH of 3, 7 and 10. For determining the effects of catalysts on sonication efficiencies, 5 mg/l of TiO2 and ZnO have been also used. Results showed that when applied as relatively brief pre-treatment systems, the sonocatalysis processes induce several modifications of the matrix, which results in significant enhancement of its biodegradability. For this reason, the integrated chemical–biological systems proposed here represent a suitable solution for the treatment of landfill leachate samples. PMID:22829863

  17. Use of osmotic dehydration to improve fruits and vegetables quality during processing.

    PubMed

    Maftoonazad, Neda

    2010-11-01

    Osmotic treatment describes a preparation step to further processing of foods involving simultaneous transient moisture loss and solids gain when immersing in osmotic solutions, resulting in partial drying and improving the overall quality of food products. The different aspects of the osmotic dehydration (OD) technology namely the solutes employed, solutions characteristics used, process variables influence, as well as, the quality characteristics of the osmodehydrated products will be discussed in this review. As the process is carried out at mild temperatures and the moisture is removed by a liquid diffusion process, phase change that would be present in the other drying processes will be avoided, resulting in high quality products and may also lead to substantial energy savings. To optimize this process, modeling of the mass transfer phenomenon can improve high product quality. Several techniques such as microwave heating, vacuum, high pressure, pulsed electric field, etc. may be employed during or after osmotic treatment to enhance performance of the osmotic dehydration. Moreover new technologies used in osmotic dehydration will be discussed. Patents on osmotic dehydration of fruits and vegetables are also discussed in this article.

  18. An improved plating process

    NASA Technical Reports Server (NTRS)

    Askew, John C.

    1994-01-01

    An alternative to the immersion process for the electrodeposition of chromium from aqueous solutions on the inside diameter (ID) of long tubes is described. The Vessel Plating Process eliminates the need for deep processing tanks, large volumes of solutions, and associated safety and environmental concerns. Vessel Plating allows the process to be monitored and controlled by computer thus increasing reliability, flexibility and quality. Elimination of the trivalent chromium accumulation normally associated with ID plating is intrinsic to the Vessel Plating Process. The construction and operation of a prototype Vessel Plating Facility with emphasis on materials of construction, engineered and operational safety and a unique system for rinse water recovery are described.

  19. Improving Histopathology Laboratory Productivity: Process Consultancy and A3 Problem Solving.

    PubMed

    Yörükoğlu, Kutsal; Özer, Erdener; Alptekin, Birsen; Öcal, Cem

    2017-01-01

    The ISO 17020 quality program has been run in our pathology laboratory for four years to establish an action plan for correction and prevention of identified errors. In this study, we aimed to evaluate the errors that we could not identify through ISO 17020 and/or solve by means of process consulting. Process consulting is carefully intervening in a group or team to help it to accomplish its goals. The A3 problem solving process was run under the leadership of a 'workflow, IT and consultancy manager'. An action team was established consisting of technical staff. A root cause analysis was applied for target conditions, and the 6-S method was implemented for solution proposals. Applicable proposals were activated and the results were rated by six-sigma analysis. Non-applicable proposals were reported to the laboratory administrator. A mislabelling error was the most complained issue triggering all pre-analytical errors. There were 21 non-value added steps grouped in 8 main targets on the fish bone graphic (transporting, recording, moving, individual, waiting, over-processing, over-transaction and errors). Unnecessary redundant requests, missing slides, archiving issues, redundant activities, and mislabelling errors were proposed to be solved by improving visibility and fixing spaghetti problems. Spatial re-organization, organizational marking, re-defining some operations, and labeling activities raised the six sigma score from 24% to 68% for all phases. Operational transactions such as implementation of a pathology laboratory system was suggested for long-term improvement. Laboratory management is a complex process. Quality control is an effective method to improve productivity. Systematic checking in a quality program may not always find and/or solve the problems. External observation may reveal crucial indicators about the system failures providing very simple solutions.

  20. Process Improvement to Enhance Quality in a Large Volume Labor and Birth Unit.

    PubMed

    Bell, Ashley M; Bohannon, Jessica; Porthouse, Lisa; Thompson, Heather; Vago, Tony

    The goal of the perinatal team at Mercy Hospital St. Louis is to provide a quality patient experience during labor and birth. After the move to a new labor and birth unit in 2013, the team recognized many of the routines and practices needed to be modified based on different demands. The Lean process was used to plan and implement required changes. This technique was chosen because it is based on feedback from clinicians, teamwork, strategizing, and immediate evaluation and implementation of common sense solutions. Through rapid improvement events, presence of leaders in the work environment, and daily huddles, team member engagement and communication were enhanced. The process allowed for team members to offer ideas, test these ideas, and evaluate results, all within a rapid time frame. For 9 months, frontline clinicians met monthly for a weeklong rapid improvement event to create better experiences for childbearing women and those who provide their care, using Lean concepts. At the end of each week, an implementation plan and metrics were developed to help ensure sustainment. The issues that were the focus of these process improvements included on-time initiation of scheduled cases such as induction of labor and cesarean birth, timely and efficient assessment and triage disposition, postanesthesia care and immediate newborn care completed within approximately 2 hours, transfer from the labor unit to the mother baby unit, and emergency transfers to the main operating room and intensive care unit. On-time case initiation for labor induction and cesarean birth improved, length of stay in obstetric triage decreased, postanesthesia recovery care was reorganized to be completed within the expected 2-hour standard time frame, and emergency transfers to the main hospital operating room and intensive care units were standardized and enhanced for efficiency and safety. Participants were pleased with the process improvements and quality outcomes. Working together as a team

  1. Process analytical technology in the pharmaceutical industry: a toolkit for continuous improvement.

    PubMed

    Scott, Bradley; Wilcock, Anne

    2006-01-01

    Process analytical technology (PAT) refers to a series of tools used to ensure that quality is built into products while at the same time improving the understanding of processes, increasing efficiency, and decreasing costs. It has not been widely adopted by the pharmaceutical industry. As the setting for this paper, the current pharmaceutical manufacturing paradigm and PAT guidance to date are discussed prior to the review of PAT principles and tools, benefits, and challenges. The PAT toolkit contains process analyzers, multivariate analysis tools, process control tools, and continuous improvement/knowledge management/information technology systems. The integration and implementation of these tools is complex, and has resulted in uncertainty with respect to both regulation and validation. The paucity of staff knowledgeable in this area may complicate adoption. Studies to quantitate the benefits resulting from the adoption of PAT within the pharmaceutical industry would be a valuable addition to the qualitative studies that are currently available.

  2. The Data-to-Action Framework: A Rapid Program Improvement Process.

    PubMed

    Zakocs, Ronda; Hill, Jessica A; Brown, Pamela; Wheaton, Jocelyn; Freire, Kimberley E

    2015-08-01

    Although health education programs may benefit from quality improvement methods, scant resources exist to help practitioners apply these methods for program improvement. The purpose of this article is to describe the Data-to-Action framework, a process that guides practitioners through rapid-feedback cycles in order to generate actionable data to improve implementation of ongoing programs. The framework was designed while implementing DELTA PREP, a 3-year project aimed at building the primary prevention capacities of statewide domestic violence coalitions. The authors describe the framework's main steps and provide a case example of a rapid-feedback cycle and several examples of rapid-feedback memos produced during the project period. The authors also discuss implications for health education evaluation and practice. © 2015 Society for Public Health Education.

  3. Coffee cysteine proteinases and related inhibitors with high expression during grain maturation and germination

    PubMed Central

    2012-01-01

    Background Cysteine proteinases perform multiple functions in seeds, including participation in remodelling polypeptides and recycling amino acids during maturation and germination. Currently, few details exist concerning these genes and proteins in coffee. Furthermore, there is limited information on the cysteine proteinase inhibitors which influence the activities of these proteinases. Results Two cysteine proteinase (CP) and four cysteine proteinase inhibitor (CPI) gene sequences have been identified in coffee with significant expression during the maturation and germination of coffee grain. Detailed expression analysis of the cysteine proteinase genes CcCP1 and CcCP4 in Robusta using quantitative RT-PCR showed that these transcripts accumulate primarily during grain maturation and germination/post germination. The corresponding proteins were expressed in E. coli and purified, but only one, CcCP4, which has a KDDL/KDEL C-terminal sequence, was found to be active after a short acid treatment. QRT-PCR expression analysis of the four cysteine proteinase inhibitor genes in Robusta showed that CcCPI-1 is primarily expressed in developing and germinating grain and CcCPI-4 is very highly expressed during the late post germination period, as well as in mature, but not immature leaves. Transcripts corresponding to CcCPI-2 and CcCPI-3 were detected in most tissues examined at relatively similar, but generally low levels. Conclusions Several cysteine proteinase and cysteine proteinase inhibitor genes with strong, relatively specific expression during coffee grain maturation and germination are presented. The temporal expression of the CcCP1 gene suggests it is involved in modifying proteins during late grain maturation and germination. The expression pattern of CcCP4, and its close identity with KDEL containing CP proteins, implies this proteinase may play a role in protein and/or cell remodelling during late grain germination, and that it is likely to play a strong role

  4. Improving Group Processes in Transdisciplinary Case Studies for Sustainability Learning

    ERIC Educational Resources Information Center

    Hansmann, Ralf; Crott, Helmut W.; Mieg, Harald A.; Scholz, Roland W.

    2009-01-01

    Purpose: Deficient group processes such as conformity pressure can lead to inadequate group decisions with negative social, economic, or environmental consequences. The study aims to investigate how a group technique (called INFO) improves students' handling of conformity pressure and their collective judgments in the context of a…

  5. Case Study: Accelerating Process Improvement by Integrating the TSP and CMMI

    DTIC Science & Technology

    2007-06-01

    Could software development teams and indi- viduals apply similar principles to improve their work? Watts S . Humphrey , a founder of the process...was an authorized PSP instructor. At Schwalb’s urging, Watts Humphrey briefed the SLT on the PSP and TSP, and after the briefing, the team... Humphrey 96] Humphrey , Watts S . Introduction to the Personal Software Process. Boston, MA: Addison- Wesley Publishing Company, Inc., 1996 (ISBN

  6. Infrared pre-drying and dry-dehulling of walnuts for improved processing efficiency and product quality

    USDA-ARS?s Scientific Manuscript database

    The walnut industry is faced with an urgent need to improve post-harvest processing efficiency, particularly drying and dehulling operations. This research investigated the feasibility of dry-dehulling and infrared (IR) pre-drying of walnuts for improved processing efficiency and dried product quali...

  7. A Review of Cost Performance Index Stability

    DTIC Science & Technology

    1991-09-01

    heuristics using both a cumulative and a non-cumulative CPI approach. Research Problem The problem is to determine if the CPI stabilizes for defense...stability include heuristics and an empirical study. There are problems with each of these approaches however, which cause confusion for users of CPR...data trying to determine when to declare the CPI stable. The problem with heuristics is that there is a large number of them, each lacking statistical

  8. Physical Mapping and Refinement of the Painted Turtle Genome (Chrysemys picta) Inform Amniote Genome Evolution and Challenge Turtle-Bird Chromosomal Conservation

    PubMed Central

    Badenhorst, Daleen; Hillier, LaDeana W.; Literman, Robert; Montiel, Eugenia Elisabet; Radhakrishnan, Srihari; Shen, Yingjia; Minx, Patrick; Janes, Daniel E.; Warren, Wesley C.; Edwards, Scott V.; Valenzuela, Nicole

    2015-01-01

    Comparative genomics continues illuminating amniote genome evolution, but for many lineages our understanding remains incomplete. Here, we refine the assembly (CPI 3.0.3 NCBI AHGY00000000.2) and develop a cytogenetic map of the painted turtle (Chrysemys picta—CPI) genome, the first in turtles and in vertebrates with temperature-dependent sex determination. A comparison of turtle genomes with those of chicken, selected nonavian reptiles, and human revealed shared and novel genomic features, such as numerous chromosomal rearrangements. The largest conserved syntenic blocks between birds and turtles exist in four macrochromosomes, whereas rearrangements were evident in these and other chromosomes, disproving that turtles and birds retain fully conserved macrochromosomes for greater than 300 Myr. C-banding revealed large heterochromatic blocks in the centromeric region of only few chromosomes. The nucleolar-organizing region (NOR) mapped to a single CPI microchromosome, whereas in some turtles and lizards the NOR maps to nonhomologous sex-chromosomes, thus revealing independent translocations of the NOR in various reptilian lineages. There was no evidence for recent chromosomal fusions as interstitial telomeric-DNA was absent. Some repeat elements (CR1-like, Gypsy) were enriched in the centromeres of five chromosomes, whereas others were widespread in the CPI genome. Bacterial artificial chromosome (BAC) clones were hybridized to 18 of the 25 CPI chromosomes and anchored to a G-banded ideogram. Several CPI sex-determining genes mapped to five chromosomes, and homology was detected between yet other CPI autosomes and the globally nonhomologous sex chromosomes of chicken, other turtles, and squamates, underscoring the independent evolution of vertebrate sex-determining mechanisms. PMID:26108489

  9. How high-performance work systems drive health care value: an examination of leading process improvement strategies.

    PubMed

    Robbins, Julie; Garman, Andrew N; Song, Paula H; McAlearney, Ann Scheck

    2012-01-01

    As hospitals focus on increasing health care value, process improvement strategies have proliferated, seemingly faster than the evidence base supporting them. Yet, most process improvement strategies are associated with work practices for which solid evidence does exist. Evaluating improvement strategies in the context of evidence-based work practices can provide guidance about which strategies would work best for a given health care organization. We combined a literature review with analysis of key informant interview data collected from 5 case studies of high-performance work practices (HPWPs) in health care organizations. We explored the link between an evidence-based framework for HPWP use and 3 process improvement strategies: Hardwiring Excellence, Lean/Six Sigma, and Baldrige. We found that each of these process improvement strategies has not only strengths but also important gaps with respect to incorporating HPWPs involving engaging staff, aligning leaders, acquiring and developing talent, and empowering the front line. Given differences among these strategies, our analyses suggest that some may work better than others for individual health care organizations, depending on the organizations' current management systems. In practice, most organizations implementing improvement strategies would benefit from including evidence-based HPWPs to maximize the potential for process improvement strategies to increase value in health care.

  10. Leadership, safety climate, and continuous quality improvement: impact on process quality and patient safety.

    PubMed

    McFadden, Kathleen L; Stock, Gregory N; Gowen, Charles R

    2014-10-01

    Successful amelioration of medical errors represents a significant problem in the health care industry. There is a need for greater understanding of the factors that lead to improved process quality and patient safety outcomes in hospitals. We present a research model that shows how transformational leadership, safety climate, and continuous quality improvement (CQI) initiatives are related to objective quality and patient safety outcome measures. The proposed framework is tested using structural equation modeling, based on data collected for 204 hospitals, and supplemented with objective outcome data from the Centers for Medicare and Medicaid Services. The results provide empirical evidence that a safety climate, which is connected to the chief executive officer's transformational leadership style, is related to CQI initiatives, which are linked to improved process quality. A unique finding of this study is that, although CQI initiatives are positively associated with improved process quality, they are also associated with higher hospital-acquired condition rates, a measure of patient safety. Likewise, safety climate is directly related to improved patient safety outcomes. The notion that patient safety climate and CQI initiatives are not interchangeable or universally beneficial is an important contribution to the literature. The results confirm the importance of using CQI to effectively enhance process quality in hospitals, and patient safety climate to improve patient safety outcomes. The overall pattern of findings suggests that simultaneous implementation of CQI initiatives and patient safety climate produces greater combined benefits.

  11. Leadership, safety climate, and continuous quality improvement: impact on process quality and patient safety.

    PubMed

    McFadden, Kathleen L; Stock, Gregory N; Gowen, Charles R

    2015-01-01

    Successful amelioration of medical errors represents a significant problem in the health care industry. There is a need for greater understanding of the factors that lead to improved process quality and patient safety outcomes in hospitals. We present a research model that shows how transformational leadership, safety climate, and continuous quality improvement (CQI) initiatives are related to objective quality and patient safety outcome measures. The proposed framework is tested using structural equation modeling, based on data collected for 204 hospitals, and supplemented with objective outcome data from the Centers for Medicare and Medicaid Services. The results provide empirical evidence that a safety climate, which is connected to the chief executive officer's transformational leadership style, is related to CQI initiatives, which are linked to improved process quality. A unique finding of this study is that, although CQI initiatives are positively associated with improved process quality, they are also associated with higher hospital-acquired condition rates, a measure of patient safety. Likewise, safety climate is directly related to improved patient safety outcomes. The notion that patient safety climate and CQI initiatives are not interchangeable or universally beneficial is an important contribution to the literature. The results confirm the importance of using CQI to effectively enhance process quality in hospitals, and patient safety climate to improve patient safety outcomes. The overall pattern of findings suggests that simultaneous implementation of CQI initiatives and patient safety climate produces greater combined benefits.

  12. Improvement of resist profile roughness in bilayer resist process

    NASA Astrophysics Data System (ADS)

    Jeong, Chang-Young; Ryu, Sang-Wook; Park, Ki-Yeop; Lee, Won-Kyu; Lee, Seung-Woog; Lee, Dai-Hoon

    2000-06-01

    The bi-layer resist (BLR) process, which first accomplish imaging on a thin top layer and transfer it down to a thick organic layer, is one of newly emerging patterning techniques in silicon processing. In this work, we studied the lithographic performance of the BLR process adopting FK- SPTM (Fujifilm Olin Co.) as top layer material and various organic material as bottom layer. Generally, considerable advantages of planarization, reduced substrate reflection, improved process latitude, and of enhanced resolution are achieved. However, the resolution and the process latitude are highly affected by surface interaction between the top resist and the bottom material. Moreover, the BLR process has a sidewall roughness problem related to the material factors of the resist and the degraded aerial image contrast, which can affect the reliability of the device. We found that thermal curing treatment applied after development with the consideration of the glass transition temperature are very effective in reducing the line edge roughness. More smooth and steep patterning is achieved by the thermal treatment. The linewidth controllability is below 10 nm and the k1 value is reduced from 0.5 down to 0.32 in this process. The reactive ion etching adopting O2 gas demonstrated selectivity of the top resist over bottom material more than 15:1, together with residue-free and vertical wall profile.

  13. Formation process of graphite film on Ni substrate with improved thickness uniformity through precipitation control

    NASA Astrophysics Data System (ADS)

    Kim, Seul-Gi; Hu, Qicheng; Nam, Ki-Bong; Kim, Mun Ja; Yoo, Ji-Beom

    2018-04-01

    Large-scale graphitic thin film with high thickness uniformity needs to be developed for industrial applications. Graphitic films with thicknesses ranging from 3 to 20 nm have rarely been reported, and achieving the thickness uniformity in that range is a challenging task. In this study, a process for growing 20 nm-thick graphite films on Ni with improved thickness uniformity is demonstrated and compared with the conventional growth process. In the film grown by the process, the surface roughness and coverage were improved and no wrinkles were observed. Observations of the film structure reveal the reasons for the improvements and growth mechanisms.

  14. Exploring Group Communication and Productivity Improvement: Using an Experiential Process.

    ERIC Educational Resources Information Center

    Mandeville, Mary Y.; Mandeville, David E.

    Engineering students at Oklahoma State University used an experiential process (the ACME Basket Exercise) to develop an understanding of how quality and productivity can be improved. The exercise simulates a traditional production organization in the classroom and mirrors the efforts, the successes, and the frustrations of individuals and work…

  15. Process Improvement Education with Professionals in the Addiction Treatment Field

    ERIC Educational Resources Information Center

    Pulvermacher, Alice

    2006-01-01

    Continuing education is being provided to professionals in the addiction treatment field to help them develop skills in process improvement and better meet the needs and requests they encounter. Access and retention of individuals seeking addiction treatment have been two of the greatest challenges addiction treatment professionals face.…

  16. Does attentional training improve numerical processing in developmental dyscalculia?

    PubMed

    Ashkenazi, Sarit; Henik, Avishai

    2012-01-01

    Recently, a deficit in attention was found in those with pure developmental dyscalculia (DD). Accordingly, the present study aimed to examine the influence of attentional training on attention abilities, basic numerical abilities, and arithmetic in participants who were diagnosed as having DD. Nine university students diagnosed as having DD (IQ and reading abilities in the normal range and no indication of attention-deficit hyperactivity disorder) and nine matched controls participated in attentional training (i.e., video game training). First, training modulated the orienting system; after training, the size of the validity effect (i.e., effect of valid vs. invalid) decreased. This effect was comparable in the two groups. Training modulated abnormalities in the attention systems of those with DD, that is, it reduced their enlarged congruity effect (i.e., faster responding when flanking arrows pointed to the same location as a center arrow). Second, in relation to the enumeration task, training reduced the reaction time of the DD group in the subitizing range but did not change their smaller-than-normal subitizing range. Finally, training improved performance in addition problems in both the DD and control groups. These results imply that attentional training does improve most of the attentional deficits of those with DD. In contrast, training did not improve the abnormalities of the DD group in arithmetic or basic numerical processing. Thus, in contrast to the domain-general hypothesis, the deficits in attention among those with DD and the deficits in numerical processing appear to originate from different sources.

  17. Process Improvements in Training Device Acceptance Testing: A Study in Total Quality Management

    DTIC Science & Technology

    1990-12-12

    Quality Management , a small group of Government and industry specialists examined the existing training device acceptance test process for potential improvements. The agreed-to mission of the Air Force/Industry partnership was to continuously identify and promote implementable approaches to minimize the cost and time required for acceptance testing while ensuring that validated performance supports the user training requirements. Application of a Total Quality process improvement model focused on the customers and their requirements, analyzed how work was accomplished, and

  18. Superintendents' Perceptions of the School Improvement Planning Process in the Southeastern USA

    ERIC Educational Resources Information Center

    Dunaway, David M.; Bird, James J.; Wang, Chuang; Hancock, Dawson

    2014-01-01

    The purpose of this study of school improvement planning in the southeastern USA was to establish the current view of the process through the eyes of the district superintendents. The answers to the questions were consistently mixed. Generally, the presence of school improvement planning is prevalent in the large majority of districts. However,…

  19. Perceptions of the Purpose and Value of the School Improvement Plan Process

    ERIC Educational Resources Information Center

    Dunaway, David M.; Kim, Do-Hong; Szad, Elizabeth R.

    2012-01-01

    The purpose of this research was to determine how teachers and administrators in a successful North Carolina district perceived the purpose and value of a school improvement plan (SIP) and the planning process. The SIP is the accepted best practice for school-wide improvement, and the perceptions of the purpose and value of the process…

  20. Process improvement methods increase the efficiency, accuracy, and utility of a neurocritical care research repository.

    PubMed

    O'Connor, Sydney; Ayres, Alison; Cortellini, Lynelle; Rosand, Jonathan; Rosenthal, Eric; Kimberly, W Taylor

    2012-08-01

    Reliable and efficient data repositories are essential for the advancement of research in Neurocritical care. Various factors, such as the large volume of patients treated within the neuro ICU, their differing length and complexity of hospital stay, and the substantial amount of desired information can complicate the process of data collection. We adapted the tools of process improvement to the data collection and database design of a research repository for a Neuroscience intensive care unit. By the Shewhart-Deming method, we implemented an iterative approach to improve the process of data collection for each element. After an initial design phase, we re-evaluated all data fields that were challenging or time-consuming to collect. We then applied root-cause analysis to optimize the accuracy and ease of collection, and to determine the most efficient manner of collecting the maximal amount of data. During a 6-month period, we iteratively analyzed the process of data collection for various data elements. For example, the pre-admission medications were found to contain numerous inaccuracies after comparison with a gold standard (sensitivity 71% and specificity 94%). Also, our first method of tracking patient admissions and discharges contained higher than expected errors (sensitivity 94% and specificity 93%). In addition to increasing accuracy, we focused on improving efficiency. Through repeated incremental improvements, we reduced the number of subject records that required daily monitoring from 40 to 6 per day, and decreased daily effort from 4.5 to 1.5 h/day. By applying process improvement methods to the design of a Neuroscience ICU data repository, we achieved a threefold improvement in efficiency and increased accuracy. Although individual barriers to data collection will vary from institution to institution, a focus on process improvement is critical to overcoming these barriers.

  1. Advanced Information Processing. Volume II. Instructor's Materials. Curriculum Improvement Project. Region II.

    ERIC Educational Resources Information Center

    Stanford, Linda

    This course curriculum is intended for use by community college insructors and administrators in implementing an advanced information processing course. It builds on the skills developed in the previous information processing course but goes one step further by requiring students to perform in a simulated office environment and improve their…

  2. Organic photovoltaic cells: from performance improvement to manufacturing processes.

    PubMed

    Youn, Hongseok; Park, Hui Joon; Guo, L Jay

    2015-05-20

    Organic photovoltaics (OPVs) have been pursued as a next generation power source due to their light weight, thin, flexible, and simple fabrication advantages. Improvements in OPV efficiency have attracted great attention in the past decade. Because the functional layers in OPVs can be dissolved in common solvents, they can be manufactured by eco-friendly and scalable printing or coating technologies. In this review article, the focus is on recent efforts to control nanomorphologies of photoactive layer and discussion of various solution-processed charge transport and extraction materials, to maximize the performance of OPV cells. Next, recent works on printing and coating technologies for OPVs to realize solution processing are reviewed. The review concludes with a discussion of recent advances in the development of non-traditional lamination and transfer method towards highly efficient and fully solution-processed OPV. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Methods for improved forewarning of condition changes in monitoring physical processes

    DOEpatents

    Hively, Lee M.

    2013-04-09

    This invention teaches further improvements in methods for forewarning of critical events via phase-space dissimilarity analysis of data from biomedical equipment, mechanical devices, and other physical processes. One improvement involves objective determination of a forewarning threshold (U.sub.FW), together with a failure-onset threshold (U.sub.FAIL) corresponding to a normalized value of a composite measure (C) of dissimilarity; and providing a visual or audible indication to a human observer of failure forewarning and/or failure onset. Another improvement relates to symbolization of the data according the binary numbers representing the slope between adjacent data points. Another improvement relates to adding measures of dissimilarity based on state-to-state dynamical changes of the system. And still another improvement relates to using a Shannon entropy as the measure of condition change in lieu of a connected or unconnected phase space.

  4. Surgical Process Improvement: Impact of a Standardized Care Model With Electronic Decision Support to Improve Compliance With SCIP Inf-9.

    PubMed

    Cook, David J; Thompson, Jeffrey E; Suri, Rakesh; Prinsen, Sharon K

    2014-01-01

    The absence of standardization in surgical care process, exemplified in a "solution shop" model, can lead to unwarranted variation, increased cost, and reduced quality. A comprehensive effort was undertaken to improve quality of care around indwelling bladder catheter use following surgery by creating a "focused factory" model within the cardiac surgical practice. Baseline compliance with Surgical Care Improvement Inf-9, removal of urinary catheter by the end of surgical postoperative day 2, was determined. Comparison of baseline data to postintervention results showed clinically important reductions in the duration of indwelling bladder catheters as well as marked reduction in practice variation. Following the intervention, Surgical Care Improvement Inf-9 guidelines were met in 97% of patients. Although clinical quality improvement was notable, the process to accomplish this-identification of patients suitable for standardized pathways, protocol application, and electronic systems to support the standardized practice model-has potentially greater relevance than the specific clinical results. © 2013 by the American College of Medical Quality.

  5. The Research of Improving the Particleboard Glue Dosing Process Based on TRIZ Analysis

    NASA Astrophysics Data System (ADS)

    Yu, Huiling; Fan, Delin; Zhang, Yizhuo

    This research creates a design methodology by synthesizing the Theory of Inventive Problem Solving (TRIZ) and cascade control based on Smith predictor. The particleboard glue supplying and dosing system case study defines the problem and the solution using the methodology proposed in the paper. Status difference existing in the gluing dosing process of particleboard production usually causes gluing volume inaccurately. In order to solve the problem above, we applied the TRIZ technical contradiction and inventive principle to improve the key process of particleboard production. The improving method mapped inaccurate problem to TRIZ technical contradiction, the prior action proposed Smith predictor as the control algorithm in the glue dosing system. This research examines the usefulness of a TRIZ based problem-solving process designed to improve the problem-solving ability of users in addressing difficult or reoccurring problems and also testify TRIZ is practicality and validity. Several suggestions are presented on how to approach this problem.

  6. The Role of Lean Process Improvement in Implementation of Evidence-Based Practices in Behavioral Health Care.

    PubMed

    Steinfeld, Bradley; Scott, Jennifer; Vilander, Gavin; Marx, Larry; Quirk, Michael; Lindberg, Julie; Koerner, Kelly

    2015-10-01

    To effectively implement evidence-based practices (EBP) in behavioral health care, an organization needs to have operating structures and processes that can address core EBP implementation factors and stages. Lean, a widely used quality improvement process, can potentially address the factors crucial to successful implementation of EBP. This article provides an overview of Lean and the relationship between Lean process improvement steps, and EBP implementation models. Examples of how Lean process improvement methodologies can be used to help plan and carry out implementation of EBP in mental health delivery systems are presented along with limitations and recommendations for future research and clinical application.

  7. Evaluating and Improving the Mathematics Teaching-Learning Process through Metacognition

    ERIC Educational Resources Information Center

    Desoete, Annemie

    2007-01-01

    Introduction: Despite all the emphasis on metacognition, researchers currently use different techniques to assess metacognition. The purpose of this contribution is to help to clarify some of the paradigms on the evaluation of metacognition. In addition the paper reviews studies aiming to improve the learning process through metacognition. Method:…

  8. Informed consent recall and comprehension in orthodontics: traditional vs improved readability and processability methods.

    PubMed

    Kang, Edith Y; Fields, Henry W; Kiyak, Asuman; Beck, F Michael; Firestone, Allen R

    2009-10-01

    Low general and health literacy in the United States means informed consent documents are not well understood by most adults. Methods to improve recall and comprehension of informed consent have not been tested in orthodontics. The purposes of this study were to evaluate (1) recall and comprehension among patients and parents by using the American Association of Orthodontists' (AAO) informed consent form and new forms incorporating improved readability and processability; (2) the association between reading ability, anxiety, and sociodemographic variables and recall and comprehension; and (3) how various domains (treatment, risk, and responsibility) of information are affected by the forms. Three treatment groups (30 patient-parent pairs in each) received an orthodontic case presentation and either the AAO form, an improved readability form (MIC), or an improved readability and processability (pairing audio and visual cues) form (MIC + SS). Structured interviews were transcribed and coded to evaluate recall and comprehension. Significant relationships among patient-related variables and recall and comprehension explained little of the variance. The MIC + SS form significantly improved patient recall and parent recall and comprehension. Recall was better than comprehension, and parents performed better than patients. The MIC + SS form significantly improved patient treatment comprehension and risk recall and parent treatment recall and comprehension. Patients and parents both overestimated their understanding of the materials. Improving the readability of consent materials made little difference, but combining improved readability and processability benefited both patients' recall and parents' recall and comprehension compared with the AAO form.

  9. Advanced Information Processing. Volume I. Student's Materials. Curriculum Improvement Project. Region II.

    ERIC Educational Resources Information Center

    Stanford, Linda

    This course curriculum is intended for use in an advanced information processing course. It builds on the skills developed in the previous information processing course but goes one step further by requiring students to perform in a simulated office environment and improve their decision-making skills. This volume contains two parts of the…

  10. Benefits of CMM-Based Software Process Improvement: Initial Results

    DTIC Science & Technology

    1994-08-01

    Institute Carnegie Mellon University Pittsburgh, Pennsylvania 15213 This report was prepar the SEI Joint Program Office HQ ESC/ENS 5 Eglin Street Hanscom AFB...Miller, Lt Col, USAF SEI Joint Program Office This work is sponsored by the U.S. Department of Defense. Copyright 0 1994 by Carnegie Mellon University...categories: descriptive information about the organizations, information about their process improvement and measurement programs , and data about the

  11. Predicting Subsequent Service Potential for Former Army Prisoners

    DTIC Science & Technology

    1983-03-25

    Esteem Scale, and Hudson’s (1974) Index of Self - Esteem , fou9d significant d_ e.re.e beteen-gr duates-and-non-gj The results of the two studies are...a greater need for autonomy, on the EPPS, and less self - esteem , on the Rosenberg (1965) scale. 400 Table 1 Predicting Graduation/Discharge from...Acceptance (CPI) .588 Education Completed .410 Socialization (CPI) -.445 Highest Pay Grade -.388 Social Presence (CPI) -.443 Marital Status .388 Self - Esteem (Rosenberg

  12. IMPROVING THE ENVIRONMENTAL PERFORMANCE OF CHEMICAL PROCESSES THROUGH THE USE OF INFORMATION TECHNOLOGY

    EPA Science Inventory

    Efforts are currently underway at the USEPA to develop information technology applications to improve the environmental performance of the chemical process industry. These efforts include the use of genetic algorithms to optimize different process options for minimal environmenta...

  13. Process improvement to enhance existing stroke team activity toward more timely thrombolytic treatment.

    PubMed

    Cho, Han-Jin; Lee, Kyung Yul; Nam, Hyo Suk; Kim, Young Dae; Song, Tae-Jin; Jung, Yo Han; Choi, Hye-Yeon; Heo, Ji Hoe

    2014-10-01

    Process improvement (PI) is an approach for enhancing the existing quality improvement process by making changes while keeping the existing process. We have shown that implementation of a stroke code program using a computerized physician order entry system is effective in reducing the in-hospital time delay to thrombolysis in acute stroke patients. We investigated whether implementation of this PI could further reduce the time delays by continuous improvement of the existing process. After determining a key indicator [time interval from emergency department (ED) arrival to intravenous (IV) thrombolysis] and conducting data analysis, the target time from ED arrival to IV thrombolysis in acute stroke patients was set at 40 min. The key indicator was monitored continuously at a weekly stroke conference. The possible reasons for the delay were determined in cases for which IV thrombolysis was not administered within the target time and, where possible, the problems were corrected. The time intervals from ED arrival to the various evaluation steps and treatment before and after implementation of the PI were compared. The median time interval from ED arrival to IV thrombolysis in acute stroke patients was significantly reduced after implementation of the PI (from 63.5 to 45 min, p=0.001). The variation in the time interval was also reduced. A reduction in the evaluation time intervals was achieved after the PI [from 23 to 17 min for computed tomography scanning (p=0.003) and from 35 to 29 min for complete blood counts (p=0.006)]. PI is effective for continuous improvement of the existing process by reducing the time delays between ED arrival and IV thrombolysis in acute stroke patients.

  14. Collaborative Project: Improving the Representation of Coastal and Estuarine Processes in Earth System Models

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bryan, Frank; Dennis, John; MacCready, Parker

    This project aimed to improve long term global climate simulations by resolving and enhancing the representation of the processes involved in the cycling of freshwater through estuaries and coastal regions. This was a collaborative multi-institution project consisting of physical oceanographers, climate model developers, and computational scientists. It specifically targeted the DOE objectives of advancing simulation and predictive capability of climate models through improvements in resolution and physical process representation.

  15. Pressure-sensitive strain sensor based on a single percolated Ag nanowire layer embedded in colorless polyimide

    NASA Astrophysics Data System (ADS)

    Lee, Chan-Jae; Jun, Sungwoo; Ju, Byeong-Kwon; Kim, Jong-Woong

    2017-06-01

    This paper presents the fabrication of an elastomer-free, transparent, pressure-sensitive strain sensor consisting of a specially designed silver nanowire (AgNW) pattern and colorless polyimide (cPI). A percolated AgNW network was patterned with a simple tandem compound circuit, which was then embedded in the surface of the cPI via inverted layer processing. The resulting film-type sensor was highly transparent ( 93.5% transmittance at 550 nm) and mechanically stable (capable of resisting 10000 cycles of bending to a 500 μm radius of curvature). We demonstrated that a thin, transparent, and mechanically stable electrode can be produced using a combination of AgNWs and cPI, and used to produce a system sensitive to pressure-induced bending. The capacitance of the AgNW tandem compound electrode pattern grew via fringing, which increased with the pressure-induced bending applied to the surface of the sensor. The sensitivity was four times higher than that of an elastomeric pressure sensor made with the same design. Finally, we demonstrated a skin-like pressure sensor attached to the inside wrist of a human arm.

  16. Mechanical impedance measurements for improved cost-effective process monitoring

    NASA Astrophysics Data System (ADS)

    Clopet, Caroline R.; Pullen, Deborah A.; Badcock, Rodney A.; Ralph, Brian; Fernando, Gerard F.; Mahon, Steve W.

    1999-06-01

    The aerospace industry has seen a considerably growth in composite usage over the past ten years, especially with the development of cost effective manufacturing techniques such as Resin Transfer Molding and Resin Infusion under Flexible Tooling. The relatively high cost of raw material and conservative processing schedules has limited their growth further in non-aerospace technologies. In-situ process monitoring has been explored for some time as a means to improving the cost efficiency of manufacturing with dielectric spectroscopy and optical fiber sensors being the two primary techniques developed to date. A new emerging technique is discussed here making use of piezoelectric wafers with the ability to sense not only aspects of resin flow but also to detect the change in properties of the resin as it cures. Experimental investigations to date have shown a correlation between mechanical impedance measurements and the mechanical properties of cured epoxy systems with potential for full process monitoring.

  17. Designing Process Improvement of Finished Good On Time Release and Performance Indicator Tool in Milk Industry Using Business Process Reengineering Method

    NASA Astrophysics Data System (ADS)

    Dachyar, M.; Christy, E.

    2014-04-01

    To maintain position as a major milk producer, the Indonesian milk industry should do some business development with the purpose of increasing customer service level. One strategy is to create on time release conditions for finished goods which will be distributed to customers and distributors. To achieve this condition, management information systems of finished goods on time release needs to be improved. The focus of this research is to conduct business process improvement using Business Process Reengineering (BPR). The deliverable key of this study is a comprehensive business strategy which is the solution of the root problems. To achieve the goal, evaluation, reengineering, and improvement of the ERP system are conducted. To visualize the predicted implementation, a simulation model is built by Oracle BPM. The output of this simulation showed that the proposed solution could effectively reduce the process lead time and increase the number of quality releases.

  18. Kaizen: a process improvement model for the business of health care and perioperative nursing professionals.

    PubMed

    Tetteh, Hassan A

    2012-01-01

    Kaizen is a proven management technique that has a practical application for health care in the context of health care reform and the 2010 Institute of Medicine landmark report on the future of nursing. Compounded productivity is the unique benefit of kaizen, and its principles are change, efficiency, performance of key essential steps, and the elimination of waste through small and continuous process improvements. The kaizen model offers specific instruction for perioperative nurses to achieve process improvement in a five-step framework that includes teamwork, personal discipline, improved morale, quality circles, and suggestions for improvement. Published by Elsevier Inc.

  19. The Taguchi Method Application to Improve the Quality of a Sustainable Process

    NASA Astrophysics Data System (ADS)

    Titu, A. M.; Sandu, A. V.; Pop, A. B.; Titu, S.; Ciungu, T. C.

    2018-06-01

    Taguchi’s method has always been a method used to improve the quality of the analyzed processes and products. This research shows an unusual situation, namely the modeling of some parameters, considered technical parameters, in a process that is wanted to be durable by improving the quality process and by ensuring quality using an experimental research method. Modern experimental techniques can be applied in any field and this study reflects the benefits of interacting between the agriculture sustainability principles and the Taguchi’s Method application. The experimental method used in this practical study consists of combining engineering techniques with experimental statistical modeling to achieve rapid improvement of quality costs, in fact seeking optimization at the level of existing processes and the main technical parameters. The paper is actually a purely technical research that promotes a technical experiment using the Taguchi method, considered to be an effective method since it allows for rapid achievement of 70 to 90% of the desired optimization of the technical parameters. The missing 10 to 30 percent can be obtained with one or two complementary experiments, limited to 2 to 4 technical parameters that are considered to be the most influential. Applying the Taguchi’s Method in the technique and not only, allowed the simultaneous study in the same experiment of the influence factors considered to be the most important in different combinations and, at the same time, determining each factor contribution.

  20. Using Lean Six Sigma Methodology to Improve a Mass Immunizations Process at the United States Naval Academy.

    PubMed

    Ha, Chrysanthy; McCoy, Donald A; Taylor, Christopher B; Kirk, Kayla D; Fry, Robert S; Modi, Jitendrakumar R

    2016-06-01

    Lean Six Sigma (LSS) is a process improvement methodology developed in the manufacturing industry to increase process efficiency while maintaining product quality. The efficacy of LSS application to the health care setting has not been adequately studied. This article presents a quality improvement project at the U.S. Naval Academy that uses LSS to improve the mass immunizations process for Midshipmen during in-processing. The process was standardized to give all vaccinations at one station instead of giving a different vaccination at each station. After project implementation, the average immunizations lead time decreased by 79% and staffing decreased by 10%. The process was shown to be in control with a capability index of 1.18 and performance index of 1.10, resulting in a defect rate of 0.04%. This project demonstrates that the LSS methodology can be applied successfully to the health care setting to make sustainable process improvements if used correctly and completely. Reprint & Copyright © 2016 Association of Military Surgeons of the U.S.

  1. Improving participant comprehension in the informed consent process.

    PubMed

    Cohn, Elizabeth; Larson, Elaine

    2007-01-01

    To critically analyze studies published within the past decade about participants' comprehension of informed consent in clinical research and to identify promising intervention strategies. Integrative review of literature. The Cumulative Index of Nursing and Allied Health Literature (CINAHL), PubMed, and the Cochrane Database of Systematic Reviews and Cochrane Central Register of Controlled Trials were searched. Inclusion criteria included studies (a) published between January 1, 1996 and January 1, 2007, (b) designed as descriptive or interventional studies of comprehension of informed consent for clinical research, (c) conducted in nonpsychiatric adult populations who were either patients or volunteer participants, (d) written in English, and (e) published in peer-reviewed journals. Of the 980 studies identified, 319 abstracts were screened, 154 studies were reviewed, and 23 met the inclusion criteria. Thirteen studies (57%) were descriptive, and 10 (43%) were interventional. Interventions tested included simplified written consent documents, multimedia approaches, and the use of a trained professional (consent educator) to assist in the consent process. Collectively, no single intervention strategy was consistently associated with improved comprehension. Studies also varied in regard to the definition of comprehension and the tools used to measure it. Despite increasing regulatory scrutiny, deficiencies still exist in participant comprehension of the research in which they participate, as well as differences in how comprehension is measured and assessed. No single intervention was identified as consistently successful for improving participant comprehension, and results indicated that any successful consent process should at a minimum include various communication modes and is likely to require one-to-one interaction with someone knowledgeable about the study.

  2. Growth factor controls on the distribution and carbon isotope composition of n-alkanes in leaf wax

    NASA Astrophysics Data System (ADS)

    Jia, C.; Xie, S.; Huang, X.

    2012-12-01

    Cuticular wax plays pivotal physiological and ecological roles in the interactions between plants and the environments in which they grow. Plant-derived long-chain alkanes are more resistant to decay than other biochemical polymers. n-Alkane distributions (Carbon Preference Index (CPI) values and Average Chain Length (ACL) values) and carbon isotopic values are used widely in palaeoenvironmental reconstruction. However, there is little information available on how growth stages of the plant might influence the abundance of n-alkanes in the natural environment. In this study, we analyzed n-alkane distributions and carbon isotope data from two tree species (Cinnamomum camphora (L.) Presl. and Liquidambar formosana Hance) collected monthly from 2009 to 2011 in Nanwang Shan, Wuhan, Hubei Province. CPI values for n-alkanes from C. camphora remained stable in autumn and winter but fluctuated dramatically during spring and autumn each year. Positive correlations between CPI values and the relative content of (C27+C29) were observed in both sun and shade leaves of C. camphora from April to July. In L. formosana, CPI values decreased gradually from April to December. A similar trend was observed in all three years suggesting that growth stages rather than temperature or relative humidity affected the CPI values on a seasonal timescale. In the samples of L. formosana ACL values were negatively correlated with CPI values in the growing season (from April to July) and positively correlated with CPI values in the other seasons. The δ13C values of C29 and C31 n-alkanes displayed more negative carbon isotopic values in autumn and winter compared with leaves sampled at the start of the growing season from both trees. The δ13C values of C29 and C31 n-alkanes of L. formosana decreased from April to December. These results demonstrate the importance of elucidating the growing factors that influence the distribution and δ13C values of alkanes in modern leaves prior to using CPI

  3. An analysis of leading, lagging, and coincident economic indicators in the United States and its relationship to the volume of plastic surgery procedures performed: an update for 2012.

    PubMed

    Paik, Angie M; Hoppe, Ian C; Pastor, Craig J

    2013-09-01

    As physician compensation and reimbursement tightens throughout the United States, it is important for physicians to be aware of the influence that the economic environment has on the unique medical field of plastic and reconstructive surgery. This study will attempt to determine a relationship between the volume of different plastic surgical procedures and various economic indicators. Information from the American Society of Plastic Surgeons' annual reports on plastic surgery statistics available on the Internet (http://www.plasticsurgery.org/Media/Statistics.html) was collected from the years 2000 through 2011. Yearly economic indicators were collected from readily available Web sites. In terms of the total number of plastic surgery procedures performed, there was a significant positive relationship with GDP, GDP per capita, personal income, consumer price index (CPI) (all), and CPI (medical), and a significant negative relationship with the issuance of new home permits. There was a significant positive relationship with total cosmetic procedures and GDP, GDP per capita, personal income, CPI (all), and CPI (medical), and a significant negative relationship with the issuance of new home permits. There was a significant positive relationship between cosmetic surgical procedures and the issuance of new home permits and the average prime rate charged by banks. There was a significant positive relationship with cosmetic minimally invasive procedures and GDP, GDP per capita, personal income, CPI (all), and CPI (medical), and a significant negative relationship with the issuance of new home permits. There was a significant negative relationship between reconstructive procedures and GDP, GDP per capita, personal income, CPI (all), and CPI (medical). Cosmetic minimally invasive procedures involve less downtime, are generally less expensive than surgical options, and are widely available, making it easier for patients to decide on them quickly during good economic times

  4. Back-Projection Cortical Potential Imaging: Theory and Results.

    PubMed

    Haor, Dror; Shavit, Reuven; Shapiro, Moshe; Geva, Amir B

    2017-07-01

    Electroencephalography (EEG) is the single brain monitoring technique that is non-invasive, portable, passive, exhibits high-temporal resolution, and gives a directmeasurement of the scalp electrical potential. Amajor disadvantage of the EEG is its low-spatial resolution, which is the result of the low-conductive skull that "smears" the currents coming from within the brain. Recording brain activity with both high temporal and spatial resolution is crucial for the localization of confined brain activations and the study of brainmechanismfunctionality, whichis then followed by diagnosis of brain-related diseases. In this paper, a new cortical potential imaging (CPI) method is presented. The new method gives an estimation of the electrical activity on the cortex surface and thus removes the "smearing effect" caused by the skull. The scalp potentials are back-projected CPI (BP-CPI) onto the cortex surface by building a well-posed problem to the Laplace equation that is solved by means of the finite elements method on a realistic head model. A unique solution to the CPI problem is obtained by introducing a cortical normal current estimation technique. The technique is based on the same mechanism used in the well-known surface Laplacian calculation, followed by a scalp-cortex back-projection routine. The BP-CPI passed four stages of validation, including validation on spherical and realistic head models, probabilistic analysis (Monte Carlo simulation), and noise sensitivity tests. In addition, the BP-CPI was compared with the minimum norm estimate CPI approach and found superior for multi-source cortical potential distributions with very good estimation results (CC >0.97) on a realistic head model in the regions of interest, for two representative cases. The BP-CPI can be easily incorporated in different monitoring tools and help researchers by maintaining an accurate estimation for the cortical potential of ongoing or event-related potentials in order to have better

  5. Software Process Improvement: Supporting the Linking of the Software and the Business Strategies

    NASA Astrophysics Data System (ADS)

    Albuquerque, Adriano Bessa; Rocha, Ana Regina; Lima, Andreia Cavalcanti

    The market is becoming more and more competitive, a lot of products and services depend of the software product and the software is one of the most important assets, which influence the organizations’ businesses. Considering this context, we can observe that the companies must to deal with the software, developing or acquiring, carefully. One of the perspectives that can help to take advantage of the software, supporting effectively the business, is to invest on the organization’s software processes. This paper presents an approach to evaluate and improve the processes assets of the software organizations, based on internationally well-known standards and process models. This approach is supported by automated tools from the TABA Workstation and is part of a wider improvement strategy constituted of three layers (organizational layer, process execution layer and external entity layer). Moreover, this paper presents the experience of use and their results.

  6. Peer Review as a Strategy for Improving Students' Writing Process

    ERIC Educational Resources Information Center

    Baker, Kimberly M.

    2016-01-01

    Peer review is an established strategy for improving the quality of students' writing. This study moves beyond the focus on outcomes to assess the peer-review process. In particular, this study focuses on the timing of the peer review, a highly structured feedback form, and student writers' revisions after engaging in peer review. This study draws…

  7. Improving evapotranspiration processes in distrubing hydrological models using Remote Sensing derived ET products.

    NASA Astrophysics Data System (ADS)

    Abitew, T. A.; van Griensven, A.; Bauwens, W.

    2015-12-01

    Evapotranspiration is the main process in hydrology (on average around 60%), though has not received as much attention in the evaluation and calibration of hydrological models. In this study, Remote Sensing (RS) derived Evapotranspiration (ET) is used to improve the spatially distributed processes of ET of SWAT model application in the upper Mara basin (Kenya) and the Blue Nile basin (Ethiopia). The RS derived ET data is obtained from recently compiled global datasets (continuously monthly data at 1 km resolution from MOD16NBI,SSEBop,ALEXI,CMRSET models) and from regionally applied Energy Balance Models (for several cloud free days). The RS-RT data is used in different forms: Method 1) to evaluate spatially distributed evapotransiration model resultsMethod 2) to calibrate the evotranspiration processes in hydrological modelMethod 3) to bias-correct the evapotranpiration in hydrological model during simulation after changing the SWAT codesAn inter-comparison of the RS-ET products shows that at present there is a significant bias, but at the same time an agreement on the spatial variability of ET. The ensemble mean of different ET products seems the most realistic estimation and was further used in this study.The results show that:Method 1) the spatially mapped evapotranspiration of hydrological models shows clear differences when compared to RS derived evapotranspiration (low correlations). Especially evapotranspiration in forested areas is strongly underestimated compared to other land covers.Method 2) Calibration allows to improve the correlations between the RS and hydrological model results to some extent.Method 3) Bias-corrections are efficient in producing (sesonal or annual) evapotranspiration maps from hydrological models which are very similar to the patterns obtained from RS data.Though the bias-correction is very efficient, it is advised to improve the model results by better representing the ET processes by improved plant/crop computations, improved

  8. Performance improvement CME for quality: challenges inherent to the process.

    PubMed

    Vakani, Farhan Saeed; O'Beirne, Ronan

    2015-01-01

    The purpose of this paper is to discuss the perspective debates upon the real-time challenges for a three-staged Performance Improvement Continuing Medical Education (PI-CME) model, an innovative and potential approach for future CME, to inform providers to think, prepare and to act proactively. In this discussion, the challenges associated for adopting the American Medical Association's three-staged PI-CME model are reported. Not many institutions in USA are using a three-staged performance improvement model and then customizing it to their own healthcare context for the specific targeted audience. They integrate traditional CME methods with performance and quality initiatives, and linking with CME credits. Overall the US health system is interested in a structured PI-CME model with the potential to improve physicians practicing behaviors. Knowing the dearth of evidence for applying this structured performance improvement methodology into the design of CME activities, and the lack of clarity on challenges inherent to the process that learners and providers encounter. This paper establishes all-important first step to render the set of challenges for a three-staged PI-CME model.

  9. Creating Sustainable Education Projects in Roatán, Honduras through Continuous Process Improvement

    ERIC Educational Resources Information Center

    Raven, Arjan; Randolph, Adriane B.; Heil, Shelli

    2010-01-01

    The investigators worked together with permanent residents of Roatán, Honduras on sustainable initiatives to help improve the island's troubled educational programs. Our initiatives focused on increasing the number of students eligible and likely to attend a university. Using a methodology based in continuous process improvement, we developed…

  10. Improving the work function of the niobium surface of SRF cavities by plasma processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tyagi, P. V.; Doleans, M.; Hannah, B.

    2016-01-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature was developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5₋1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  11. Improved design of constrained model predictive tracking control for batch processes against unknown uncertainties.

    PubMed

    Wu, Sheng; Jin, Qibing; Zhang, Ridong; Zhang, Junfeng; Gao, Furong

    2017-07-01

    In this paper, an improved constrained tracking control design is proposed for batch processes under uncertainties. A new process model that facilitates process state and tracking error augmentation with further additional tuning is first proposed. Then a subsequent controller design is formulated using robust stable constrained MPC optimization. Unlike conventional robust model predictive control (MPC), the proposed method enables the controller design to bear more degrees of tuning so that improved tracking control can be acquired, which is very important since uncertainties exist inevitably in practice and cause model/plant mismatches. An injection molding process is introduced to illustrate the effectiveness of the proposed MPC approach in comparison with conventional robust MPC. Copyright © 2017 ISA. Published by Elsevier Ltd. All rights reserved.

  12. Improving data collection processes for routine evaluation of treatment cost-effectiveness.

    PubMed

    Monto, Sari; Penttilä, Riku; Kärri, Timo; Puolakka, Kari; Valpas, Antti; Talonpoika, Anna-Maria

    2016-04-01

    The healthcare system in Finland has begun routine collection of health-related quality of life (HRQoL) information for patients in hospitals to support more systematic cost-effectiveness analysis (CEA). This article describes the systematic collection of HRQoL survey data, and addresses challenges in the implementation of patient surveys and acquisition of cost data in the case hospital. Challenges include problems with incomplete data and undefined management processes. In order to support CEA of hospital treatments, improvements are sought from the process management literature and in the observation of healthcare professionals. The article has been written from an information system and process management perspective, concluding that process ownership, automation of data collection and better staff training are keys to generating more reliable data.

  13. Action video games and improved attentional control: Disentangling selection- and response-based processes.

    PubMed

    Chisholm, Joseph D; Kingstone, Alan

    2015-10-01

    Research has demonstrated that experience with action video games is associated with improvements in a host of cognitive tasks. Evidence from paradigms that assess aspects of attention has suggested that action video game players (AVGPs) possess greater control over the allocation of attentional resources than do non-video-game players (NVGPs). Using a compound search task that teased apart selection- and response-based processes (Duncan, 1985), we required participants to perform an oculomotor capture task in which they made saccades to a uniquely colored target (selection-based process) and then produced a manual directional response based on information within the target (response-based process). We replicated the finding that AVGPs are less susceptible to attentional distraction and, critically, revealed that AVGPs outperform NVGPs on both selection-based and response-based processes. These results not only are consistent with the improved-attentional-control account of AVGP benefits, but they suggest that the benefit of action video game playing extends across the full breadth of attention-mediated stimulus-response processes that impact human performance.

  14. Audit filters for improving processes of care and clinical outcomes in trauma systems.

    PubMed

    Evans, Christopher; Howes, Daniel; Pickett, William; Dagnone, Luigi

    2009-10-07

    Traumatic injuries represent a considerable public health burden with significant personal and societal costs. The care of the severely injured patient in a trauma system progresses along a continuum that includes numerous interventions being provided by a multidisciplinary group of healthcare personnel. Despite the recent emphasis on quality of care in medicine, there has been little research to direct trauma clinicians and administrators on how optimally to monitor and improve upon the quality of care delivered within a trauma system. Audit filters are one mechanism for improving quality of care and are defined as specific clinical processes or outcomes of care that, when they occur, represent unfavorable deviations from an established norm and which prompt review and feedback. Although audit filters are widely utilized for performance improvement in trauma systems they have not been subjected to systematic review of their effectiveness. To determine the effectiveness of using audit filters for improving processes of care and clinical outcomes in trauma systems. Our search strategy included an electronic search of the Cochrane Injuries Group Specialized Register, the Cochrane EPOC Group Specialized Register, CENTRAL (The Cochrane Library 2008, Issue 4), MEDLINE, PubMed, EMBASE, CINAHL, and ISI Web of Science: (SCI-EXPANDED and CPCI-S). We handsearched the Journal of Trauma, Injury, Annals of Emergency Medicine, Academic Emergency Medicine, and Injury Prevention. We searched two clinical trial registries: 1) The World Health Organization International Clinical Trials Registry Platform and, 2) Clinical Trials.gov. We also contacted content experts for further articles. The most recent electronic search was completed in December 2008 and the handsearch was completed up to February 2009. We searched for randomized controlled trials, controlled clinical trials, controlled before-and-after studies, and interrupted time series studies that used audit filters as an

  15. A conceptual persistent healthcare quality improvement process for software development management.

    PubMed

    Lin, Jen-Chiun; Su, Mei-Ju; Cheng, Po-Hsun; Weng, Yung-Chien; Chen, Sao-Jie; Lai, Jin-Shin; Lai, Feipei

    2007-01-01

    This paper illustrates a sustained conceptual service quality improvement process for the management of software development within a healthcare enterprise. Our proposed process is revised from Niland's healthcare quality information system (HQIS). This process includes functions to survey the satisfaction of system functions, describe the operation bylaws on-line, and provide on-demand training. To achieve these goals, we integrate five information systems in National Taiwan University Hospital, including healthcare information systems, health quality information system, requirement management system, executive information system, and digital learning system, to form a full Deming cycle. A preliminary user satisfaction survey showed that our outpatient information system scored an average of 71.31 in 2006.

  16. Impact of lean six sigma process improvement methodology on cardiac catheterization laboratory efficiency.

    PubMed

    Agarwal, Shikhar; Gallo, Justin J; Parashar, Akhil; Agarwal, Kanika K; Ellis, Stephen G; Khot, Umesh N; Spooner, Robin; Murat Tuzcu, Emin; Kapadia, Samir R

    2016-03-01

    Operational inefficiencies are ubiquitous in several healthcare processes. To improve the operational efficiency of our catheterization laboratory (Cath Lab), we implemented a lean six sigma process improvement initiative, starting in June 2010. We aimed to study the impact of lean six sigma implementation on improving the efficiency and the patient throughput in our Cath Lab. All elective and urgent cardiac catheterization procedures including diagnostic coronary angiography, percutaneous coronary interventions, structural interventions and peripheral interventions performed between June 2009 and December 2012 were included in the study. Performance metrics utilized for analysis included turn-time, physician downtime, on-time patient arrival, on-time physician arrival, on-time start and manual sheath-pulls inside the Cath Lab. After implementation of lean six sigma in the Cath Lab, we observed a significant improvement in turn-time, physician downtime, on-time patient arrival, on-time physician arrival, on-time start as well as sheath-pulls inside the Cath Lab. The percentage of cases with optimal turn-time increased from 43.6% in 2009 to 56.6% in 2012 (p-trend<0.001). Similarly, the percentage of cases with an aggregate on-time start increased from 41.7% in 2009 to 62.8% in 2012 (p-trend<0.001). In addition, the percentage of manual sheath-pulls performed in the Cath Lab decreased from 60.7% in 2009 to 22.7% in 2012 (p-trend<0.001). The current longitudinal study illustrates the impact of successful implementation of a well-known process improvement initiative, lean six sigma, on improving and sustaining efficiency of our Cath Lab operation. After the successful implementation of this continuous quality improvement initiative, there was a significant improvement in the selected performance metrics namely turn-time, physician downtime, on-time patient arrival, on-time physician arrival, on-time start as well as sheath-pulls inside the Cath Lab. Copyright © 2016

  17. [Try to improve journal quality by improving standards and editing process].

    PubMed

    Zheng, Jia-wei

    2005-04-01

    The quality of medical journals depends on several factors involving 3 groups of people with their independent but relevant roles: the authors, the reviewers and the editors. Peer review and editing is the key factor to improve the quality of medical publications and journals. Shanghai Journal of Stomatology (SJS) has been regarded as a leading journal for publishing high-quality work in the field of stomatology in China. In October 2003, it was accepted by the National Library of Medicine, USA, to be indexed and included in Index Medicus and MEDLINE. To further improve the journal's overall quality, the Editorial Agency led by Professor Zheng Jia-wei has made great efforts to formulate its essential requirements in paper style, bilingual abstract writing and statistical analysis for the manuscripts submitted for possible publication. Strict independent peer review system has been adopted to assess the quality of the manuscripts received since it was founded in 1992. The reviewer is required to address detailed aspects of the paper under review and to resend his or her opinion on the paper. The editorial management is a crucial part of the publishing process. The editors begin action with the receipt of the manuscript, direct the various steps of evaluation, correction and re-submission, until a decision is made to accept or reject the paper at the regular meeting of Decision Making Group on Manuscripts of SJS led by the Editor-in-Chief. Once a paper is accepted and carefully revised, the editors will make necessary text and layout editing. Due consideration is given to the statistical, bilingual and ethical aspects as well as to the overall uniformity of the terminology, nomenclatures and style throughout the volume as a whole in the promotion of standards. The journal has not been cited by Science Citation Index (SCI) till now, further steps should be taken to make this journal better known throughout the World, to improve the quality of the publications and

  18. Electro-deposition painting process improvement of cab truck by Six Sigma concept

    NASA Astrophysics Data System (ADS)

    Kawitu, Kitiya; Chutima, Parames

    2017-06-01

    The case study company is a manufacturer of trucks and currently facing a high rework cost due to the thickness of the electro-deposited paint (EDP) of the truck cab is lower than standard. In addition, the process capability is very low. The Six Sigma concept consisting of 5 phases (DMAIC) is applied to determine new parameter settings for each significant controllable factor. After the improvement, EDP thickness of the truck cab increases from 17.88μ to 20μ (i.e. standard = 20 ± 3μ). Moreover, the process capability indexes (Cp and Cpk) are increased from 0.9 to 1.43, and from 0.27 to 1.43, respectively. This improvement could save the rework cost about 1.6M THB per year.

  19. Improved collagen extraction from jellyfish (Acromitus hardenbergi) with increased physical-induced solubilization processes.

    PubMed

    Khong, Nicholas M H; Yusoff, Fatimah Md; Jamilah, B; Basri, Mahiran; Maznah, I; Chan, Kim Wei; Armania, Nurdin; Nishikawa, Jun

    2018-06-15

    Efficiency and effectiveness of collagen extraction process contribute to huge impacts to the quality, supply and cost of the collagen produced. Jellyfish is a potential sustainable source of collagen where their applications are not limited by religious constraints and threats of transmittable diseases. The present study compared the extraction yield, physico-chemical properties and toxicology in vitro of collagens obtained by the conventional acid-assisted and pepsin-assisted extraction to an improved physical-aided extraction process. By increasing physical intervention, the production yield increased significantly compared to the conventional extraction processes (p < .05). Collagen extracted using the improved process was found to possess similar proximate and amino acids composition to those extracted using pepsin (p > .05) while retaining high molecular weight distributions and polypeptide profiles similar to those extracted using only acid. Moreover, they exhibited better appearance, instrumental colour and were found to be non-toxic in vitro and free of heavy metal contamination. Copyright © 2017 Elsevier Ltd. All rights reserved.

  20. Lean Participative Process Improvement: Outcomes and Obstacles in Trauma Orthopaedics

    PubMed Central

    New, Steve; Hadi, Mohammed; Pickering, Sharon; Robertson, Eleanor; Morgan, Lauren; Griffin, Damian; Collins, Gary; Rivero-Arias, Oliver; Catchpole, Ken; McCulloch, Peter

    2016-01-01

    Objectives To examine the effectiveness of a “systems” approach using Lean methodology to improve surgical care, as part of a programme of studies investigating possible synergy between improvement approaches. Setting A controlled before-after study using the orthopaedic trauma theatre of a UK Trust hospital as the active site and an elective orthopaedic theatre in the same Trust as control. Participants All staff involved in surgical procedures in both theatres. Interventions A one-day “lean” training course delivered by an experienced specialist team was followed by support and assistance in developing a 6 month improvement project. Clinical staff selected the subjects for improvement and designed the improvements. Outcome Measures We compared technical and non-technical team performance in theatre using WHO checklist compliance evaluation, “glitch count” and Oxford NOTECHS II in a sample of directly observed operations, and patient outcome (length of stay, complications and readmissions) for all patients. We collected observational data for 3 months and clinical data for 6 months before and after the intervention period. We compared changes in measures using 2-way analysis of variance. Results We studied 576 cases before and 465 after intervention, observing the operation in 38 and 41 cases respectively. We found no significant changes in team performance or patient outcome measures. The intervention theatre staff focused their efforts on improving first patient arrival time, which improved by 20 minutes after intervention. Conclusions This version of “lean” system improvement did not improve measured safety processes or outcomes. The study highlighted an important tension between promoting staff ownership and providing direction, which needs to be managed in “lean” projects. Space and time for staff to conduct improvement activities are important for success. PMID:27124012

  1. Business Process Reengineering in the Inventory Management to Improve Aircraft Maintenance Operations in the Indonesian Air Force

    DTIC Science & Technology

    2006-06-01

    research will cover an overview of business process engineering (BPR) and operation management . The focus will be on the basic process of BPR, inventory...management and improvement of the process of business operation management to appropriately provide a basic model for the Indonesian Air Force in...discuss the operation management aspects of inventory management and process improvement, including Economic Order Quantity, Material Requirement

  2. Advanced Practice Nursing Committee on Process Improvement in Trauma: An Innovative Application of the Strong Model.

    PubMed

    West, Sarah Katherine

    2016-01-01

    This article aims to summarize the successes and future implications for a nurse practitioner-driven committee on process improvement in trauma. The trauma nurse practitioner is uniquely positioned to recognize the need for clinical process improvement and enact change within the clinical setting. Application of the Strong Model of Advanced Practice proves to actively engage the trauma nurse practitioner in process improvement initiatives. Through enhancing nurse practitioner professional engagement, the committee aims to improve health care delivery to the traumatically injured patient. A retrospective review of the committee's first year reveals trauma nurse practitioner success in the domains of direct comprehensive care, support of systems, education, and leadership. The need for increased trauma nurse practitioner involvement has been identified for the domains of research and publication.

  3. Developing Process Maps as a Tool for a Surgical Infection Prevention Quality Improvement Initiative in Resource-Constrained Settings.

    PubMed

    Forrester, Jared A; Koritsanszky, Luca A; Amenu, Demisew; Haynes, Alex B; Berry, William R; Alemu, Seifu; Jiru, Fekadu; Weiser, Thomas G

    2018-06-01

    Surgical infections cause substantial morbidity and mortality in low-and middle-income countries (LMICs). To improve adherence to critical perioperative infection prevention standards, we developed Clean Cut, a checklist-based quality improvement program to improve compliance with best practices. We hypothesized that process mapping infection prevention activities can help clinicians identify strategies for improving surgical safety. We introduced Clean Cut at a tertiary hospital in Ethiopia. Infection prevention standards included skin antisepsis, ensuring a sterile field, instrument decontamination/sterilization, prophylactic antibiotic administration, routine swab/gauze counting, and use of a surgical safety checklist. Processes were mapped by a visiting surgical fellow and local operating theater staff to facilitate the development of contextually relevant solutions; processes were reassessed for improvements. Process mapping helped identify barriers to using alcohol-based hand solution due to skin irritation, inconsistent administration of prophylactic antibiotics due to variable delivery outside of the operating theater, inefficiencies in assuring sterility of surgical instruments through lack of confirmatory measures, and occurrences of retained surgical items through inappropriate guidelines, staffing, and training in proper routine gauze counting. Compliance with most processes improved significantly following organizational changes to align tasks with specific process goals. Enumerating the steps involved in surgical infection prevention using a process mapping technique helped identify opportunities for improving adherence and plotting contextually relevant solutions, resulting in superior compliance with antiseptic standards. Simplifying these process maps into an adaptable tool could be a powerful strategy for improving safe surgery delivery in LMICs. Copyright © 2018 American College of Surgeons. Published by Elsevier Inc. All rights reserved.

  4. Relationships between Climate, Process, and Performance in Continuous Quality Improvement Groups

    ERIC Educational Resources Information Center

    Wilkens, Roxanne; London, Manuel

    2006-01-01

    This study examined relationships between group climate (participants' learning orientation, feelings of psychological safety, and self-disclosure), process (feedback and conflict), and performance in continuous quality improvement groups. Forty-nine participants in eight hospital groups were surveyed as the groups neared completion. Groups were…

  5. A primer on the cost of quality for improvement of laboratory and pathology specimen processes.

    PubMed

    Carlson, Richard O; Amirahmadi, Fazlollaah; Hernandez, James S

    2012-09-01

    In today's environment, many laboratories and pathology practices are challenged to maintain or increase their quality while simultaneously lowering their overall costs. The cost of improving specimen processes is related to quality, and we demonstrate that actual costs can be reduced by designing "quality at the source" into the processes. Various costs are hidden along the total testing process, and we suggest ways to identify opportunities to reduce cost by improving quality in laboratories and pathology practices through the use of Lean, Six Sigma, and industrial engineering.

  6. Implementation of quality improvement techniques for management and technical processes in the ACRV project

    NASA Technical Reports Server (NTRS)

    Raiman, Laura B.

    1992-01-01

    Total Quality Management (TQM) is a cooperative form of doing business that relies on the talents of everyone in an organization to continually improve quality and productivity, using teams and an assortment of statistical and measurement tools. The objective of the activities described in this paper was to implement effective improvement tools and techniques in order to build work processes which support good management and technical decisions and actions which are crucial to the success of the ACRV project. The objectives were met by applications in both the technical and management areas. The management applications involved initiating focused continuous improvement projects with widespread team membership. The technical applications involved applying proven statistical tools and techniques to the technical issues associated with the ACRV Project. Specific activities related to the objective included working with a support contractor team to improve support processes, examining processes involved in international activities, a series of tutorials presented to the New Initiatives Office and support contractors, a briefing to NIO managers, and work with the NIO Q+ Team. On the technical side, work included analyzing data from the large-scale W.A.T.E.R. test, landing mode trade analyses, and targeting probability calculations. The results of these efforts will help to develop a disciplined, ongoing process for producing fundamental decisions and actions that shape and guide the ACRV organization .

  7. Implementation of quality improvement techniques for management and technical processes in the ACRV project

    NASA Astrophysics Data System (ADS)

    Raiman, Laura B.

    1992-12-01

    Total Quality Management (TQM) is a cooperative form of doing business that relies on the talents of everyone in an organization to continually improve quality and productivity, using teams and an assortment of statistical and measurement tools. The objective of the activities described in this paper was to implement effective improvement tools and techniques in order to build work processes which support good management and technical decisions and actions which are crucial to the success of the ACRV project. The objectives were met by applications in both the technical and management areas. The management applications involved initiating focused continuous improvement projects with widespread team membership. The technical applications involved applying proven statistical tools and techniques to the technical issues associated with the ACRV Project. Specific activities related to the objective included working with a support contractor team to improve support processes, examining processes involved in international activities, a series of tutorials presented to the New Initiatives Office and support contractors, a briefing to NIO managers, and work with the NIO Q+ Team. On the technical side, work included analyzing data from the large-scale W.A.T.E.R. test, landing mode trade analyses, and targeting probability calculations. The results of these efforts will help to develop a disciplined, ongoing process for producing fundamental decisions and actions that shape and guide the ACRV organization .

  8. Turning Schools Around: The National Board Certification Process as a School Improvement Strategy

    ERIC Educational Resources Information Center

    Jaquith, Ann; Snyder, Jon

    2016-01-01

    Can the National Board certification process support school improvement where large proportions of students score below grade level on standardized tests? This SCOPE study examines a project that sought to seize and capitalize upon the learning opportunities embedded in the National Board certification process, particularly opportunities to learn…

  9. Value-driven process management: using value to improve processes.

    PubMed

    Melnyk, S A; Christensen, R T

    2000-08-01

    Every firm can be viewed as consisting of various processes. These processes affect everything that the firm does from accepting orders and designing products to scheduling production. In many firms, the management of processes often reflects considerations of efficiency (cost) rather than effectiveness (value). In this article, we introduce a well-structured process for managing processes that begins not with the process, but rather with the customer and the product and the concept of value. This process progresses through a number of steps which include issues such as defining value, generating the appropriate metrics, identifying the critical processes, mapping and assessing the performance of these processes, and identifying long- and short-term areas for action. What makes the approach presented in this article so powerful is that it explicitly links the customer to the process and that the process is evaluated in term of its ability to effectively serve the customers.

  10. Improving Emergency Department Door to Doctor Time and Process Reliability

    PubMed Central

    El Sayed, Mazen J.; El-Eid, Ghada R.; Saliba, Miriam; Jabbour, Rima; Hitti, Eveline A.

    2015-01-01

    Abstract The aim of this study is to determine the effectiveness of using lean management methods on improving emergency department door to doctor times at a tertiary care hospital. We performed a before and after study at an academic urban emergency department with 49,000 annual visits after implementing a series of lean driven interventions over a 20 month period. The primary outcome was mean door to doctor time and the secondary outcome was length of stay of both admitted and discharged patients. A convenience sample from the preintervention phase (February 2012) was compared to another from the postintervention phase (mid-October to mid-November 2013). Individual control charts were used to assess process stability. Postintervention there was a statistically significant decrease in the mean door to doctor time measure (40.0 minutes ± 53.44 vs 25.3 minutes ± 15.93 P < 0.001). The postintervention process was more statistically in control with a drop in the upper control limits from 148.8 to 72.9 minutes. Length of stay of both admitted and discharged patients dropped from 2.6 to 2.0 hours and 9.0 to 5.5 hours, respectively. All other variables including emergency department visit daily volumes, hospital occupancy, and left without being seen rates were comparable. Using lean change management techniques can be effective in reducing door to doctor time in the Emergency Department and improving process reliability. PMID:26496278

  11. Using Sap Flow Monitoring for Improved Process-based Ecohydrologic Understanding 2022

    USDA-ARS?s Scientific Manuscript database

    Sap flow measurements can be an important tool for unraveling the complex web of ecosystem fluxes, especially when it is combined with other measurements like eddy covariance, isotopes, remote sensing, etc. In this talk, we will demonstrate how sap flow measurements have improved our process-level u...

  12. Exploratory Development on a New Process to Produce Improved RDX crystals: Supercritical Fluid Anti-Solvent Recrystallization

    DTIC Science & Technology

    1988-05-02

    G. and J. Chiovini. Decaffeination Process . U.S. Patent 4,251.559; 17 February 1981. 43. Friedrich, J.P.. G.R. List, and A.J. Leakin. Petroleum...0 CONTRACT REPORT BRL-CR-606 EXPLORATORY DEVELOPMENT ON A NEW PROCESS TO PRODUCE IMPROVED RDX CRYSTALS: SUPERCRITICAL FLUID ANTI-SOLVENT...CCESSION NO. 11. TITLE (icnude Sun• y Uasuihcanon) I . • EXPLORATORY DEVELOPMENT ON A NEW PROCESS TO PRODUCE IMPROVED RDX CRYSTALS: SUPERCRITICAL

  13. Physical Mapping and Refinement of the Painted Turtle Genome (Chrysemys picta) Inform Amniote Genome Evolution and Challenge Turtle-Bird Chromosomal Conservation.

    PubMed

    Badenhorst, Daleen; Hillier, LaDeana W; Literman, Robert; Montiel, Eugenia Elisabet; Radhakrishnan, Srihari; Shen, Yingjia; Minx, Patrick; Janes, Daniel E; Warren, Wesley C; Edwards, Scott V; Valenzuela, Nicole

    2015-06-24

    Comparative genomics continues illuminating amniote genome evolution, but for many lineages our understanding remains incomplete. Here, we refine the assembly (CPI 3.0.3 NCBI AHGY00000000.2) and develop a cytogenetic map of the painted turtle (Chrysemys picta-CPI) genome, the first in turtles and in vertebrates with temperature-dependent sex determination. A comparison of turtle genomes with those of chicken, selected nonavian reptiles, and human revealed shared and novel genomic features, such as numerous chromosomal rearrangements. The largest conserved syntenic blocks between birds and turtles exist in four macrochromosomes, whereas rearrangements were evident in these and other chromosomes, disproving that turtles and birds retain fully conserved macrochromosomes for greater than 300 Myr. C-banding revealed large heterochromatic blocks in the centromeric region of only few chromosomes. The nucleolar-organizing region (NOR) mapped to a single CPI microchromosome, whereas in some turtles and lizards the NOR maps to nonhomologous sex-chromosomes, thus revealing independent translocations of the NOR in various reptilian lineages. There was no evidence for recent chromosomal fusions as interstitial telomeric-DNA was absent. Some repeat elements (CR1-like, Gypsy) were enriched in the centromeres of five chromosomes, whereas others were widespread in the CPI genome. Bacterial artificial chromosome (BAC) clones were hybridized to 18 of the 25 CPI chromosomes and anchored to a G-banded ideogram. Several CPI sex-determining genes mapped to five chromosomes, and homology was detected between yet other CPI autosomes and the globally nonhomologous sex chromosomes of chicken, other turtles, and squamates, underscoring the independent evolution of vertebrate sex-determining mechanisms. © The Author(s) 2015. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution.

  14. Highly Reactive Thiol-Norbornene Photo-Click Hydrogels: Toward Improved Processability.

    PubMed

    Van Hoorick, Jasper; Gruber, Peter; Markovic, Marica; Rollot, Mélanie; Graulus, Geert-Jan; Vagenende, Maxime; Tromayer, Maximilian; Van Erps, Jürgen; Thienpont, Hugo; Martins, José C; Baudis, Stefan; Ovsianikov, Aleksandr; Dubruel, Peter; Van Vlierberghe, Sandra

    2018-06-10

    In the present work, gelatin type B is modified with highly reactive norbornene functionalities (Gel-NB) following a one-pot synthesis approach to enable subsequent thiol-ene photo-click crosslinking. The modification strategy displays close control over the amount of introduced functionalities. Additionally, Gel-NB exhibits considerably improved processing capabilities in terms of two-photon polymerization when benchmarked to earlier-reported crosslinkable gelatin derivatives (e.g., gelatin-methacrylamide (Gel-MOD) and gelatin-methacrylamide-aminoethylmethacrylate (Gel-MOD-AEMA)). The improvement is especially apparent in terms of minimally required laser power (20 mW vs ≥60 mW (Gel-MOD) vs ≥40 mW (Gel-MOD-AEMA) at 100 mm s -1 scan speed) and processable concentration range (≥5 w/v% vs ≥10 w/v% (Gel-MOD/Gel-MOD-AEMA)). Furthermore, the proposed functionalization scheme maintains the excellent biocompatibility and cell interactivity of gelatin. Additionally, the norbornene functionalities have potential for straightforward postprocessing "thiol-ene" surface grafting of active molecules. As a consequence, a very promising material toward tissue engineering applications and more specifically, biofabrication, is presented. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. An analysis of leading, lagging, and coincident economic indicators in the United States and its relationship to the volume of plastic surgery procedures performed.

    PubMed

    Hoppe, Ian C; Pastor, Craig J; Paik, Angie M

    2012-10-01

    In plastic surgery, 2 predominant practice environments exist, namely, the academic setting and private practice. These 2 groups cater their practice toward the needs and demands of 2 very different patient populations. The goal of this paper is to examine well-established economic indicators and delineate their relationship, if any, with the volume of different plastic surgical procedures performed in the United States. Information from the American Society of Plastic Surgeons' annual reports on plastic surgery statistics was collected from the year 2000 through 2010 and compared to readily available and established economic indicators. There was a significant positive relationship with total cosmetic procedures and gross domestic product (GDP), GDP per capita, personal income, consumer price index (CPI) (all), and CPI (medical). There was a significant positive relationship between cosmetic surgical procedures and the issuance of new home permits and the average prime rate charged by banks. There was a significant positive relationship with cosmetic minimally invasive procedures and GDP, GDP per capita, personal income, CPI (all), and CPI (medical). There was a significant negative relationship between reconstructive procedures and GDP, GDP per capita, personal income, CPI (all), and CPI (medical). Cosmetic minimally invasive procedures seem to be decided on relatively quickly during good economic times. Cosmetic surgical procedures seem to be more planned and less related to the economic environment. The plastic surgeon may use this relationship to tailor the focus of his or her practice to be best situated for economic fluctuations.

  16. Realization of process improvement at a diagnostic radiology department with aid of simulation modeling.

    PubMed

    Oh, Hong-Choon; Toh, Hong-Guan; Giap Cheong, Eddy Seng

    2011-11-01

    Using the classical process improvement framework of Plan-Do-Study-Act (PDSA), the diagnostic radiology department of a tertiary hospital identified several patient cycle time reduction strategies. Experimentation of these strategies (which included procurement of new machines, hiring of new staff, redesign of queue system, etc.) through pilot scale implementation was impractical because it might incur substantial expenditure or be operationally disruptive. With this in mind, simulation modeling was used to test these strategies via performance of "what if" analyses. Using the output generated by the simulation model, the team was able to identify a cost-free cycle time reduction strategy, which subsequently led to a reduction of patient cycle time and achievement of a management-defined performance target. As healthcare professionals work continually to improve healthcare operational efficiency in response to rising healthcare costs and patient expectation, simulation modeling offers an effective scientific framework that can complement established process improvement framework like PDSA to realize healthcare process enhancement. © 2011 National Association for Healthcare Quality.

  17. Improved process for generating ClF/sub 3/ from ClF and F/sub 2/

    DOEpatents

    Reiner, R.H.; Pashley, J.H.; Barber, E.J.

    The invention is an improvement in the process for producing gaseous ClF/sub 3/ by reacting ClF and F/sub 2/ at elevated temperature. The improved process comprises conducting the reaction in the presence of NiF/sub 2/, which preferably is in the form of particles or in the form of a film or layer on a particulate substrate. The nickel fluoride acts as a reaction catalyst, significantly increasing the reaction rate and thus permitting valuable reductions in process temperature, pressure, and/or reactor volume.

  18. Protein-energy malnutrition during early childhood and periodontal disease in the permanent dentition of Haitian adolescents aged 12–19 years: a retrospective cohort study

    PubMed Central

    RUSSELL, STEFANIE L.; PSOTER, WALTER J.; JEAN-CHARLES, GERMAIN; PROPHTE, SAMUEL; GEBRIAN, BETTE

    2010-01-01

    Objectives The aim of this retrospective cohort study was to examine whether exposure to early childhood protein-energy malnutrition (ECPEM) is related to worsened periodontal status in the permanent dentition during adolescence. Design A trained clinician/researcher examined the periodontal status of 96 persons aged 12–19 living in rural Haiti using WHO diagnostic criteria (Community Periodontal Index, WHO 1997). Malnutrition data of the study participants had been collected during the years 1988–1993 by a nongovernmental organization. We compared those who had been malnourished in early childhood, based on z-scores for anthropomorphic data collected during the first 5 years of life, with those who had not been malnourished, regarding mean Community Periodontal Index (CPI) score, controlling for age, sex, socioeconomic status, and smoking. Results Overall, 57.3% of the participants demonstrated a CPI score of 3 or greater in at least one sextant. ECPEM was independently and positively related to mean CPI score, when controlling for sex and smoking. Conclusions More than half of these young Haitians demonstrated CPI scores of 3 or greater, and ECPEM was related to poorer periodontal status, as measured by CPI, in the permanent dentition. PMID:20409204

  19. Protein-energy malnutrition during early childhood and periodontal disease in the permanent dentition of Haitian adolescents aged 12-19 years: a retrospective cohort study.

    PubMed

    Russell, Stefanie L; Psoter, Walter J; Jean-Charles, Germain; Prophte, Samuel; Gebrian, Bette

    2010-05-01

    The aim of this retrospective cohort study was to examine whether exposure to early childhood protein-energy malnutrition (ECPEM) is related to worsened periodontal status in the permanent dentition during adolescence. A trained clinician/researcher examined the periodontal status of 96 persons aged 12-19 living in rural Haiti using WHO diagnostic criteria (Community Periodontal Index, WHO 1997). Malnutrition data of the study participants had been collected during the years 1988-1993 by a nongovernmental organization. We compared those who had been malnourished in early childhood, based on z-scores for anthropomorphic data collected during the first 5 years of life, with those who had not been malnourished, regarding mean Community Periodontal Index (CPI) score, controlling for age, sex, socioeconomic status, and smoking. Overall, 57.3% of the participants demonstrated a CPI score of 3 or greater in at least one sextant. ECPEM was independently and positively related to mean CPI score, when controlling for sex and smoking. More than half of these young Haitians demonstrated CPI scores of 3 or greater, and ECPEM was related to poorer periodontal status, as measured by CPI, in the permanent dentition.

  20. Measuring and improving the quality of postoperative epidural analgesia for major abdominal surgery using statistical process control charts.

    PubMed

    Duncan, Fiona; Haigh, Carol

    2013-10-01

    To explore and improve the quality of continuous epidural analgesia for pain relief using Statistical Process Control tools. Measuring the quality of pain management interventions is complex. Intermittent audits do not accurately capture the results of quality improvement initiatives. The failure rate for one intervention, epidural analgesia, is approximately 30% in everyday practice, so it is an important area for improvement. Continuous measurement and analysis are required to understand the multiple factors involved in providing effective pain relief. Process control and quality improvement Routine prospectively acquired data collection started in 2006. Patients were asked about their pain and side effects of treatment. Statistical Process Control methods were applied for continuous data analysis. A multidisciplinary group worked together to identify reasons for variation in the data and instigated ideas for improvement. The key measure for improvement was a reduction in the percentage of patients with an epidural in severe pain. The baseline control charts illustrated the recorded variation in the rate of several processes and outcomes for 293 surgical patients. The mean visual analogue pain score (VNRS) was four. There was no special cause variation when data were stratified by surgeons, clinical area or patients who had experienced pain before surgery. Fifty-seven per cent of patients were hypotensive on the first day after surgery. We were able to demonstrate a significant improvement in the failure rate of epidurals as the project continued with quality improvement interventions. Statistical Process Control is a useful tool for measuring and improving the quality of pain management. The applications of Statistical Process Control methods offer the potential to learn more about the process of change and outcomes in an Acute Pain Service both locally and nationally. We have been able to develop measures for improvement and benchmarking in routine care that

  1. Improvement of Interoceptive Processes after an 8-Week Body Scan Intervention

    PubMed Central

    Fischer, Dana; Messner, Matthias; Pollatos, Olga

    2017-01-01

    Objective: Interoceptive processes are defined as ability to detect sensations arising within the body. There is a growing body of research investigating ways of improving interoceptive processes. One promising approach increasing the attention to bodily sensations is the body scan (BS), a method stemming from mindfulness-based stress reduction. Research so far revealed only heterogenous findings of meditational practice and mindfulness-based stress reduction on interoceptive processes. Even more importantly, there is no study considering the effect of an 8-week BS intervention on interoceptive processes and the distinguishable subdomains of interoception. Therefore, the main objective of this research is to examine the effects of a BS intervention on different interoceptive subdomains over 8 weeks of training in two different samples. Methods: In study 1, healthy participants executed a 20 min standardized audiotaped BS in the BS intervention group (n = 25) each day over 8 weeks. The control group (n = 24) listened to an audio book for the same amount of time. In study 2, the BS group (n = 18) was compared to an inactive control group (n = 18). In both studies, three measurement points were realized and interoceptive accuracy (IAc) – using a heartbeat perception task – as well as interoceptive sensibility (IS) – using confidence ratings for the heartbeat perception task and the subscale ‘interoceptive awareness’ of the Eating Disorder Inventory-2 (EDI-2) – were assessed. Results: In study 1, we found, as a descriptive trend, IAc and confidence ratings to be increased irrespective of the condition. However, post hoc analysis revealed a significant improvement of IAc between T1 and T3 in the BS intervention only. IS revealed to be unaffected by the interventions. In study 2, we observed a significant positive effect of the BS intervention on IAc and confidence ratings compared to the inactive controls. As in study 1, IS (EDI-2) was unaffected by the

  2. Thermomechanical processing of HAYNES alloy No. 188 sheet to improve creep strength

    NASA Technical Reports Server (NTRS)

    Klarstrom, D. L.

    1978-01-01

    Improvements in the low strain creep strength of HAYNES alloy No. 188 thin gauge sheet by means of thermomechanical processing were developed. Processing methods designed to develop a sheet with strong crystallographic texture after recrystallization and to optimize grain size were principally studied. The effects of thickness-to-grain diameter ratio and prestrain on low strain creep strength were also briefly examined. Results indicate that the most significant improvements were obtained in the sheets having a strong crystallographic texture. The low strain creep strength of the textured sheets was observed to be superior to that of standard production sheets in the 922 K to 1255 K temperature range. Tensile, stress rupture, fabricability, and surface stability properties of the experimental sheets were also measured and compared to property values reported for the baseline production sheets.

  3. A Microprocessor Development System for the ALTOS Series Microcomputers.

    DTIC Science & Technology

    1981-06-01

    location, and 3) routines for online user self-help and system use instructions. The primary ccnsideration in the desin of the HOST control program was...TO LOWER CASE CPI ;rYNAMIC SET ITEMIZE JZ MAKEI CPI Vt" ;LYNAMIC SET TOTAL ONLY JZ MAKET CPI "e JNZ STACKIT ;RESTART TEST IF NOT E MEM55 LXI HMEMM...RESET STACK JMP MEM0I ;RESTART TEST MAKEI MVI All ;MAKE ITEMIZE STA MEMP CALL BSOUT RET MAKET MJI A,O ;MAKE TOTAL ONLY STA MEMP CALL BSOUT RET * LONE WITH

  4. Improving care coordination in the specialty referral process between primary and specialty care.

    PubMed

    Lin, Caroline Y

    2012-01-01

    There is growing evidence of sub-optimal care coordination in the US. Care coordination includes the specialty referral process, which involves referral decision-making and information transfer between primary and specialty care. This article summarizes the evidence of sub-optimal care coordination in this process, as well as potential strategies to improve it.

  5. Effects of Using Online Tools in Improving Regulation of the Teaching-Learning Process

    ERIC Educational Resources Information Center

    de la Fuente, Jesus; Cano, Francisco; Justicia, Fernando; Pichardo, Maria del Carmen; Garcia-Berben, Ana Belen; Martinez-Vicente, Jose Manuel; Sander, Paul

    2007-01-01

    Introduction: The current panorama of Higher Education reveals a need to improve teaching and learning processes taking place there. The rise of the information society transforms how we organize learning and transmit knowledge. On this account, teaching-learning processes must be enhanced, the role of teachers and students must be evaluated, and…

  6. Improve the Efficiency of the Service Process as a Result of the Muda Ideology

    NASA Astrophysics Data System (ADS)

    Lorenc, Augustyn; Przyłuski, Krzysztof

    2018-06-01

    The aim of the paper was to improve service processes carried out by Knorr-Bremse Systemy Kolejowe Polska sp. z o.o. Particularly, emphasise unnecessary movements and physical efforts of employees. The indirect goal was to find a solution in the simplest possible way using the Muda ideology. In order to improve the service process at the beginning was executed the process mapping for the devices to be repaired, ie. brake callipers, electro-hydraulic units and auxiliary release units. The processes were assessed and shown as Pareto-Lorenz analysis. In order to determine the most time consuming process. Based on the obtained results use of a column crane with articulated arm was proposed to facilitate the transfer of heavy components between areas. The final step was to assess the effectiveness of the proposed solution in terms of time saving. From the company perspective results of the analysis are important. The proposed solution not only reduces total service time but also contributes to crew's work comfort.

  7. The effect of science learning integrated with local potential to improve science process skills

    NASA Astrophysics Data System (ADS)

    Rahardini, Riris Riezqia Budy; Suryadarma, I. Gusti Putu; Wilujeng, Insih

    2017-08-01

    This research was aimed to know the effectiveness of science learning that integrated with local potential to improve student`s science process skill. The research was quasi experiment using non-equivalent control group design. The research involved all student of Muhammadiyah Imogiri Junior High School on grade VII as a population. The sample in this research was selected through cluster random sampling, namely VII B (experiment group) and VII C (control group). Instrument that used in this research is a nontest instrument (science process skill observation's form) adapted Desak Megawati's research (2016). The aspect of science process skills were making observation and communication. The data were using univariat (ANOVA) analyzed at 0,05 significance level and normalized gain score for science process skill increase's category. The result is science learning that integrated with local potential was effective to improve science process skills of student (Sig. 0,00). This learning can increase science process skill, shown by a normalized gain score value at 0,63 (medium category) in experiment group and 0,29 (low category) in control group.

  8. Developing an Interdisciplinary, Team-Based Quality Improvement Leadership Training Program for Clinicians: The Partners Clinical Process Improvement Leadership Program.

    PubMed

    Rao, Sandhya K; Carballo, Victoria; Cummings, Brian M; Millham, Frederick; Jacobson, Joseph O

    Although there has been tremendous progress in quality improvement (QI) education for students and trainees in recent years, much less has been published regarding the training of active clinicians in QI. The Partners Clinical Process Improvement Leadership Program (CPIP) is a 6-day experiential program. Interdisciplinary teams complete a QI project framed by didactic sessions, interactive exercises, case-based problem sessions, and a final presentation. A total of 239 teams composed of 516 individuals have graduated CPIP. On completion, participant satisfaction scores average 4.52 (scale 1-5) and self-reported understanding of QI concepts improved. At 6 months after graduation, 66% of survey respondents reported sustained QI activity. Three opportunities to improve the program have been identified: (1) increasing faculty participation through online and tiered course offerings, (2) integrating the faculty-focused program with the trainee curriculum, and (3) developing a postgraduate curriculum to address the challenges of sustained improvement.

  9. Evaluation of Teachers' Opinions Relating Improving Qualification in Teaching Process

    ERIC Educational Resources Information Center

    Dursun, Fevzi

    2017-01-01

    Improving quality and providing permanent learning in the teaching process undoubtedly depend on the time that teacher spends and active and voluntary participation of students. This study is important for providing perspectives about new techniques and suggestions to the teachers and related persons by determining actions and thoughts of teachers…

  10. Improving the Process of Career Decision Making: An Action Research Approach

    ERIC Educational Resources Information Center

    Greenbank, Paul

    2011-01-01

    Purpose: This study adopts an action research approach with the aim of improving the process of career decision making among undergraduates in a business school at a "new" university in the UK. Design/methodology/approach: The study utilised unfreezing techniques, multiple case studies in conjunction with the principle of analogical…

  11. Surgical process improvement tools: defining quality gaps and priority areas in gastrointestinal cancer surgery.

    PubMed

    Wei, A C; Devitt, K S; Wiebe, M; Bathe, O F; McLeod, R S; Urbach, D R

    2014-04-01

    Surgery is a cornerstone of cancer treatment, but significant differences in the quality of surgery have been reported. Surgical process improvement tools (spits) modify the processes of care as a means to quality improvement (qi). We were interested in developing spits in the area of gastrointestinal (gi) cancer surgery. We report the recommendations of an expert panel held to define quality gaps and establish priority areas that would benefit from spits. The present study used the knowledge-to-action cycle was as a framework. Canadian experts in qi and in gi cancer surgery were assembled in a nominal group workshop. Participants evaluated the merits of spits, described gaps in current knowledge, and identified and ranked processes of care that would benefit from qi. A qualitative analysis of the workshop deliberations using modified grounded theory methods identified major themes. The expert panel consisted of 22 participants. Experts confirmed that spits were an important strategy for qi. The top-rated spits included clinical pathways, electronic information technology, and patient safety tools. The preferred settings for use of spits included preoperative and intraoperative settings and multidisciplinary contexts. Outcomes of interest were cancer-related outcomes, process, and the technical quality of surgery measures. Surgical process improvement tools were confirmed as an important strategy. Expert panel recommendations will be used to guide future research efforts for spits in gi cancer surgery.

  12. A CPT for Improving Turbulence and Cloud Processes in the NCEP Global Models

    NASA Astrophysics Data System (ADS)

    Krueger, S. K.; Moorthi, S.; Randall, D. A.; Pincus, R.; Bogenschutz, P.; Belochitski, A.; Chikira, M.; Dazlich, D. A.; Swales, D. J.; Thakur, P. K.; Yang, F.; Cheng, A.

    2016-12-01

    Our Climate Process Team (CPT) is based on the premise that the NCEP (National Centers for Environmental Prediction) global models can be improved by installing an integrated, self-consistent description of turbulence, clouds, deep convection, and the interactions between clouds and radiative and microphysical processes. The goal of our CPT is to unify the representation of turbulence and subgrid-scale (SGS) cloud processes and to unify the representation of SGS deep convective precipitation and grid-scale precipitation as the horizontal resolution decreases. We aim to improve the representation of small-scale phenomena by implementing a PDF-based SGS turbulence and cloudiness scheme that replaces the boundary layer turbulence scheme, the shallow convection scheme, and the cloud fraction schemes in the GFS (Global Forecast System) and CFS (Climate Forecast System) global models. We intend to improve the treatment of deep convection by introducing a unified parameterization that scales continuously between the simulation of individual clouds when and where the grid spacing is sufficiently fine and the behavior of a conventional parameterization of deep convection when and where the grid spacing is coarse. We will endeavor to improve the representation of the interactions of clouds, radiation, and microphysics in the GFS/CFS by using the additional information provided by the PDF-based SGS cloud scheme. The team is evaluating the impacts of the model upgrades with metrics used by the NCEP short-range and seasonal forecast operations.

  13. IT Solution to Improve the Permitting Process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hammer, Mary

    2013-02-14

    Over the past decade Houston has taken significant strides to implement and promote sustainability. Currently the City of Houston’s Green Building Resource Center stands testament to the determination of city officials to make Houston truly green. Houston was named a Solar America City by the Department of Energy (DOE) in 2008 and is part of the Texas Solar Collaboration as part of the DOE Rooftop Challenge Grant. In that time, Houston has made significant progress in addressing the challenges associated with installing solar in the City. One of the challenges related to soft costs of solar are the time andmore » associated costs related to the permitting process. From 2000 to 2010, the Houston area has witnessed unprecedented growth, with the population increasing by nearly 700,000. The City of Houston is working to address the needs of this growing population, including building the new One-Stop Code and Permitting building. The Houston Permitting Center opened in June 2011. It combines the majority of the City of Houston's permitting and licensing into one place with a mission to help customers achieve their goals while complying with the City’s regulations. The stated mission “requires a continuous pursuit of improving the customer experience. Providing excellent service, streamlining business processes, implementing innovative technologies, and proactively engaging customers are all cornerstones of this philosophy.”« less

  14. Using IT to improve quality at NewYork-Presybterian Hospital: a requirements-driven strategic planning process.

    PubMed

    Kuperman, Gilad J; Boyer, Aurelia; Cole, Curt; Forman, Bruce; Stetson, Peter D; Cooper, Mary

    2006-01-01

    At NewYork-Presbyterian Hospital, we are committed to the delivery of high quality care. We have implemented a strategic planning process to determine the information technology initiatives that will best help us improve quality. The process began with the creation of a Clinical Quality and IT Committee. The Committee identified 2 high priority goals that would enable demonstrably high quality care: 1) excellence at data warehousing, and 2) optimal use of automated clinical documentation to capture encounter-related quality and safety data. For each high priority goal, a working group was created to develop specific recommendations. The Data Warehousing subgroup has recommended the implementation of an architecture management process and an improved ability for users to get access to aggregate data. The Structured Documentation subgroup is establishing recommendations for a documentation template creation process. The strategic planning process at times is slow, but assures that the organization is focusing on the information technology activities most likely to lead to improved quality.

  15. Using IT to Improve Quality at NewYork-Presybterian Hospital: A Requirements-Driven Strategic Planning Process

    PubMed Central

    Kuperman, Gilad J.; Boyer, Aurelia; Cole, Curt; Forman, Bruce; Stetson, Peter D.; Cooper, Mary

    2006-01-01

    At NewYork-Presbyterian Hospital, we are committed to the delivery of high quality care. We have implemented a strategic planning process to determine the information technology initiatives that will best help us improve quality. The process began with the creation of a Clinical Quality and IT Committee. The Committee identified 2 high priority goals that would enable demonstrably high quality care: 1) excellence at data warehousing, and 2) optimal use of automated clinical documentation to capture encounter-related quality and safety data. For each high priority goal, a working group was created to develop specific recommendations. The Data Warehousing subgroup has recommended the implementation of an architecture management process and an improved ability for users to get access to aggregate data. The Structured Documentation subgroup is establishing recommendations for a documentation template creation process. The strategic planning process at times is slow, but assures that the organization is focusing on the information technology activities most likely to lead to improved quality. PMID:17238381

  16. Improving the two-step remediation process for CCA-treated wood. Part I, Evaluating oxalic acid extraction

    Treesearch

    Carol Clausen

    2004-01-01

    In this study, three possible improvements to a remediation process for chromated-copper-arsenate (CCA) treated wood were evaluated. The process involves two steps: oxalic acid extraction of wood fiber followed by bacterial culture with Bacillus licheniformis CC01. The three potential improvements to the oxalic acid extraction step were (1) reusing oxalic acid for...

  17. Disruption of ten protease genes in the filamentous fungus Aspergillus oryzae highly improves production of heterologous proteins.

    PubMed

    Yoon, Jaewoo; Maruyama, Jun-ichi; Kitamoto, Katsuhiko

    2011-02-01

    Proteolytic degradation by secreted proteases into the culture medium is one of the significant problems to be solved in heterologous protein production by filamentous fungi including Aspergillus oryzae. Double (tppA, and pepE) and quintuple (tppA, pepE, nptB, dppIV, and dppV) disruption of protease genes enhanced human lysozyme (HLY) and bovine chymosin (CHY) production by A. oryzae. In this study, we used a quintuple protease gene disruptant and performed successive rounds of disruption for five additional protease genes (alpA, pepA, AopepAa, AopepAd, and cpI), which were previously investigated by DNA microarray analyses for their expression. Gene disruption was performed by pyrG marker recycling with a highly efficient gene-targeting background (∆ligD) as previously reported. As a result, the maximum yields of recombinant CHY and HLY produced by a decuple protease gene disruptant were approximately 30% and 35%, respectively, higher than those produced by a quintuple protease gene disruptant. Thus, we successfully constructed a decuple protease gene disruptant possessing highly improved capability of heterologous protein production. This is the first report on decuple protease gene disruption that improved the levels of heterologous protein production by the filamentous fungus A. oryzae.

  18. Process Improvement Through Tool Integration in Aero-Mechanical Design

    NASA Technical Reports Server (NTRS)

    Briggs, Clark

    2010-01-01

    Emerging capabilities in commercial design tools promise to significantly improve the multi-disciplinary and inter-disciplinary design and analysis coverage for aerospace mechanical engineers. This paper explores the analysis process for two example problems of a wing and flap mechanical drive system and an aircraft landing gear door panel. The examples begin with the design solid models and include various analysis disciplines such as structural stress and aerodynamic loads. Analytical methods include CFD, multi-body dynamics with flexible bodies and structural analysis. Elements of analysis data management, data visualization and collaboration are also included.

  19. Improvement of Processing Speed in Executive Function Immediately following an Increase in Cardiovascular Activity.

    PubMed

    Tam, Nicoladie D

    2013-01-01

    This study aims to identify the acute effects of physical exercise on specific cognitive functions immediately following an increase in cardiovascular activity. Stair-climbing exercise is used to increase the cardiovascular output of human subjects. The color-naming Stroop Test was used to identify the cognitive improvements in executive function with respect to processing speed and error rate. The study compared the Stroop results before and immediately after exercise and before and after nonexercise, as a control. The results show that there is a significant increase in processing speed and a reduction in errors immediately after less than 30 min of aerobic exercise. The improvements are greater for the incongruent than for the congruent color tests. This suggests that physical exercise induces a better performance in a task that requires resolving conflict (or interference) than a task that does not. There is no significant improvement for the nonexercise control trials. This demonstrates that an increase in cardiovascular activity has significant acute effects on improving the executive function that requires conflict resolution (for the incongruent color tests) immediately following aerobic exercise more than similar executive functions that do not require conflict resolution or involve the attention-inhibition process (for the congruent color tests).

  20. The effect of using bomb calorimeter in improving science process skills of physics students

    NASA Astrophysics Data System (ADS)

    Edie, S. S.; Masturi; Safitri, H. N.; Alighiri, D.; Susilawati; Sari, L. M. E. K.; Marwoto, P.; Iswari, R. S.

    2018-03-01

    The bomb calorimeter is laboratory equipment which serves to calculate the value of combustion heat or heat capacity of a sample in excess oxygen combustion. This study aims to determine the effect of using bomb calorimeter on science process skill of physics students. Influences include the effectiveness of using the equipment and knowing the improvement of students’ science process skills before and after using tools. The sample used simple random sampling with one group pretest-posttest research design. The instrument that used is written test that adjusts with science process skills aspect. Analysis of the effectiveness of bomb calorimeter showed useful result 87.88%, while the study of science skill improvement showed n-gain value 0.64 that is the medium category.

  1. Process improvement for the safe delivery of multidisciplinary-executed treatments-A case in Y-90 microspheres therapy.

    PubMed

    Cai, Bin; Altman, Michael B; Garcia-Ramirez, Jose; LaBrash, Jason; Goddu, S Murty; Mutic, Sasa; Parikh, Parag J; Olsen, Jeffrey R; Saad, Nael; Zoberi, Jacqueline E

    To develop a safe and robust workflow for yttrium-90 (Y-90) radioembolization procedures in a multidisciplinary team environment. A generalized Define-Measure-Analyze-Improve-Control (DMAIC)-based approach to process improvement was applied to a Y-90 radioembolization workflow. In the first DMAIC cycle, events with the Y-90 workflow were defined and analyzed. To improve the workflow, a web-based interactive electronic white board (EWB) system was adopted as the central communication platform and information processing hub. The EWB-based Y-90 workflow then underwent a second DMAIC cycle. Out of 245 treatments, three misses that went undetected until treatment initiation were recorded over a period of 21 months, and root-cause-analysis was performed to determine causes of each incident and opportunities for improvement. The EWB-based Y-90 process was further improved via new rules to define reliable sources of information as inputs into the planning process, as well as new check points to ensure this information was communicated correctly throughout the process flow. After implementation of the revised EWB-based Y-90 workflow, after two DMAIC-like cycles, there were zero misses out of 153 patient treatments in 1 year. The DMAIC-based approach adopted here allowed the iterative development of a robust workflow to achieve an adaptable, event-minimizing planning process despite a complex setting which requires the participation of multiple teams for Y-90 microspheres therapy. Implementation of such a workflow using the EWB or similar platform with a DMAIC-based process improvement approach could be expanded to other treatment procedures, especially those requiring multidisciplinary management. Copyright © 2016 American Brachytherapy Society. Published by Elsevier Inc. All rights reserved.

  2. Measuring the value of process improvement initiatives in a preoperative assessment center using time-driven activity-based costing.

    PubMed

    French, Katy E; Albright, Heidi W; Frenzel, John C; Incalcaterra, James R; Rubio, Augustin C; Jones, Jessica F; Feeley, Thomas W

    2013-12-01

    The value and impact of process improvement initiatives are difficult to quantify. We describe the use of time-driven activity-based costing (TDABC) in a clinical setting to quantify the value of process improvements in terms of cost, time and personnel resources. Difficulty in identifying and measuring the cost savings of process improvement initiatives in a Preoperative Assessment Center (PAC). Use TDABC to measure the value of process improvement initiatives that reduce the costs of performing a preoperative assessment while maintaining the quality of the assessment. Apply the principles of TDABC in a PAC to measure the value, from baseline, of two phases of performance improvement initiatives and determine the impact of each implementation in terms of cost, time and efficiency. Through two rounds of performance improvements, we quantified an overall reduction in time spent by patient and personnel of 33% that resulted in a 46% reduction in the costs of providing care in the center. The performance improvements resulted in a 17% decrease in the total number of full time equivalents (FTE's) needed to staff the center and a 19% increase in the numbers of patients assessed in the center. Quality of care, as assessed by the rate of cancellations on the day of surgery, was not adversely impacted by the process improvements. © 2013 Published by Elsevier Inc.

  3. Evaluating and improving a model of nursing care delivery: a process of partnership.

    PubMed

    Hall, Catherine; McCutcheon, Helen; Deuter, Kate; Matricciani, Lisa

    2012-01-01

    Evaluating and improving a model of nursing care is a fundamental part of clinical practice improvement. While Australian nurses are showing increasing interest in improving models of care delivery, more research is needed that addresses and articulates the processes attendant upon evaluating, re-designing and implementing improvements to the provision of nursing care. Providing nurses with an open opportunity to plan, act, observe and reflect on their practice promotes successful partnerships between academics and clinicians. The aim of this study was to evaluate and improve the model of nursing care delivery to patients in a general surgical ward using participatory action research. Researchers conducted non-participant observations (n = 9) of two hours duration across the 24 h period. Focus groups (n = 3) were used to share non-participant observation data with staff, providing them with an opportunity to reflect on their practice and explore possible solutions. Data was collected in 2008-2009. Two main problem areas were identified as impeding the nurses' ability to provide care to patients: (i) practices and behaviours of nurses and (ii) infrastructure and physical layout of the ward. An overview of issues within each problem area is presented. Shifting the focus of task-centred care towards a more patient-centred care approach, results directly in improvements in resource utilisation, improved cost-effectiveness and job satisfaction for nursing staff. New ways of thinking about nursing processes and systems, workflow design and skill allocation will guide hospital administrators and managers in the effective and efficient allocation of nursing work in similar settings.

  4. Process improvement for regulatory analyses of custom-blend fertilizers.

    PubMed

    Wegner, Keith A

    2014-01-01

    Chemical testing of custom-blend fertilizers is essential to ensure that the products meet the formulation requirements. For purposes of proper crop nutrition and consumer protection, regulatory oversight promotes compliance and particular attention to blending and formulation specifications. Analyses of custom-blend fertilizer products must be performed and reported within a very narrow window in order to be effective. The Colorado Department of Agriculture's Biochemistry Laboratory is an ISO 17025 accredited facility and conducts analyses of custom-blend fertilizer products primarily during the spring planting season. Using the Lean Six Sigma (LSS) process, the Biochemistry Laboratory has reduced turnaround times from as much as 45 days to as little as 3 days. The LSS methodology focuses on waste reduction through identifying: non-value-added steps, unneeded process reviews, optimization of screening and confirmatory analyses, equipment utilization, nonessential reporting requirements, and inefficient personnel deployment. Eliminating these non-value-added activities helped the laboratory significantly shorten turnaround time and reduce costs. Key improvement elements discovered during the LSS process included: focused sample tracking, equipment redundancy, strategic supply stocking, batch size optimization, critical sample paths, elimination of nonessential QC reviews, and more efficient personnel deployment.

  5. Ophthalmology resident selection: current trends in selection criteria and improving the process.

    PubMed

    Nallasamy, Sudha; Uhler, Tara; Nallasamy, Nambi; Tapino, Paul J; Volpe, Nicholas J

    2010-05-01

    To document and assess current ophthalmology resident selection practices as well as to initiate discussion on how best to improve the process. Online survey comprising 56 questions. Program directors, chairpersons, or members of the resident selection committee representing 65 United States ophthalmology residency programs accredited by the Accreditation Council on Graduate Medical Education. Study participants completed an online, anonymous survey consisting primarily of multiple choice questions, with single or multiple answers. Ophthalmology resident selection practices were evaluated and included: screening of applications, interview processes, selection factors, and formation of rank lists; recommendations given to applicants; and respondent satisfaction with the current selection process. As a group, survey respondents deemed the following factors most important in resident selection: interview performance (95.4%), clinical course grades (93.9%), letters of recommendation (83.1%), and board scores (80%). Statistical analyses deemed that the best predictors of resident performance are interviews, clinical course grades, recommendation letters, and ophthalmology rotation performance. Ophthalmology resident selection is a relatively subjective process, continuing to rely heavily on cognitive factors. Because these factors are not always indicative of ultimate resident quality, it would be helpful if ophthalmology training programs improved selection practices to discern who most likely will become a successful resident and future ophthalmologist. Long-term studies correlating applicant attributes with residency and postresidency success are needed to recommend guidelines for a more standardized selection process. Copyright 2010 American Academy of Ophthalmology. Published by Elsevier Inc. All rights reserved.

  6. 5 CFR 591.225 - Which CPIs does OPM use?

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ...—the BLS Consumer Price Index, All Urban Consumers (CPI-U); (b) For all COLA areas in the State of Alaska—the BLS CPI-U for Anchorage, AK; (c) For all COLA areas in the State of Hawaii and for Guam and...

  7. 5 CFR 591.225 - Which CPIs does OPM use?

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ...—the BLS Consumer Price Index, All Urban Consumers (CPI-U); (b) For all COLA areas in the State of Alaska—the BLS CPI-U for Anchorage, AK; (c) For all COLA areas in the State of Hawaii and for Guam and...

  8. 5 CFR 591.225 - Which CPIs does OPM use?

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ...—the BLS Consumer Price Index, All Urban Consumers (CPI-U); (b) For all COLA areas in the State of Alaska—the BLS CPI-U for Anchorage, AK; (c) For all COLA areas in the State of Hawaii and for Guam and...

  9. 5 CFR 591.225 - Which CPIs does OPM use?

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ...—the BLS Consumer Price Index, All Urban Consumers (CPI-U); (b) For all COLA areas in the State of Alaska—the BLS CPI-U for Anchorage, AK; (c) For all COLA areas in the State of Hawaii and for Guam and...

  10. 5 CFR 591.225 - Which CPIs does OPM use?

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ...—the BLS Consumer Price Index, All Urban Consumers (CPI-U); (b) For all COLA areas in the State of Alaska—the BLS CPI-U for Anchorage, AK; (c) For all COLA areas in the State of Hawaii and for Guam and...

  11. Launching a Laboratory Testing Process Quality Improvement Toolkit: From the Shared Networks of Colorado Ambulatory Practices and Partners (SNOCAP).

    PubMed

    Fernald, Douglas; Hamer, Mika; James, Kathy; Tutt, Brandon; West, David

    2015-01-01

    Family medicine and internal medicine physicians order diagnostic laboratory tests for nearly one-third of patient encounters in an average week, yet among medical errors in primary care, an estimated 15% to 54% are attributed to laboratory testing processes. From a practice improvement perspective, we (1) describe the need for laboratory testing process quality improvements from the perspective of primary care practices, and (2) describe the approaches and resources needed to implement laboratory testing process quality improvements in practice. We applied practice observations, process mapping, and interviews with primary care practices in the Shared Networks of Colorado Ambulatory Practices and Partners (SNOCAP)-affiliated practice-based research networks that field-tested in 2013 a laboratory testing process improvement toolkit. From the data collected in each of the 22 participating practices, common testing quality issues included, but were not limited to, 3 main testing process steps: laboratory test preparation, test tracking, and patient notification. Three overarching qualitative themes emerged: practices readily acknowledge multiple laboratory testing process problems; practices know that they need help addressing the issues; and practices face challenges with finding patient-centered solutions compatible with practice priorities and available resources. While practices were able to get started with guidance and a toolkit to improve laboratory testing processes, most did not seem able to achieve their quality improvement aims unassisted. Providing specific guidance tools with practice facilitation or other rapid-cycle quality improvement support may be an effective approach to improve common laboratory testing issues in primary care. © Copyright 2015 by the American Board of Family Medicine.

  12. Impact of a process improvement program in a production software environment: Are we any better?

    NASA Technical Reports Server (NTRS)

    Heller, Gerard H.; Page, Gerald T.

    1990-01-01

    For the past 15 years, Computer Sciences Corporation (CSC) has participated in a process improvement program as a member of the Software Engineering Laboratory (SEL), which is sponsored by GSFC. The benefits CSC has derived from involvement in this program are analyzed. In the environment studied, it shows that improvements were indeed achieved, as evidenced by a decrease in error rates and costs over a period in which both the size and the complexity of the developed systems increased substantially. The principles and mechanics of the process improvement program, the lessons CSC has learned, and how CSC has capitalized on these lessons are also discussed.

  13. Improvement of the control of a gas metal arc welding process

    NASA Astrophysics Data System (ADS)

    Gött, Gregor; Schöpp, Heinz; Hofmann, Frank; Heinz, Gerd

    2010-02-01

    Up to now, the use of the electrical characteristics for process control is state of the art in gas metal arc welding (GMAW). The aim of the work is the improvement of GMAW processes by using additional information from the arc. Therefore, the emitted light of the arc is analysed spectroscopically and compared with high-speed camera images. With this information, a conclusion about the plasma arc and the droplet formation is reasonable. With the correlation of the spectral and local information of the plasma, a specific control of the power supply can be applied. A corresponding spectral control unit (SCU) is introduced.

  14. CD and defect improvement challenges for immersion processes

    NASA Astrophysics Data System (ADS)

    Ehara, Keisuke; Ema, Tatsuhiko; Yamasaki, Toshinari; Nakagawa, Seiji; Ishitani, Seiji; Morita, Akihiko; Kim, Jeonghun; Kanaoka, Masashi; Yasuda, Shuichi; Asai, Masaya

    2009-03-01

    The intention of this study is to develop an immersion lithography process using advanced track solutions to achieve world class critical dimension (CD) and defectivity performance in a state of the art manufacturing facility. This study looks at three important topics for immersion lithography: defectivity, CD control, and wafer backside contamination. The topic of defectivity is addressed through optimization of coat, develop, and rinse processes as well as implementation of soak steps and bevel cleaning as part of a comprehensive defect solution. Develop and rinse processing techniques are especially important in the effort to achieve a zero defect solution. Improved CD control is achieved using a biased hot plate (BHP) equipped with an electrostatic chuck. This electrostatic chuck BHP (eBHP) is not only able to operate at a very uniform temperature, but it also allows the user to bias the post exposure bake (PEB) temperature profile to compensate for systematic within-wafer (WiW) CD non-uniformities. Optimized CD results, pre and post etch, are presented for production wafers. Wafer backside particles can cause focus spots on an individual wafer or migrate to the exposure tool's wafer stage and cause problems for a multitude of wafers. A basic evaluation of the cleaning efficiency of a backside scrubber unit located on the track was performed as a precursor to a future study examining the impact of wafer backside condition on scanner focus errors as well as defectivity in an immersion scanner.

  15. Prognostic role of cardiac power index in ambulatory patients with advanced heart failure.

    PubMed

    Grodin, Justin L; Mullens, Wilfried; Dupont, Matthias; Wu, Yuping; Taylor, David O; Starling, Randall C; Tang, W H Wilson

    2015-07-01

    Cardiac pump function is often quantified by left ventricular ejection fraction by various imaging modalities. As the heart is commonly conceptualized as a hydraulic pump, cardiac power describes the hydraulic function of the heart. We aim to describe the prognostic value of resting cardiac power index (CPI) in ambulatory patients with advanced heart failure. We calculated CPI in 495 sequential ambulatory patients with advanced heart failure who underwent invasive haemodynamic assessment with longitudinal follow-up of adverse outcomes (all-cause mortality, cardiac transplantation, or ventricular assist device placement). The median CPI was 0.44 W/m(2) (interquartile range 0.37, 0.52). Over a median of 3.3 years, there were 117 deaths, 104 transplants, and 20 ventricular assist device placements in our cohort. Diminished CPI (<0.44 W/m(2) ) was associated with increased adverse outcomes [hazard ratio (HR) 2.4, 95% confidence interval (CI) 1.8-3.1, P < 0.0001). The prognostic value of CPI remained significant after adjustment for age, gender, pulmonary capillary wedge pressure, cardiac index, pulmonary vascular resistance, left ventricular ejection fraction, and creatinine [HR 1.5, 95% CI 1.03-2.3, P = 0.04). Furthermore, CPI can risk stratify independently of peak oxygen consumption (HR 2.2, 95% CI 1.4-3.4, P = 0.0003). Resting cardiac power index provides independent and incremental prediction in adverse outcomes beyond traditional haemodynamic and cardio-renal risk factors. © 2015 The Authors. European Journal of Heart Failure © 2015 European Society of Cardiology.

  16. Nanorod Mobility within Entangled Wormlike Micelle Solutions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jonghun; Grein-Iankovski, Aline; Narayanan, Suresh

    In the semi-dilute regime, wormlike micelles form an isotropic entangled microstructure that is similar to that of an entangled polymer solution with a characteristic, nanometer-scale entanglement mesh size. We report a combined x-ray photon correlation spectroscopy (XPCS) and rheology study to investigate the translational dynamics of gold nanorods in semi-dilute solutions of entangled wormlike micelles formed by the surfactant cetylpyridinium chloride (CPyCl) and the counter-ion sodium salicylate (NaSal). The CPyCl concentration is varied to tune the entanglement mesh size over a range that spans from approximately equal to the nanorod diameter to larger than the nanorod length. The NaSal concentrationmore » is varied along with the CPyCl concentration so that the solutions have the maximum viscosity for given CPyCl concentration. On short time scales the nanorods are localized on a length scale matching that expected from the high-frequency elastic modulus of the solutions as long as the mesh size is smaller than the rod length. On longer time scales, the nanorods undergo free diffusion. At the highest CPyCl concentrations, the nanorod diffusivity approaches the value expected based on the macroscopic viscosity of the solutions, but it increases with decreasing CPyCl concentration more rapidly than expected from the macroscopic viscosity. A recent model by Cai et al. [Cai, L.-H.; Panyukov, S.; Rubinstein, M. Macromolecules 2015, 48, 847-862.] for nanoparticle “hopping” diffusion in entangled polymer solutions accounts quantitatively for this enhanced diffusivity.« less

  17. Corrupt practices negatively influenced food security and live expectancy in developing countries

    PubMed Central

    Uchendu, Florence Ngozi; Abolarin, Thaddeus Olatunbosun

    2015-01-01

    Malnutrition is a global public health problem more prevalent in developing countries than in developed countries. Indicators of malnutrition include household food security and life expectancy. Corruption might be one of socio-political problems fuelling malnutrition in developing countries. The aim of this paper is to compare influence of corruption on food security, live expectancy (LE) and population in developed and developing countries. Thirty two least corrupt countries (LCC) and most corrupt countries (MCC) representing developed and developing countries were systematically selected using Corruption Perceptions Index (CPI). Countries’ data on population, food security index (FSI) and LE scores were obtained from Global food security index (GFSI) and Population reference bureau. T-test, Multivariate (Wilks’ Lambda), Pearson product moment analysis were performed to determine relationship between CPI, FSI, LE, and population in LCC and MCC at p<.05. Data were presented in tables, means and percentages. Mean CPI, Population, FSI, and LE in LCC and MCC were 71.5% and 24.2%; 34.8 and 41.7million; 75.0% and 37.4%; and 78.4years and 62.4years. There was a significant difference between CPI, FSI and LE in LCC and MCC (p < 0.05). CPI had a significant positive relationship with FSI and LE in LCC not MCC. There was also a significant relationship between FSI and LE in MCC. Low CPI influenced high FSI and LE in LCC while Low LE was associated with low FSI in MCC. Policies discouraging corrupt practices and promoting good governance should be embraced to eradicate malnutrition in developing countries. PMID:26090058

  18. Nanorod Mobility within Entangled Wormlike Micelle Solutions

    DOE PAGES

    Lee, Jonghun; Grein-Iankovski, Aline; Narayanan, Suresh; ...

    2016-12-20

    In the semi-dilute regime, wormlike micelles form an isotropic entangled microstructure that is similar to that of an entangled polymer solution with a characteristic, nanometer-scale entanglement mesh size. We report a combined x-ray photon correlation spectroscopy (XPCS) and rheology study to investigate the translational dynamics of gold nanorods in semi-dilute solutions of entangled wormlike micelles formed by the surfactant cetylpyridinium chloride (CPyCl) and the counter-ion sodium salicylate (NaSal). The CPyCl concentration is varied to tune the entanglement mesh size over a range that spans from approximately equal to the nanorod diameter to larger than the nanorod length. The NaSal concentrationmore » is varied along with the CPyCl concentration so that the solutions have the maximum viscosity for given CPyCl concentration. On short time scales the nanorods are localized on a length scale matching that expected from the high-frequency elastic modulus of the solutions as long as the mesh size is smaller than the rod length. On longer time scales, the nanorods undergo free diffusion. At the highest CPyCl concentrations, the nanorod diffusivity approaches the value expected based on the macroscopic viscosity of the solutions, but it increases with decreasing CPyCl concentration more rapidly than expected from the macroscopic viscosity. A recent model by Cai et al. [Cai, L.-H.; Panyukov, S.; Rubinstein, M. Macromolecules 2015, 48, 847-862.] for nanoparticle “hopping” diffusion in entangled polymer solutions accounts quantitatively for this enhanced diffusivity.« less

  19. Corrupt practices negatively influenced food security and live expectancy in developing countries.

    PubMed

    Uchendu, Florence Ngozi; Abolarin, Thaddeus Olatunbosun

    2015-01-01

    Malnutrition is a global public health problem more prevalent in developing countries than in developed countries. Indicators of malnutrition include household food security and life expectancy. Corruption might be one of socio-political problems fuelling malnutrition in developing countries. The aim of this paper is to compare influence of corruption on food security, live expectancy (LE) and population in developed and developing countries. Thirty two least corrupt countries (LCC) and most corrupt countries (MCC) representing developed and developing countries were systematically selected using Corruption Perceptions Index (CPI). Countries' data on population, food security index (FSI) and LE scores were obtained from Global food security index (GFSI) and Population reference bureau. T-test, Multivariate (Wilks' Lambda), Pearson product moment analysis were performed to determine relationship between CPI, FSI, LE, and population in LCC and MCC at p < .05. Data were presented in tables, means and percentages. Mean CPI, Population, FSI, and LE in LCC and MCC were 71.5% and 24.2%; 34.8 and 41.7 million; 75.0% and 37.4%; and 78.4 years and 62.4 years. There was a significant difference between CPI, FSI and LE in LCC and MCC (p < 0.05). CPI had a significant positive relationship with FSI and LE in LCC not MCC. There was also a significant relationship between FSI and LE in MCC. Low CPI influenced high FSI and LE in LCC while Low LE was associated with low FSI in MCC. Policies discouraging corrupt practices and promoting good governance should be embraced to eradicate malnutrition in developing countries.

  20. Improving Process Evaluations of Health Behavior Interventions: Learning From the Social Sciences.

    PubMed

    Morgan-Trimmer, Sarah

    2015-09-01

    This article reflects on the current state of process evaluations of health behavior interventions and argues that evaluation practice in this area could be improved by drawing on the social science literature to a greater degree. While process evaluations of health behavior interventions have increasingly engaged with the social world and sociological aspects of interventions, there has been a lag in applying relevant and potentially useful approaches from the social sciences. This has limited the scope for health behavior process evaluations to address pertinent contextual issues and methodological challenges. Three aspects of process evaluations are discussed: the incorporation of contexts of interventions; engagement with the concept of "process" in process evaluation; and working with theory to understand interventions. Following on from this, the article also comments on the need for new methodologies and on the implications for addressing health inequalities. © The Author(s) 2013.

  1. Surgical process improvement tools: defining quality gaps and priority areas in gastrointestinal cancer surgery

    PubMed Central

    Wei, A.C.; Devitt, K.S.; Wiebe, M.; Bathe, O.F.; McLeod, R.S.; Urbach, D.R.

    2014-01-01

    Background Surgery is a cornerstone of cancer treatment, but significant differences in the quality of surgery have been reported. Surgical process improvement tools (spits) modify the processes of care as a means to quality improvement (qi). We were interested in developing spits in the area of gastrointestinal (gi) cancer surgery. We report the recommendations of an expert panel held to define quality gaps and establish priority areas that would benefit from spits. Methods The present study used the knowledge-to-action cycle was as a framework. Canadian experts in qi and in gi cancer surgery were assembled in a nominal group workshop. Participants evaluated the merits of spits, described gaps in current knowledge, and identified and ranked processes of care that would benefit from qi. A qualitative analysis of the workshop deliberations using modified grounded theory methods identified major themes. Results The expert panel consisted of 22 participants. Experts confirmed that spits were an important strategy for qi. The top-rated spits included clinical pathways, electronic information technology, and patient safety tools. The preferred settings for use of spits included preoperative and intraoperative settings and multidisciplinary contexts. Outcomes of interest were cancer-related outcomes, process, and the technical quality of surgery measures. Conclusions Surgical process improvement tools were confirmed as an important strategy. Expert panel recommendations will be used to guide future research efforts for spits in gi cancer surgery. PMID:24764704

  2. Optimizing Vacuum Assisted Resin Transfer Moulding (VARTM) Processing Parameters to Improve Part Quality

    NASA Astrophysics Data System (ADS)

    Polowick, Christopher

    The Low Cost Composites (LCC) group at Carleton University is studying out-of-autoclave composite manufacturing processes such as Vacuum Assisted Resin Transfer Moulding (VARTM) and Closed Cavity Bag Moulding (CCBM). These processes are used to produce inexpensive and high performance components for the GeoSurv II, an Unmanned Aerial Vehicle (UAV) being developed at Carleton University. This research has focused on optimizing VARTM processing parameters to reduce the weight and improve the strength and surface finish of GeoSurv II composite components. A simulation was developed to model resin flow through in VARTM infusions and was used to simulate mould filling and resin emptying of the GeoSurv II inverted V-empennage and mission avionics hatch. The resin infusion schemes of these parts were designed to ensure full preform resin saturation, and minimize thickness variations. An experimental study of the effects of the presence of a corner on composite thickness, void content, and strength was conducted. It was found that inside corners result in local increases in thickness and void content due to poor preform compaction. A novel bagging technique was developed to improve corner compaction, and this technique was shown to reduce thickness variability and void content. The strength, void content, and thickness variation were found to be heavily dependent on corner radius, with corner radii greater than 6.4 mm displaying the greatest improvement in performance for the layups considered. The design of the empennage and hatch mould incorporated the results of this study to improve the quality of these components.

  3. Executing Quality: A Grounded Theory of Child Care Quality Improvement Engagement Process in Pennsylvania

    ERIC Educational Resources Information Center

    Critchosin, Heather

    2014-01-01

    Executing Quality describes the perceived process experienced by participants while engaging in Keystone Standards, Training, Assistance, Resources, and Support (Keystone STARS) quality rating improvement system (QRIS). The purpose of this qualitative inquiry was to understand the process of Keystone STARS engagement in order to generate a…

  4. 33 CFR 138.240 - Procedure for calculating limit of liability adjustments for inflation.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... calculating limit of liability adjustments for inflation. (a) Formula for calculating a cumulative percent... Current Period), using the following escalation formula: Percent change in the Annual CPI-U = [(Annual CPI.... This cumulative percent change value is rounded to one decimal place. (b) Significance threshold. Not...

  5. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Sha Li, Chen; Li, Yu Ning; Wu, Yi Liang; Ong, Beng S.; Loutfy, Rafik O.

    2008-06-01

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process.

  6. A tale of two audits: statistical process control for improving diabetes care in primary care settings.

    PubMed

    Al-Hussein, Fahad Abdullah

    2008-01-01

    Diabetes constitutes a major burden of disease globally. Both primary and secondary prevention need to improve in order to face this challenge. Improving management of diabetes in primary care is therefore of fundamental importance. The objective of these series of audits was to find means of improving diabetes management in chronic disease mini-clinics in primary health care. In the process, we were able to study the effect and practical usefulness of different audit designs - those measuring clinical outcomes, process of care, or both. King Saud City Family and Community Medicine Centre, Saudi National Guard Health Affairs in Riyadh city, Saudi Arabia. Simple random samples of 30 files were selected every two weeks from a sampling frame of file numbers for all diabetes clients seen over the period. Information was transferred to a form, entered on the computer and an automated response was generated regarding the appropriateness of management, a criterion mutually agreed upon by care providers. The results were plotted on statistical process control charts, p charts, displayed for all employees. Data extraction, archiving, entry, analysis, plotting and design and preparation of p charts were managed by nursing staff specially trained for the purpose by physicians with relevant previous experience. Audit series with mixed outcome and process measures failed to detect any changes in the proportion of non-conforming cases over a period of one year. The process measures series, on the other hand, showed improvement in care corresponding to a reduction in the proportion non-conforming by 10% within a period of 3 months. Non-conformities dropped from a mean of 5.0 to 1.4 over the year (P < 0.001). It is possible to improve providers' behaviour regarding implementation of given guidelines through periodic process audits and feedbacks. Frequent process audits in the context of statistical process control should be supplemented with concurrent outcome audits, once or

  7. Process Improvement of Reactive Dye Synthesis Using Six Sigma Concept

    NASA Astrophysics Data System (ADS)

    Suwanich, Thanapat; Chutima, Parames

    2017-06-01

    This research focuses on the problem occurred in the reactive dye synthesis process of a global manufacturer in Thailand which producing various chemicals for reactive dye products to supply global industries such as chemicals, textiles and garments. The product named “Reactive Blue Base” is selected in this study because it has highest demand and the current chemical yield shows a high variation, i.e. yield variation of 90.4% - 99.1% (S.D. = 2.405 and Cpk = -0.08) and average yield is 94.5% (lower than the 95% standard set by the company). The Six Sigma concept is applied aiming at increasing yield and reducing variation of this process. This approach is suitable since it provides a systematic guideline with five improvement phases (DMAIC) to effectively tackle the problem and find the appropriate parameter settings of the process. Under the new parameter settings, the process yield variation is reduced to range between 96.5% - 98.5% (S.D. = 0.525 and Cpk = 1.83) and the average yield is increased to 97.5% (higher than the 95% standard set by the company).

  8. [Improvement of medical processes with Six Sigma - practicable zero-defect quality in preparation for surgery].

    PubMed

    Sobottka, Stephan B; Töpfer, Armin; Eberlein-Gonska, Maria; Schackert, Gabriele; Albrecht, D Michael

    2010-01-01

    Six Sigma is an innovative management- approach to reach practicable zero- defect quality in medical service processes. The Six Sigma principle utilizes strategies, which are based on quantitative measurements and which seek to optimize processes, limit deviations or dispersion from the target process. Hence, Six Sigma aims to eliminate errors or quality problems of all kinds. A pilot project to optimize the preparation for neurosurgery could now show that the Six Sigma method enhanced patient safety in medical care, while at the same time disturbances in the hospital processes and failure costs could be avoided. All six defined safety relevant quality indicators were significantly improved by changes in the workflow by using a standardized process- and patient- oriented approach. Certain defined quality standards such as a 100% complete surgical preparation at start of surgery and the required initial contact of the surgeon with the patient/ surgical record on the eve of surgery could be fulfilled within the range of practical zero- defect quality. Likewise, the degree of completion of the surgical record by 4 p.m. on the eve of surgery and their quality could be improved by a factor of 170 and 16, respectively, at sigma values of 4.43 and 4.38. The other two safety quality indicators "non-communicated changes in the OR- schedule" and the "completeness of the OR- schedule by 12:30 a.m. on the day before surgery" also show an impressive improvement by a factor of 2.8 and 7.7, respectively, corresponding with sigma values of 3.34 and 3.51. The results of this pilot project demonstrate that the Six Sigma method is eminently suitable for improving quality of medical processes. In our experience this methodology is suitable, even for complex clinical processes with a variety of stakeholders. In particular, in processes in which patient safety plays a key role, the objective of achieving a zero- defect quality is reasonable and should definitely be aspirated. Copyright

  9. Using the cognitive interviewing process to improve survey design by allied health: A qualitative study.

    PubMed

    Howlett, Owen; McKinstry, Carol; Lannin, Natasha A

    2018-04-01

    Allied health professionals frequently use surveys to collect data for clinical practice and service improvement projects. Careful development and piloting of purpose-designed surveys is important to ensure intended measuring (that respondents correctly interpret survey items when responding). Cognitive interviewing is a specific technique that can improve the design of self-administered surveys. The aim of this study was to describe the use of the cognitive interviewing process to improve survey design, which involved a purpose-designed, online survey evaluating staff use of functional electrical stimulation. A qualitative study involving one round of cognitive interviewing with three occupational therapists and three physiotherapists. The cognitive interviewing process identified 11 issues with the draft survey, which could potentially influence the validity and quality of responses. The raised issues included difficulties with: processing the question to be able to respond, determining a response to the question, retrieving relevant information from memory and comprehending the written question. Twelve survey amendments were made following the cognitive interviewing process, comprising four additions, seven revisions and one correction. The cognitive interviewing process applied during the development of a purpose-designed survey enabled the identification of potential problems and informed revisions to the survey prior to its use. © 2017 Occupational Therapy Australia.

  10. ICT and mobile health to improve clinical process delivery. a research project for therapy management process innovation.

    PubMed

    Locatelli, Paolo; Montefusco, Vittorio; Sini, Elena; Restifo, Nicola; Facchini, Roberta; Torresani, Michele

    2013-01-01

    The volume and the complexity of clinical and administrative information make Information and Communication Technologies (ICTs) essential for running and innovating healthcare. This paper tells about a project aimed to design, develop and implement a set of organizational models, acknowledged procedures and ICT tools (Mobile & Wireless solutions and Automatic Identification and Data Capture technologies) to improve actual support, safety, reliability and traceability of a specific therapy management (stem cells). The value of the project is to design a solution based on mobile and identification technology in tight collaboration with physicians and actors involved in the process to ensure usability and effectivenes in process management.

  11. Upward appraisal as a means for improving supervisory performance and promoting process improvement, with long-term implications for organizational change

    NASA Technical Reports Server (NTRS)

    Siegfeldt, Denise V.

    1994-01-01

    This study represents the implementation phase of an organizational development project which was initiated last year in the Management Support Division (MSD) at Langley Research Center to diagnose organizational functioning. As a result of MSD survey data from last year's effort, a Quality Action Team was created to address the responses compiled from the MSD Organizational Assessment Questionnaire and Follow-Up Questionnaire. The team was officially named the MSD Employee Relations Improvement Team (MERIT). MERIT's goal was to analyze major concerns generated by the questionnaires and to present feasible solutions to management which would improve supervisory performance, promote process improvement; and ultimately, lead to a better organization. The team met weekly and was very disciplined in following guidelines needed to ensure a fully functioning team. Several TQM tools were used during the team process, including brainstorming and the cause and effect diagram. One of the products produced by MERIT was a 'report card', more formally known as an upward appraisal system, to evaluate supervisory performance in the division office, its three branches, and in teams. Major areas of emphasis on the 47 item report card were those identified by employees through the previously administered questionnaires as needing to be improved; specifically, training, recognition, teamwork, supervision and leadership, and communication. MERIT created an enlarged and modified version of the report card which enabled scores for each individual supervisor to be recorded on a separate form, along with summary results and employee comments. Report card results have been compiled and fed back to the Division Chief and Assistant Division Chief. These individuals will in turn, feed the results back to the remaining supervisors and the team leaders. Although results differ among supervisors, some similarities exist. Communication generally appears to be adequate, which represents an

  12. An anticipatory quality improvement process for curricular reform.

    PubMed

    Hollander, Harry; Loeser, Helen; Irby, David

    2002-09-01

    Over half of American medical schools are currently engaged in significant curricular reform. Traditionally, evaluation of the efficacy of educational changes has occurred well after the implementation of curricular reform, resulting in significant time elapsed before modification of goals and content can be accomplished. We were interested in establishing a process by which a new curriculum could be reviewed and refined before its actual introduction. The University of California, San Francisco (UCSF) School of Medicine embarked upon a new curriculum for the class entering in September 2001. Two separate committees coordinated plans for curricular change. The Essential Core Steering Committee was responsible for the first two years of training, and the Integrated Clinical Steering Committee guided the development of the third-and fourth-year curriculum. Both groups operated under guidelines of curricular reform, established by the School's Committee on Curriculum and Educational Policy, that emphasized integration of basic, clinical, and social sciences; longitudinal inclusion of themes such as behavior, culture, and ethics; use of clinical cases in teaching; and inclusion of small-group and problem-based learning. In early 2001, the deans of education and curricular affairs appointed an ad hoc committee to examine the status of the first-year curriculum, which had been entirely reformulated into a series of new multidisciplinary block courses. This ad hoc committee was composed of students and clinical faculty members who had not been substantially involved in the detailed planning of the blocks. The charge to the committee was to critique the progress of individual courses, and the first year as a whole, in meeting the goals outlined above, and to make recommendations for improving the preparation of students for the clinical years. To accomplish these goals, the committee reviewed background planning documents; interviewed each course director using a

  13. An Improved Publication Process for the UMVF.

    PubMed

    Renard, Jean-Marie; Brunetaud, Jean-Marc; Cuggia, Marc; Darmoni, Stephan; Lebeux, Pierre; Beuscart, Régis

    2005-01-01

    The "Université Médicale Virtuelle Francophone" (UMVF) is a federation of French medical schools. Its main goal is to share the production and use of pedagogic medical resources generated by academic medical teachers. We developed an Open-Source application based upon a workflow system which provides an improved publication process for the UMVF. For teachers, the tool permits easy and efficient upload of new educational resources. For web masters it provides a mechanism to easily locate and validate the resources. For both the teachers and the web masters, the utility provides the control and communication functions that define a workflow system.For all users, students in particular, the application improves the value of the UMVF repository by providing an easy way to find a detailed description of a resource and to check any resource from the UMVF to ascertain its quality and integrity, even if the resource is an old deprecated version. The server tier of the application is used to implement the main workflow functionalities and is deployed on certified UMVF servers using the PHP language, an LDAP directory and an SQL database. The client tier of the application provides both the workflow and the search and check functionalities and is implemented using a Java applet through a W3C compliant web browser. A unique signature for each resource, was needed to provide security functionality and is implemented using the MD5 Digest algorithm. The testing performed by Rennes and Lille verified the functionality and conformity with our specifications.

  14. Study of amended reports to evaluate and improve surgical pathology processes.

    PubMed

    Meier, Frederick A; Varney, Ruan C; Zarbo, Richard J

    2011-09-01

    : Amended surgical pathology reports record defects in the process of transforming tissue specimens into diagnostic information. : Systematic study of amended reports tests 2 hypotheses: (a) that tracking amendment frequencies and the distribution of amendment types reveals relevant aspects of quality in surgical pathology's daily transformation of specimens into diagnoses and (b) that such tracking measures the effect, or lack of effect, of efforts to improve surgical pathology processes. : We applied a binary definition of altered reports as either amendments or addenda and a taxonomy of defects that caused amendments as misidentifications, specimen defects, misinterpretations, and report defects. During the introduction of a LEAN process improvement approach-the Henry Ford Productions System-we followed trends in amendment rates and defect fractions to (a) evaluate specific interventions, (b) sort case-by-case root causes of misidentifications, specimen defects, and misinterpretations, and (c) audit the ongoing accuracy of the classification of changed reports. LEAN is the management and production system of the Toyota Motor Corporation that promotes continuous improvement; it considers wasted resources expended for purposes other than creating value for end customers and targets such expenditures for elimination. : Introduction of real-time editing of amendments saw annual amendment rates increase from 4.8/1000 to 10.1/1000 and then decrease in an incremental manner to 5.6/1000 as Henry Ford Productions System-specific interventions were introduced. Before introduction of HFPS interventions, about a fifth of the amendments were due to misidentifications, a 10th were due to specimen defects, a quarter due to misinterpretation, and almost half were due to report defects. During the period of the initial application of HFPS, the fraction of amendments due to misidentifications decreased as those due to report defects increased, in a statistically linked manner. As

  15. Assessment of Consultation and Intervention Implementation: A Review of Conjoint Behavioral Consultation Studies

    ERIC Educational Resources Information Center

    Collier-Meek, Melissa A.; Sanetti, Lisa M. H.

    2014-01-01

    Reviews of treatment outcome literature indicate treatment integrity is not regularly assessed. In consultation, two levels of treatment integrity (i.e., consultant procedural integrity [CPI] and intervention treatment integrity [ITI]) provide relevant implementation data. Specifically, assessment of CPI and ITI are necessary to conclude (a)…

  16. Process Improvement Should Link to Security: SEPG 2007 Security Track Recap

    DTIC Science & Technology

    2007-09-01

    the Systems Security Engineering Capability Maturity Model (SSE- CMM / ISO 21827) and its use in system software developments ...software development life cycle ( SDLC )? 6. In what ways should process improvement support security in the SDLC ? 1.2 10BPANEL RESOURCES For each... project management, and support practices through the use of the capability maturity models including the CMMI and the Systems Security

  17. Improving Immunization Rates Using Lean Six Sigma Processes: Alliance of Independent Academic Medical Centers National Initiative III Project

    PubMed Central

    Hina-Syeda, Hussaini; Kimbrough, Christina; Murdoch, William; Markova, Tsveti

    2013-01-01

    Background Quality improvement education and work in interdisciplinary teams is a healthcare priority. Healthcare systems are trying to meet core measures and provide excellent patient care, thus improving their Hospital Consumer Assessment of Healthcare Providers & Systems scores. Crittenton Hospital Medical Center in Rochester Hills, MI, aligned educational and clinical objectives, focusing on improving immunization rates against pneumonia and influenza prior to the rates being implemented as core measures. Improving immunization rates prevents infections, minimizes hospitalizations, and results in overall improved patient care. Teaching hospitals offer an effective way to work on clinical projects by bringing together the skill sets of residents, faculty, and hospital staff to achieve superior results. Methods We designed and implemented a structured curriculum in which interdisciplinary teams acquired knowledge on quality improvement and teamwork, while focusing on a specific clinical project: improving global immunization rates. We used the Lean Six Sigma process tools to quantify the initial process capability to immunize against pneumococcus and influenza. Results The hospital's process to vaccinate against pneumonia overall was operating at a Z score of 3.13, and the influenza vaccination Z score was 2.53. However, the process to vaccinate high-risk patients against pneumonia operated at a Z score of 1.96. Improvement in immunization rates of high-risk patients became the focus of the project. After the implementation of solutions, the process to vaccinate high-risk patients against pneumonia operated at a Z score of 3.9 with a defects/million opportunities rate of 9,346 and a yield of 93.5%. Revisions to the adult assessment form fixed 80% of the problems identified. Conclusions This process improvement project was not only beneficial in terms of improved quality of patient care but was also a positive learning experience for the interdisciplinary team

  18. Improving Immunization Rates Using Lean Six Sigma Processes: Alliance of Independent Academic Medical Centers National Initiative III Project.

    PubMed

    Hina-Syeda, Hussaini; Kimbrough, Christina; Murdoch, William; Markova, Tsveti

    2013-01-01

    Quality improvement education and work in interdisciplinary teams is a healthcare priority. Healthcare systems are trying to meet core measures and provide excellent patient care, thus improving their Hospital Consumer Assessment of Healthcare Providers & Systems scores. Crittenton Hospital Medical Center in Rochester Hills, MI, aligned educational and clinical objectives, focusing on improving immunization rates against pneumonia and influenza prior to the rates being implemented as core measures. Improving immunization rates prevents infections, minimizes hospitalizations, and results in overall improved patient care. Teaching hospitals offer an effective way to work on clinical projects by bringing together the skill sets of residents, faculty, and hospital staff to achieve superior results. WE DESIGNED AND IMPLEMENTED A STRUCTURED CURRICULUM IN WHICH INTERDISCIPLINARY TEAMS ACQUIRED KNOWLEDGE ON QUALITY IMPROVEMENT AND TEAMWORK, WHILE FOCUSING ON A SPECIFIC CLINICAL PROJECT: improving global immunization rates. We used the Lean Six Sigma process tools to quantify the initial process capability to immunize against pneumococcus and influenza. The hospital's process to vaccinate against pneumonia overall was operating at a Z score of 3.13, and the influenza vaccination Z score was 2.53. However, the process to vaccinate high-risk patients against pneumonia operated at a Z score of 1.96. Improvement in immunization rates of high-risk patients became the focus of the project. After the implementation of solutions, the process to vaccinate high-risk patients against pneumonia operated at a Z score of 3.9 with a defects/million opportunities rate of 9,346 and a yield of 93.5%. Revisions to the adult assessment form fixed 80% of the problems identified. This process improvement project was not only beneficial in terms of improved quality of patient care but was also a positive learning experience for the interdisciplinary team, particularly for the residents. The

  19. Inclusion probability for DNA mixtures is a subjective one-sided match statistic unrelated to identification information.

    PubMed

    Perlin, Mark William

    2015-01-01

    DNA mixtures of two or more people are a common type of forensic crime scene evidence. A match statistic that connects the evidence to a criminal defendant is usually needed for court. Jurors rely on this strength of match to help decide guilt or innocence. However, the reliability of unsophisticated match statistics for DNA mixtures has been questioned. The most prevalent match statistic for DNA mixtures is the combined probability of inclusion (CPI), used by crime labs for over 15 years. When testing 13 short tandem repeat (STR) genetic loci, the CPI(-1) value is typically around a million, regardless of DNA mixture composition. However, actual identification information, as measured by a likelihood ratio (LR), spans a much broader range. This study examined probability of inclusion (PI) mixture statistics for 517 locus experiments drawn from 16 reported cases and compared them with LR locus information calculated independently on the same data. The log(PI(-1)) values were examined and compared with corresponding log(LR) values. The LR and CPI methods were compared in case examples of false inclusion, false exclusion, a homicide, and criminal justice outcomes. Statistical analysis of crime laboratory STR data shows that inclusion match statistics exhibit a truncated normal distribution having zero center, with little correlation to actual identification information. By the law of large numbers (LLN), CPI(-1) increases with the number of tested genetic loci, regardless of DNA mixture composition or match information. These statistical findings explain why CPI is relatively constant, with implications for DNA policy, criminal justice, cost of crime, and crime prevention. Forensic crime laboratories have generated CPI statistics on hundreds of thousands of DNA mixture evidence items. However, this commonly used match statistic behaves like a random generator of inclusionary values, following the LLN rather than measuring identification information. A quantitative

  20. Improvements in Space Surveillance Processing for Wide Field of View Optical Sensors

    NASA Astrophysics Data System (ADS)

    Sydney, P.; Wetterer, C.

    2014-09-01

    For more than a decade, an autonomous satellite tracking system at the Air Force Maui Optical and Supercomputing (AMOS) observatory has been generating routine astrometric measurements of Earth-orbiting Resident Space Objects (RSOs) using small commercial telescopes and sensors. Recent work has focused on developing an improved processing system, enhancing measurement performance and response while supporting other sensor systems and missions. This paper will outline improved techniques in scheduling, detection, astrometric and photometric measurements, and catalog maintenance. The processing system now integrates with Special Perturbation (SP) based astrodynamics algorithms, allowing covariance-based scheduling and more precise orbital estimates and object identification. A merit-based scheduling algorithm provides a global optimization framework to support diverse collection tasks and missions. The detection algorithms support a range of target tracking and camera acquisition rates. New comprehensive star catalogs allow for more precise astrometric and photometric calibrations including differential photometry for monitoring environmental changes. This paper will also examine measurement performance with varying tracking rates and acquisition parameters.

  1. The impact of chronic physical illness, maternal depressive symptoms, family functioning, and self-esteem on symptoms of anxiety and depression in children.

    PubMed

    Ferro, Mark A; Boyle, Michael H

    2015-01-01

    The present study extends earlier research identifying an increased risk of anxiety among children with chronic physical illness (CwCPI) by examining a more complete model that explains how physical illness leads to increased symptoms of anxiety and depression. We tested a stress-generation model linking chronic physical illness to symptoms of anxiety and depression in a population-based sample of children aged 10 to 15 years. We hypothesized that having a chronic physical illness would be associated with more symptoms of anxiety and depression, increased levels of maternal depressive symptoms, more family dysfunction, and lower self-esteem; and, that maternal depressive symptoms, family dysfunction, and child self-esteem would mediate the influence of chronic physical illness on symptoms of anxiety and depression. Data came from the National Longitudinal Survey of Children and Youth (N = 10,646). Mediating processes were analyzed using latent growth curve modeling. Childhood chronic physical illness was associated with increases in symptoms of anxiety and depression, β = 0.20, p < 0.001. Mediating effects were also observed such that chronic physical illness resulted in increases in symptoms of maternal depression and family dysfunction, leading to declines in child self-esteem, and in turn, increases in symptoms of anxiety and depression. CwCPI are at-risk for symptoms of anxiety and depression. Some of this elevated risk appears to work through family processes and child self-esteem. This study supports the use of family-centered care approaches among CwCPI to minimize burden on families and promote healthy psychological development for children.

  2. Final Report Collaborative Project: Improving the Representation of Coastal and Estuarine Processes in Earth System Models

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bryan, Frank; Dennis, John; MacCready, Parker

    This project aimed to improve long term global climate simulations by resolving and enhancing the representation of the processes involved in the cycling of freshwater through estuaries and coastal regions. This was a collaborative multi-institution project consisting of physical oceanographers, climate model developers, and computational scientists. It specifically targeted the DOE objectives of advancing simulation and predictive capability of climate models through improvements in resolution and physical process representation.

  3. Optimizing MRI Logistics: Focused Process Improvements Can Increase Throughput in an Academic Radiology Department.

    PubMed

    O'Brien, Jeremy J; Stormann, Jeremy; Roche, Kelli; Cabral-Goncalves, Ines; Monks, Annamarie; Hallett, Donna; Mortele, Koenraad J

    2017-02-01

    The purpose of this study was to describe and evaluate the effect of focused process improvements on protocol selection and scheduling in the MRI division of a busy academic medical center, as measured by examination and room times, magnet fill rate, and potential revenue increases and cost savings to the department. Focused process improvements, led by a multidisciplinary team at a large academic medical center, were directed at streamlining MRI protocols and optimizing matching protocol ordering to scheduling while maintaining or improving image quality. Data were collected before (June 2013) and after (March 2015) implementation of focused process improvements and divided by subspecialty on type of examination, allotted examination time, actual examination time, and MRI parameters. Direct and indirect costs were compiled and analyzed in consultation with the business department. Data were compared with evaluated effects on selected outcome and efficiency measures, as well as revenue and cost considerations. Statistical analysis was performed using a t test. During the month of June 2013, 2145 MRI examinations were performed at our center; 2702 were performed in March 2015. Neuroradiology examinations were the most common (59% in June 2013, 56% in March 2015), followed by body examinations (25% and 27%). All protocols and parameters were analyzed and streamlined for each examination, with slice thickness, TR, and echo train length among the most adjusted parameters. Mean time per examination decreased from 43.4 minutes to 36.7 minutes, and mean room time per patient decreased from 46.3 to 43.6 minutes (p = 0.009). Potential revenue from increased throughput may yield up to $3 million yearly (at $800 net revenue per scan) or produce cost savings if the facility can reduce staffed scanner hours or the number of scanners in its fleet. Actual revenue and expense impacts depend on the facility's fixed and variable cost structure, payer contracts, MRI fleet composition

  4. Improvement of home composting process of food waste using different minerals.

    PubMed

    Margaritis, M; Psarras, K; Panaretou, V; Thanos, A G; Malamis, D; Sotiropoulos, A

    2018-03-01

    This article presents the experimental study of the process of composting in a prototype home-scale system with a special focus on process improvement by using different additives (i.e. woodchips, perlite, vermiculite and zeolite). The interventions with different bulking agents were realized through composting cycles using substrates with 10% additives in specific mixtures of kitchen waste materials. The pre-selected proportion of the mixtures examined was 3:1:1 in cellulosic:proteins:carbohydrates, in order to achieve an initial C/N ratio equal to 30. The control of the initial properties of the examined substrates aimed at the consequent improvement of the properties of the final product (compost). The results indicated that composting process was enhanced with the use of additives and especially the case of zeolite and perlite provided the best results, in terms of efficient temperature evolution (>55 °C for 4 consecutive days). Carbon to nitrogen ratios decreased by 40% from the initial values for the reactors were minerals were added, while for the bioreactor tested with woodchips the reduction was slight, showing slowest degradation rate. Moisture content of produced compost varied within the range of 55-64% d.m., while nutrient content (K, Na, Ca, Mg) was in accordance with the limit values reported in literature. Finally, the composts obtained, exhibited a satisfactory degree of maturity, fulfilling the criterion related to the absence of phytotoxic compounds. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. Co-processing as a tool to improve aqueous dispersibility of cellulose ethers.

    PubMed

    Sharma, Payal; Modi, Sameer R; Bansal, Arvind K

    2015-01-01

    Cellulose ethers are important materials with numerous applications in pharmaceutical industry. They are widely employed as stabilizers and viscosity enhancers for dispersed systems, binders in granulation process and as film formers for tablets. These polymers, however, exhibit challenge during preparation of their aqueous dispersions. Rapid hydration of their surfaces causes formation of a gel that prevents water from reaching the inner core of the particle. Moreover, the surfaces of these particles become sticky, thus leading to agglomeration, eventually reducing their dispersion kinetics. Numerous procedures have been tested to improve dispersibility of cellulose ethers. These include the use of cross-linking agents, alteration in the synthesis process, adjustment of water content of cellulose ether, modification by attaching hydrophobic substituents and co-processing using various excipients. Among these, co-processing has provided the most encouraging results. This review focuses on the molecular mechanisms responsible for the poor dispersibility of cellulose ethers and the role of co-processing technologies in overcoming the challenge. An attempt has been made to highlight various co-processing techniques and specific role of excipients used for co-processing.

  6. [Applying healthcare failure mode and effect analysis to improve the surgical specimen transportation process and rejection rate].

    PubMed

    Hu, Pao-Hsueh; Hu, Hsiao-Chen; Huang, Hui-Ju; Chao, Hui-Lin; Lei, Ei-Fang

    2014-04-01

    Because surgical pathology specimens are crucial to the diagnosis and treatment of disease, it is critical that they be collected and transported safely and securely. Due to recent near-miss events in our department, we used the healthcare failure model and effect analysis to identify 14 potential perils in the specimen collection and transportation process. Improvement and prevention strategies were developed accordingly to improve quality of care. Using health care failure mode and effect analysis (HFMEA) may improve the surgical specimen transportation process and reduce the rate of surgical specimen rejection. Rectify standard operating procedures for surgical pathology specimen collection and transportation. Create educational videos and posters. Rectify methods of specimen verification. Organize and create an online and instantaneous management system for specimen tracking and specimen rejection. Implementation of the new surgical specimen transportation process effectively eliminated the 14 identified potential perils. In addition, the specimen rejection fell from 0.86% to 0.03%. This project was applied to improve the specimen transportation process, enhance interdisciplinary cooperation, and improve the patient-centered healthcare system. The creation and implementation of an online information system significantly facilitates specimen tracking, hospital cost reductions, and patient safety improvements. The success in our department is currently being replicated across all departments in our hospital that transport specimens. Our experience and strategy may be applied to inter-hospital specimen transportation in the future.

  7. Improving patient access and streamlining processes through enterprise intelligence systems.

    PubMed

    Dunn, Ronald L

    2014-01-01

    This article demonstrates how enterprise intelligence systems can be used to improve operational efficiency in hospitals. Enterprise intelligence systems mine raw data from disparate systems and transform the data into actionable information, which when used appropriately, support streamlined processes, optimize resources, and positively affect staff efficiency and the quality of patient care. Case studies on the implementation of McKesson Performance Visibility and Capacity Planner enterprise intelligence solutions at the Southlake Regional Health Centre and Lions Gate and Richmond Hospitals are provided.

  8. Improvement of Organizational Performance and Instructional Design: An Analogy Based on General Principles of Natural Information Processing Systems

    ERIC Educational Resources Information Center

    Darabi, Aubteen; Kalyuga, Slava

    2012-01-01

    The process of improving organizational performance through designing systemic interventions has remarkable similarities to designing instruction for improving learners' performance. Both processes deal with subjects (learners and organizations correspondingly) with certain capabilities that are exposed to novel information designed for producing…

  9. Enhancement of MS Signal Processing For Improved Cancer Biomarker Discovery

    NASA Astrophysics Data System (ADS)

    Si, Qian

    Technological advances in proteomics have shown great potential in detecting cancer at the earliest stages. One way is to use the time of flight mass spectroscopy to identify biomarkers, or early disease indicators related to the cancer. Pattern analysis of time of flight mass spectra data from blood and tissue samples gives great hope for the identification of potential biomarkers among the complex mixture of biological and chemical samples for the early cancer detection. One of the keys issues is the pre-processing of raw mass spectra data. A lot of challenges need to be addressed: unknown noise character associated with the large volume of data, high variability in the mass spectroscopy measurements, and poorly understood signal background and so on. This dissertation focuses on developing statistical algorithms and creating data mining tools for computationally improved signal processing for mass spectrometry data. I have introduced an advanced accurate estimate of the noise model and a half-supervised method of mass spectrum data processing which requires little knowledge about the data.

  10. Graphics Processing Unit (GPU) implementation of image processing algorithms to improve system performance of the Control, Acquisition, Processing, and Image Display System (CAPIDS) of the Micro-Angiographic Fluoroscope (MAF).

    PubMed

    Vasan, S N Swetadri; Ionita, Ciprian N; Titus, A H; Cartwright, A N; Bednarek, D R; Rudin, S

    2012-02-23

    We present the image processing upgrades implemented on a Graphics Processing Unit (GPU) in the Control, Acquisition, Processing, and Image Display System (CAPIDS) for the custom Micro-Angiographic Fluoroscope (MAF) detector. Most of the image processing currently implemented in the CAPIDS system is pixel independent; that is, the operation on each pixel is the same and the operation on one does not depend upon the result from the operation on the other, allowing the entire image to be processed in parallel. GPU hardware was developed for this kind of massive parallel processing implementation. Thus for an algorithm which has a high amount of parallelism, a GPU implementation is much faster than a CPU implementation. The image processing algorithm upgrades implemented on the CAPIDS system include flat field correction, temporal filtering, image subtraction, roadmap mask generation and display window and leveling. A comparison between the previous and the upgraded version of CAPIDS has been presented, to demonstrate how the improvement is achieved. By performing the image processing on a GPU, significant improvements (with respect to timing or frame rate) have been achieved, including stable operation of the system at 30 fps during a fluoroscopy run, a DSA run, a roadmap procedure and automatic image windowing and leveling during each frame.

  11. SLIPTA e-Tool improves laboratory audit process in Vietnam and Cambodia.

    PubMed

    Nguyen, Thuong T; McKinney, Barbara; Pierson, Antoine; Luong, Khue N; Hoang, Quynh T; Meharwal, Sandeep; Carvalho, Humberto M; Nguyen, Cuong Q; Nguyen, Kim T; Bond, Kyle B

    2014-01-01

    The Stepwise Laboratory Quality Improvement Process Towards Accreditation (SLIPTA) checklist is used worldwide to drive quality improvement in laboratories in developing countries and to assess the effectiveness of interventions such as the Strengthening Laboratory Management Toward Accreditation (SLMTA) programme. However, the paper-based format of the checklist makes administration cumbersome and limits timely analysis and communication of results. In early 2012, the SLMTA team in Vietnam developed an electronic SLIPTA checklist tool. The e-Tool was pilot tested in Vietnam in mid-2012 and revised. It was used during SLMTA implementation in Vietnam and Cambodia in 2012 and 2013 and further revised based on auditors' feedback about usability. The SLIPTA e-Tool enabled rapid turn-around of audit results, reduced workload and language barriers and facilitated analysis of national results. Benefits of the e-Tool will be magnified with in-country scale-up of laboratory quality improvement efforts and potential expansion to other countries.

  12. SLIPTA e-Tool improves laboratory audit process in Vietnam and Cambodia

    PubMed Central

    Nguyen, Thuong T.; McKinney, Barbara; Pierson, Antoine; Luong, Khue N.; Hoang, Quynh T.; Meharwal, Sandeep; Carvalho, Humberto M.; Nguyen, Cuong Q.; Nguyen, Kim T.

    2014-01-01

    Background The Stepwise Laboratory Quality Improvement Process Towards Accreditation (SLIPTA) checklist is used worldwide to drive quality improvement in laboratories in developing countries and to assess the effectiveness of interventions such as the Strengthening Laboratory Management Toward Accreditation (SLMTA) programme. However, the paper-based format of the checklist makes administration cumbersome and limits timely analysis and communication of results. Development of e-Tool In early 2012, the SLMTA team in Vietnam developed an electronic SLIPTA checklist tool. The e-Tool was pilot tested in Vietnam in mid-2012 and revised. It was used during SLMTA implementation in Vietnam and Cambodia in 2012 and 2013 and further revised based on auditors’ feedback about usability. Outcomes The SLIPTA e-Tool enabled rapid turn-around of audit results, reduced workload and language barriers and facilitated analysis of national results. Benefits of the e-Tool will be magnified with in-country scale-up of laboratory quality improvement efforts and potential expansion to other countries. PMID:29043190

  13. Preparing systems engineering and computing science students in disciplined methods, quantitative, and advanced statistical techniques to improve process performance

    NASA Astrophysics Data System (ADS)

    McCray, Wilmon Wil L., Jr.

    The research was prompted by a need to conduct a study that assesses process improvement, quality management and analytical techniques taught to students in U.S. colleges and universities undergraduate and graduate systems engineering and the computing science discipline (e.g., software engineering, computer science, and information technology) degree programs during their academic training that can be applied to quantitatively manage processes for performance. Everyone involved in executing repeatable processes in the software and systems development lifecycle processes needs to become familiar with the concepts of quantitative management, statistical thinking, process improvement methods and how they relate to process-performance. Organizations are starting to embrace the de facto Software Engineering Institute (SEI) Capability Maturity Model Integration (CMMI RTM) Models as process improvement frameworks to improve business processes performance. High maturity process areas in the CMMI model imply the use of analytical, statistical, quantitative management techniques, and process performance modeling to identify and eliminate sources of variation, continually improve process-performance; reduce cost and predict future outcomes. The research study identifies and provides a detail discussion of the gap analysis findings of process improvement and quantitative analysis techniques taught in U.S. universities systems engineering and computing science degree programs, gaps that exist in the literature, and a comparison analysis which identifies the gaps that exist between the SEI's "healthy ingredients " of a process performance model and courses taught in U.S. universities degree program. The research also heightens awareness that academicians have conducted little research on applicable statistics and quantitative techniques that can be used to demonstrate high maturity as implied in the CMMI models. The research also includes a Monte Carlo simulation optimization

  14. Human Factors Operability Timeline Analysis to Improve the Processing Flow of the Orion Spacecraft

    NASA Technical Reports Server (NTRS)

    Schlierf, Roland; Stambolian, Damon B.; Miller, Darcy; Posanda, Juan; Haddock, Mike; Haddad, Mike; Tran, Donald; Henderson, Gena; Barth, Tim

    2010-01-01

    The Constellation Program (CxP) Orion vehicle goes through several areas and stages of processing before its launched at the Kennedy Space Center. In order to have efficient and effective processing, all of the activities need to be analyzed. This was accomplished by first developing a timeline of events that included each activity, and then each activity was analyzed by operability experts and human factors experts with spacecraft processing experience. This papers focus is to explain the results and the process for developing this human factors operability timeline analysis to improve the processing flow of Orion.

  15. Integrating utilization-focused evaluation with business process modeling for clinical research improvement.

    PubMed

    Kagan, Jonathan M; Rosas, Scott; Trochim, William M K

    2010-10-01

    New discoveries in basic science are creating extraordinary opportunities to design novel biomedical preventions and therapeutics for human disease. But the clinical evaluation of these new interventions is, in many instances, being hindered by a variety of legal, regulatory, policy and operational factors, few of which enhance research quality, the safety of study participants or research ethics. With the goal of helping increase the efficiency and effectiveness of clinical research, we have examined how the integration of utilization-focused evaluation with elements of business process modeling can reveal opportunities for systematic improvements in clinical research. Using data from the NIH global HIV/AIDS clinical trials networks, we analyzed the absolute and relative times required to traverse defined phases associated with specific activities within the clinical protocol lifecycle. Using simple median duration and Kaplan-Meyer survival analysis, we show how such time-based analyses can provide a rationale for the prioritization of research process analysis and re-engineering, as well as a means for statistically assessing the impact of policy modifications, resource utilization, re-engineered processes and best practices. Successfully applied, this approach can help researchers be more efficient in capitalizing on new science to speed the development of improved interventions for human disease.

  16. Staff Training for Business Process Improvement: The Benefit of Role-Plays in the Case of KreditSim

    ERIC Educational Resources Information Center

    Borner, Rene; Moormann, Jurgen; Wang, Minhong

    2012-01-01

    Purpose: The paper aims to explore staff's experience with role-plays using the example of training bank employees in Six Sigma as a major methodology for business process improvement. Design/methodology/approach: The research is based on a case study. A role-play, KreditSim, is used to simulate a loan approval process that has to be improved by…

  17. Process for improving moisture resistance of epoxy resins by addition of chromium ions

    NASA Technical Reports Server (NTRS)

    St.clair, A. K.; Stoakley, D. M.; St.clair, T. L.; Singh, J. J. (Inventor)

    1985-01-01

    A process for improving the moisture resistance properties of epoxidized TGMDA and DGEBA resin system by chemically incorporating chromium ions is described. The addition of chromium ions is believed to prevent the absorption of water molecules.

  18. An Improved Experimental Method for Simulating Erosion Processes by Concentrated Channel Flow

    PubMed Central

    Chen, Xiao-Yan; Zhao, Yu; Mo, Bin; Mi, Hong-Xing

    2014-01-01

    Rill erosion is an important process that occurs on hill slopes, including sloped farmland. Laboratory simulations have been vital to understanding rill erosion. Previous experiments obtained sediment yields using rills of various lengths to get the sedimentation process, which disrupted the continuity of the rill erosion process and was time-consuming. In this study, an improved experimental method was used to measure the rill erosion processes by concentrated channel flow. By using this method, a laboratory platform, 12 m long and 3 m wide, was used to construct rills of 0.1 m wide and 12 m long for experiments under five slope gradients (5, 10, 15, 20, and 25 degrees) and three flow rates (2, 4, and 8 L min−1). Sediment laden water was simultaneously sampled along the rill at locations 0.5 m, 1 m, 2 m, 3 m, 4 m, 5 m, 6 m, 7 m, 8 m, 10 m, and 12 m from the water inlet to determine the sediment concentration distribution. The rill erosion process measured by the method used in this study and that by previous experimental methods are approximately the same. The experimental data indicated that sediment concentrations increase with slope gradient and flow rate, which highlights the hydraulic impact on rill erosion. Sediment concentration increased rapidly at the initial section of the rill, and the rate of increase in sediment concentration reduced with the rill length. Overall, both experimental methods are feasible and applicable. However, the method proposed in this study is more efficient and easier to operate. This improved method will be useful in related research. PMID:24949621

  19. Improving tablet coating robustness by selecting critical process parameters from retrospective data.

    PubMed

    Galí, A; García-Montoya, E; Ascaso, M; Pérez-Lozano, P; Ticó, J R; Miñarro, M; Suñé-Negre, J M

    2016-09-01

    Although tablet coating processes are widely used in the pharmaceutical industry, they often lack adequate robustness. Up-scaling can be challenging as minor changes in parameters can lead to varying quality results. To select critical process parameters (CPP) using retrospective data of a commercial product and to establish a design of experiments (DoE) that would improve the robustness of the coating process. A retrospective analysis of data from 36 commercial batches. Batches were selected based on the quality results generated during batch release, some of which revealed quality deviations concerning the appearance of the coated tablets. The product is already marketed and belongs to the portfolio of a multinational pharmaceutical company. The Statgraphics 5.1 software was used for data processing to determine critical process parameters in order to propose new working ranges. This study confirms that it is possible to determine the critical process parameters and create design spaces based on retrospective data of commercial batches. This type of analysis is thus converted into a tool to optimize the robustness of existing processes. Our results show that a design space can be established with minimum investment in experiments, since current commercial batch data are processed statistically.

  20. Using the Virtual Reality-Cognitive Rehabilitation Approach to Improve Contextual Processing in Children with Autism

    PubMed Central

    Reid, Denise

    2013-01-01

    Background. This pilot study investigated the efficacy of a novel virtual reality-cognitive rehabilitation (VR-CR) intervention to improve contextual processing of objects in children with autism. Previous research supports that children with autism show deficits in contextual processing, as well as deficits in its elementary components: abstraction and cognitive flexibility. Methods. Four children with autism participated in a multiple-baseline, single-subject study. The children were taught how to see objects in context by reinforcing attention to pivotal contextual information. Results. All children demonstrated statistically significant improvements in contextual processing and cognitive flexibility. Mixed results were found on the control test and changes in context-related behaviours. Conclusions. Larger-scale studies are warranted to determine the effectiveness and usability in comprehensive educational programs. PMID:24324379