Sample records for quality epitaxial thin

  1. Epitaxial thin films

    DOEpatents

    Hunt, Andrew Tye; Deshpande, Girish; Lin, Wen-Yi; Jan, Tzyy-Jiuan

    2006-04-25

    Epitatial thin films for use as buffer layers for high temperature superconductors, electrolytes in solid oxide fuel cells (SOFC), gas separation membranes or dielectric material in electronic devices, are disclosed. By using CCVD, CACVD or any other suitable deposition process, epitaxial films having pore-free, ideal grain boundaries, and dense structure can be formed. Several different types of materials are disclosed for use as buffer layers in high temperature superconductors. In addition, the use of epitaxial thin films for electrolytes and electrode formation in SOFCs results in densification for pore-free and ideal gain boundary/interface microstructure. Gas separation membranes for the production of oxygen and hydrogen are also disclosed. These semipermeable membranes are formed by high-quality, dense, gas-tight, pinhole free sub-micro scale layers of mixed-conducting oxides on porous ceramic substrates. Epitaxial thin films as dielectric material in capacitors are also taught herein. Capacitors are utilized according to their capacitance values which are dependent on their physical structure and dielectric permittivity. The epitaxial thin films of the current invention form low-loss dielectric layers with extremely high permittivity. This high permittivity allows for the formation of capacitors that can have their capacitance adjusted by applying a DC bias between their electrodes.

  2. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  3. Epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin-film epitaxial growth. The unique LEO space environment is expected to yield 10-ftorr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume (about 100 cu m) without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and throughput of epitaxially grown materials, including semiconductors, magnetic materials, and thin-film high-temperature superconductors.

  4. A proposal for epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials. Advanced thin film materials to be epitaxially grown in space include semiconductors, magnetic materials, and thin film high temperature superconductors.

  5. Thermoelectric properties of epitaxial β-FeSi2 thin films grown on Si(111) substrates with various film qualities

    NASA Astrophysics Data System (ADS)

    Watanabe, Kentaro; Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Suzuki, Takeyuki; Fujita, Takeshi; Nakamura, Yoshiaki

    2017-05-01

    Si-based epitaxial β-FeSi2 thin films are attractive as materials for on-chip thermoelectric power generators. We investigated the structure, crystallinity, and thermoelectric properties of β-FeSi2 thin films epitaxially grown on Si(111) substrates by using three different techniques: conventional reactive deposition epitaxy followed by molecular beam epitaxy (RDE+MBE), solid phase epitaxy (SPE) based on codeposition of Fe and Si presented previously, and SPE followed by MBE (SPE+MBE) presented newly by this work. Their epitaxial growth temperatures were fixed at 530 °C for comparison. RDE+MBE thin films exhibited high crystalline quality, but rough surfaces and rugged β-FeSi2/Si(111) interfaces. On the other hand, SPE thin films showed flat surfaces and abrupt β-FeSi2/Si(111) interfaces but low crystallinity. We found that SPE+MBE thin films realized crystallinity higher than SPE thin films, and also had flatter surfaces and sharper interfaces than RDE+MBE thin films. In SPE+MBE thin film growth, due to the initial SPE process with low temperature codeposition, thermal interdiffusion of Fe and Si was suppressed, resulting in the surface flatness and abrupt interface. Second high temperature MBE process improved the crystallinity. We also investigated thermoelectric properties of these β-FeSi2 thin films. Structural factors affecting the thermoelectric properties of RDE+MBE, SPE, and SPE+MBE thin films were investigated.

  6. Epitaxial thinning process

    NASA Technical Reports Server (NTRS)

    Siegel, C. M. (Inventor)

    1984-01-01

    A method is described for thinning an epitaxial layer of a wafer that is to be used in producing diodes having a specified breakdown voltage and which also facilitates the thinning process. Current is passed through the epitaxial layer, by connecting a current source between the substrate of the wafer and an electrolyte in which the wafer is immersed. When the wafer is initially immersed, the voltage across the wafer initially drops and then rises at a steep rate. When light is applied to the wafer the voltage drops, and when the light is interrupted the voltage rises again. These changes in voltage, each indicate the breakdown voltage of a Schottky diode that could be prepared from the wafer at that time. The epitaxial layer is thinned by continuing to apply current through the wafer while it is immersed and light is applied, to form an oxide film and when the oxide film is thick the wafer can then be cleaned of oxide and the testing and thinning continued. Uninterrupted thinning can be achieved by first forming an oxide film, and then using an electrolyte that dissolves the oxide about as fast as it is being formed, to limit the thickness of the oxide layer.

  7. Commercial aspects of epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultra vacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds and large ultra vacuum volume (about 100 cu m) without walls. These space ultra vacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials especially in the area of semiconductors for microelectronics use. For such thin film materials there is expected a very large value added from space ultra vacuum processing, and as a result the application of the epitaxial thin film growth technology to space could lead to major commercial efforts in space.

  8. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  9. Enhanced magnetic and thermoelectric properties in epitaxial polycrystalline SrRuO3 thin films.

    PubMed

    Woo, Sungmin; Lee, Sang A; Mun, Hyeona; Choi, Young Gwan; Zhung, Chan June; Shin, Soohyeon; Lacotte, Morgane; David, Adrian; Prellier, Wilfrid; Park, Tuson; Kang, Won Nam; Lee, Jong Seok; Kim, Sung Wng; Choi, Woo Seok

    2018-03-01

    Transition metal oxide thin films show versatile electric, magnetic, and thermal properties which can be tailored by deliberately introducing macroscopic grain boundaries via polycrystalline solids. In this study, we focus on the modification of magnetic and thermal transport properties by fabricating single- and polycrystalline epitaxial SrRuO 3 thin films using pulsed laser epitaxy. Using the epitaxial stabilization technique with an atomically flat polycrystalline SrTiO 3 substrate, an epitaxial polycrystalline SrRuO 3 thin film with the crystalline quality of each grain comparable to that of its single-crystalline counterpart is realized. In particular, alleviated compressive strain near the grain boundaries due to coalescence is evidenced structurally, which induced the enhancement of ferromagnetic ordering of the polycrystalline epitaxial thin film. The structural variations associated with the grain boundaries further reduce the thermal conductivity without deteriorating the electronic transport, and lead to an enhanced thermoelectric efficiency in the epitaxial polycrystalline thin films, compared with their single-crystalline counterpart.

  10. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  11. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp; Micro System Integration Center; Isobe, Shigehito

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  12. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    NASA Astrophysics Data System (ADS)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  13. Thermoelectric Properties of Epitaxial β-FeSi2 Thin Films on Si(111) and Approach for Their Enhancement

    NASA Astrophysics Data System (ADS)

    Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Okuhata, Ryo; Ishibe, Takafumi; Watanabe, Kentaro; Suzuki, Takeyuki; Fujita, Takeshi; Sawano, Kentarou; Nakamura, Yoshiaki

    2017-05-01

    We have investigated the intrinsic thermoelectric properties of epitaxial β-FeSi2 thin films and the impact of phosphorus (P) doping. Epitaxial β-FeSi2 thin films with single phase were grown on Si(111) substrates by two different techniques in an ultrahigh-vacuum molecular beam epitaxy (MBE) system: solid-phase epitaxy (SPE), where iron silicide films formed by codeposition of Fe and Si at room temperature were recrystallized by annealing at 530°C to form epitaxial β-FeSi2 thin films on Si(111) substrates, and MBE of β-FeSi2 thin films on epitaxial β-FeSi2 templates formed on Si(111) by reactive deposition epitaxy (RDE) at 530°C (RDE + MBE). Epitaxial SPE thin films based on codeposition had a flatter surface and more abrupt β-FeSi2/Si(111) interface than epitaxial RDE + MBE thin films. We investigated the intrinsic thermoelectric properties of the epitaxial β-FeSi2 thin films on Si(111), revealing lower thermal conductivity and higher electrical conductivity compared with bulk β-FeSi2. We also investigated the impact of doping on the Seebeck coefficient of bulk and thin-film β-FeSi2. A route to enhance the thermoelectric performance of β-FeSi2 is proposed, based on (1) fabrication of thin-film structures for high electrical conductivity and low thermal conductivity, and (2) proper choice of doping for high Seebeck coefficient.

  14. Atomically Defined Templates for Epitaxial Growth of Complex Oxide Thin Films

    PubMed Central

    Dral, A. Petra; Dubbink, David; Nijland, Maarten; ten Elshof, Johan E.; Rijnders, Guus; Koster, Gertjan

    2014-01-01

    Atomically defined substrate surfaces are prerequisite for the epitaxial growth of complex oxide thin films. In this protocol, two approaches to obtain such surfaces are described. The first approach is the preparation of single terminated perovskite SrTiO3 (001) and DyScO3 (110) substrates. Wet etching was used to selectively remove one of the two possible surface terminations, while an annealing step was used to increase the smoothness of the surface. The resulting single terminated surfaces allow for the heteroepitaxial growth of perovskite oxide thin films with high crystalline quality and well-defined interfaces between substrate and film. In the second approach, seed layers for epitaxial film growth on arbitrary substrates were created by Langmuir-Blodgett (LB) deposition of nanosheets. As model system Ca2Nb3O10- nanosheets were used, prepared by delamination of their layered parent compound HCa2Nb3O10. A key advantage of creating seed layers with nanosheets is that relatively expensive and size-limited single crystalline substrates can be replaced by virtually any substrate material. PMID:25549000

  15. van der Waals epitaxial ZnTe thin film on single-crystalline graphene

    NASA Astrophysics Data System (ADS)

    Sun, Xin; Chen, Zhizhong; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Washington, Morris; Lu, Toh-Ming

    2018-01-01

    Graphene template has long been promoted as a promising host to support van der Waals flexible electronics. However, van der Waals epitaxial growth of conventional semiconductors in planar thin film form on transferred graphene sheets is challenging because the nucleation rate of film species on graphene is significantly low due to the passive surface of graphene. In this work, we demonstrate the epitaxy of zinc-blende ZnTe thin film on single-crystalline graphene supported by an amorphous glass substrate. Given the amorphous nature and no obvious remote epitaxy effect of the glass substrate, this study clearly proves the van der Waals epitaxy of a 3D semiconductor thin film on graphene. X-ray pole figure analysis reveals the existence of two ZnTe epitaxial orientational domains on graphene, a strong X-ray intensity observed from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [10] orientation domain, and a weaker intensity from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [11] orientation domain. Furthermore, this study systematically investigates the optoelectronic properties of this epitaxial ZnTe film on graphene using temperature-dependent Raman spectroscopy, steady-state and time-resolved photoluminescence spectroscopy, and fabrication and characterization of a ZnTe-graphene photodetector. The research suggests an effective approach towards graphene-templated flexible electronics.

  16. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  17. Tuning the Curie temperature of epitaxial Nd0.6Sr0.4MnO3 thin films

    NASA Astrophysics Data System (ADS)

    Bhat, Shwetha G.; Kumar, P. S. Anil

    2018-02-01

    NdxSr1-xMnO3 (0.2 ≤ x ≤ 0.5) systems are widely studied in magnetism, popular for high colossal magnetoresistance and are ferromagnetic oxides with TC ranging from 200 K to 300 K. Recently, many of such compounds are re-visited for exploring the correlation of spin, charge and lattice degrees of freedom. Although, manganite thin films are the ideal candidates for studying the electron-correlation effects, the puzzle of obtaining a high quality epitaxial thin films of NdxSr1-xMnO3 are still unsolved contrary to its sister compound LaxSr1-xMnO3. Hence, in this study, we demonstrate the growth of best quality of Nd0.6Sr0.4MnO3 (NSMO) epitaxial thin films. This is evident from the TC and a sharp insulator-to-metal transition (IMT) coinciding at as high as ∼255 K against the bulk TC (∼270 K). It is the highest reported TC in Nd0.6Sr0.4MnO3 thin films to date. Moreover, as-deposited films with in situ oxygen annealing are not enough to relax the lattice of NSMO films due to the significant Jahn-Teller distortion in the film. With ex situ annealing processes alongside the various deposition and in situ annealing conditions, we have extensively studied the growth of epitaxial NSMO thin films on LaAlO3 (0 0 1) and SrTiO3 (0 0 1) to investigate the evolution of lattice and its one-to-one correspondence with the magnetism and the electrical properties of thin films. Accordingly, the enhanced magnetization, reduced resistivity and the higher TC and IMT of the NSMO films obtained from our extensive growth analysis looks promising for the future applications across the TC and IMT.

  18. van der Waals epitaxy of CdTe thin film on graphene

    NASA Astrophysics Data System (ADS)

    Mohanty, Dibyajyoti; Xie, Weiyu; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Zhang, Shengbai; Wang, Gwo-Ching; Lu, Toh-Ming; Bhat, Ishwara B.

    2016-10-01

    van der Waals epitaxy (vdWE) facilitates the epitaxial growth of materials having a large lattice mismatch with the substrate. Although vdWE of two-dimensional (2D) materials on 2D materials have been extensively studied, the vdWE for three-dimensional (3D) materials on 2D substrates remains a challenge. It is perceived that a 2D substrate passes little information to dictate the 3D growth. In this article, we demonstrated the vdWE growth of the CdTe(111) thin film on a graphene buffered SiO2/Si substrate using metalorganic chemical vapor deposition technique, despite a 46% large lattice mismatch between CdTe and graphene and a symmetry change from cubic to hexagonal. Our CdTe films produce a very narrow X-ray rocking curve, and the X-ray pole figure analysis showed 12 CdTe (111) peaks at a chi angle of 70°. This was attributed to two sets of parallel epitaxy of CdTe on graphene with a 30° relative orientation giving rise to a 12-fold symmetry in the pole figure. First-principles calculations reveal that, despite the relatively small energy differences, the graphene buffer layer does pass epitaxial information to CdTe as the parallel epitaxy, obtained in the experiment, is energetically favored. The work paves a way for the growth of high quality CdTe film on a large area as well as on the amorphous substrates.

  19. Optical and electro-optic anisotropy of epitaxial PZT thin films

    NASA Astrophysics Data System (ADS)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  20. Molecular beam epitaxial growth, transmittance and photoluminescence spectra of zinc-blende CdTe thin films with high-quality on perovskite SrTiO3 (1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Song, Kun; Zhu, Xuanting; Tang, Kai; Bai, W.; Zhu, Liangqing; Yang, Jing; Zhang, Yuanyuan; Tang, Xiaodong; Chu, Junhao

    2018-03-01

    High-crystalline quality CdTe thin films are grown on the largely lattice-mismatched SrTiO3 (STO) (1 1 1) substrates by molecular beam epitaxy. A transformation from a three dimensional regime to a two dimensional one is observed by the reflection high energy electron diffraction (RHEED) and atomic force microscopy (AFM). The formation of an elastic deformation CdTe layer on STO (1 1 1), namely a pseudomorphic growth mode with a critical thickness of ∼40 nm, is supported by the RHEED, AFM and X-ray diffraction. Crystal structures and epitaxial relationships of CdTe epitaxial films on STO (1 1 1) are characterized by 2θ-ω scans and reciprocal space mapping. Two strong absorption peaks at the energies of ∼1.621 eV and ∼1.597 eV at 5 K are clearly observed for a ∼120 nm thick CdTe epitaxial film, which are proposed to be ascribed to the strained and unstrained epitaxial CdTe layers, respectively. Moreover, the presence of the exciton band while the absence of deep level defect states for the ∼120 nm thick CdTe film characterized by the temperature dependent photoluminescence spectra further supports the high-crystalline quality.

  1. Single-Crystal Thin Films of Cesium Lead Bromide Perovskite Epitaxially Grown on Metal Oxide Perovskite (SrTiO 3)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jie; Morrow, Darien J.; Fu, Yongping

    High-quality metal halide perovskite single crystals have low defect densities and excellent photophysical properties, yet thin films are the most sought after material geometry for optoelectronic devices. Perovskite single-crystal thin films (SCTFs) would be highly desirable for high-performance devices, but their growth remains challenging, particularly for inorganic metal halide perovskites. Herein, we report the facile vapor-phase epitaxial growth of cesium lead bromide perovskite (CsPbBr 3) continuous SCTFs with controllable micrometer thickness, as well as nanoplate arrays, on traditional oxide perovskite SrTiO 3(100) substrates. Heteroepitaxial single-crystal growth is enabled by the serendipitous incommensurate lattice match between these two perovskites, and overcomingmore » the limitation of island-forming Volmer–Weber crystal growth is critical for growing large-area continuous thin films. Time-resolved photoluminescence, transient reflection spectroscopy, and electrical transport measurements show that the CsPbBr 3 epitaxial thin film has a slow charge carrier recombination rate, low surface recombination velocity (10 4 cm s –1), and low defect density of 10 12 cm –3, which are comparable to those of CsPbBr 3 single crystals. This work suggests a general approach using oxide perovskites as substrates for heteroepitaxial growth of halide perovskites. Furthermore, the high-quality halide perovskite SCTFs epitaxially integrated with multifunctional oxide perovskites could open up opportunities for a variety of high-performance optoelectronics devices.« less

  2. Single-Crystal Thin Films of Cesium Lead Bromide Perovskite Epitaxially Grown on Metal Oxide Perovskite (SrTiO 3)

    DOE PAGES

    Chen, Jie; Morrow, Darien J.; Fu, Yongping; ...

    2017-09-05

    High-quality metal halide perovskite single crystals have low defect densities and excellent photophysical properties, yet thin films are the most sought after material geometry for optoelectronic devices. Perovskite single-crystal thin films (SCTFs) would be highly desirable for high-performance devices, but their growth remains challenging, particularly for inorganic metal halide perovskites. Herein, we report the facile vapor-phase epitaxial growth of cesium lead bromide perovskite (CsPbBr 3) continuous SCTFs with controllable micrometer thickness, as well as nanoplate arrays, on traditional oxide perovskite SrTiO 3(100) substrates. Heteroepitaxial single-crystal growth is enabled by the serendipitous incommensurate lattice match between these two perovskites, and overcomingmore » the limitation of island-forming Volmer–Weber crystal growth is critical for growing large-area continuous thin films. Time-resolved photoluminescence, transient reflection spectroscopy, and electrical transport measurements show that the CsPbBr 3 epitaxial thin film has a slow charge carrier recombination rate, low surface recombination velocity (10 4 cm s –1), and low defect density of 10 12 cm –3, which are comparable to those of CsPbBr 3 single crystals. This work suggests a general approach using oxide perovskites as substrates for heteroepitaxial growth of halide perovskites. Furthermore, the high-quality halide perovskite SCTFs epitaxially integrated with multifunctional oxide perovskites could open up opportunities for a variety of high-performance optoelectronics devices.« less

  3. Process for forming epitaxial perovskite thin film layers using halide precursors

    DOEpatents

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  4. Epitaxial ternary nitride thin films prepared by a chemical solution method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Hongmei; Feldmann, David M; Wang, Haiyan

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  5. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  6. Role of microstructures on the M1-M2 phase transition in epitaxial VO2 thin films

    PubMed Central

    Ji, Yanda; Zhang, Yin; Gao, Min; Yuan, Zhen; Xia, Yudong; Jin, Changqing; Tao, Bowan; Chen, Chonglin; Jia, Quanxi; Lin, Yuan

    2014-01-01

    Vanadium dioxide (VO2) with its unique sharp resistivity change at the metal-insulator transition (MIT) has been extensively considered for the near-future terahertz/infrared devices and energy harvesting systems. Controlling the epitaxial quality and microstructures of vanadium dioxide thin films and understanding the metal-insulator transition behaviors are therefore critical to novel device development. The metal-insulator transition behaviors of the epitaxial vanadium dioxide thin films deposited on Al2O3 (0001) substrates were systematically studied by characterizing the temperature dependency of both Raman spectrum and Fourier transform infrared spectroscopy. Our findings on the correlation between the nucleation dynamics of intermediate monoclinic (M2) phase with microstructures will open a new avenue for the design and integration of advanced heterostructures with controllable multifunctionalities for sensing and imaging system applications. PMID:24798056

  7. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    NASA Astrophysics Data System (ADS)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  8. Ferroelectricity in epitaxial Y-doped HfO2 thin film integrated on Si substrate

    NASA Astrophysics Data System (ADS)

    Lee, K.; Lee, T. Y.; Yang, S. M.; Lee, D. H.; Park, J.; Chae, S. C.

    2018-05-01

    We report on the ferroelectricity of a Y-doped HfO2 thin film epitaxially grown on Si substrate, with an yttria-stabilized zirconia buffer layer pre-deposited on the substrate. Piezoresponse force microscopy results show the ferroelectric domain pattern, implying the existence of ferroelectricity in the epitaxial HfO2 film. The epitaxially stabilized HfO2 film in the form of a metal-ferroelectric-insulator-semiconductor structure exhibits ferroelectric hysteresis with a clear ferroelectric switching current in polarization-voltage measurements. The HfO2 thin film also demonstrates ferroelectric retention comparable to that of current perovskite-based metal-ferroelectric-insulator-semiconductor structures.

  9. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    PubMed

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  10. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    NASA Astrophysics Data System (ADS)

    Pergolesi, Daniele; Roddatis, Vladimir; Fabbri, Emiliana; Schneider, Christof W.; Lippert, Thomas; Traversa, Enrico; Kilner, John A.

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  11. Mechanical properties of metal-organic frameworks: An indentation study on epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Bundschuh, S.; Kraft, O.; Arslan, H. K.; Gliemann, H.; Weidler, P. G.; Wöll, C.

    2012-09-01

    We have determined the hardness and Young's modulus of a highly porous metal-organic framework (MOF) using a standard nanoindentation technique. Despite the very low density of these films, 1.22 g cm-3, Young's modulus reaches values of almost 10 GPa for HKUST-1, demonstrating that this porous coordination polymer is substantially stiffer than normal polymers. This progress in characterizing mechanical properties of MOFs has been made possible by the use of high quality, oriented thin films grown using liquid phase epitaxy on modified Au substrates.

  12. Structural phase diagram for ultra-thin epitaxial Fe 3O 4 / MgO(0 01) films: thickness and oxygen pressure dependence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alraddadi, S.; Hines, W.; Yilmaz, T.

    2016-02-19

    A systematic investigation of the thickness and oxygen pressure dependence for the structural properties of ultra-thin epitaxial magnetite (Fe 3O 4) films has been carried out; for such films, the structural properties generally differ from those for the bulk when the thickness ≤10 nm. Iron oxide ultra-thin films with thicknesses varying from 3 nm to 20 nm were grown on MgO (001) substrates using molecular beam epitaxy under different oxygen pressures ranging from 1 × 10 -7 torr to 1 × 10 -5 torr. The crystallographic and electronic structures of the films were characterized using low energy electron diffraction (LEED)more » and x-ray photoemission spectroscopy (XPS), respectively. Moreover, the quality of the epitaxial Fe 3O 4 ultra-thin films was judged by magnetic measurements of the Verwey transition, along with complementary XPS spectra. We observed that under the same growth conditions the stoichiometry of ultra-thin films under 10 nm transforms from the Fe 3O 4 phase to the FeO phase. In this work, a phase diagram based on thickness and oxygen pressure has been constructed to explain the structural phase transformation. It was found that high-quality magnetite films with thicknesses ≤20 nm formed within a narrow range of oxygen pressure. An optimal and controlled growth process is a crucial requirement for the accurate study of the magnetic and electronic properties for ultra-thin Fe 3O 4 films. Furthermore, these results are significant because they may indicate a general trend in the growth of other oxide films, which has not been previously observed or considered.« less

  13. Fabrication of high-quality single-crystal Cu thin films using radio-frequency sputtering.

    PubMed

    Lee, Seunghun; Kim, Ji Young; Lee, Tae-Woo; Kim, Won-Kyung; Kim, Bum-Su; Park, Ji Hun; Bae, Jong-Seong; Cho, Yong Chan; Kim, Jungdae; Oh, Min-Wook; Hwang, Cheol Seong; Jeong, Se-Young

    2014-08-29

    Copper (Cu) thin films have been widely used as electrodes and interconnection wires in integrated electronic circuits, and more recently as substrates for the synthesis of graphene. However, the ultra-high vacuum processes required for high-quality Cu film fabrication, such as molecular beam epitaxy (MBE), restricts mass production with low cost. In this work, we demonstrated high-quality Cu thin films using a single-crystal Cu target and radio-frequency (RF) sputtering technique; the resulting film quality was comparable to that produced using MBE, even under unfavorable conditions for pure Cu film growth. The Cu thin film was epitaxially grown on an Al2O3 (sapphire) (0001) substrate, and had high crystalline orientation along the (111) direction. Despite the 10(-3) Pa vacuum conditions, the resulting thin film was oxygen free due to the high chemical stability of the sputtered specimen from a single-crystal target; moreover, the deposited film had >5× higher adhesion force than that produced using a polycrystalline target. This fabrication method enabled Cu films to be obtained using a simple, manufacturing-friendly process on a large-area substrate, making our findings relevant for industrial applications.

  14. Chemical solution synthesis and ferromagnetic resonance of epitaxial thin films of yttrium iron garnet

    NASA Astrophysics Data System (ADS)

    Lucas, Irene; Jiménez-Cavero, Pilar; Vila-Fungueiriño, J. M.; Magén, Cesar; Sangiao, Soraya; de Teresa, José Maria; Morellón, Luis; Rivadulla, Francisco

    2017-12-01

    We report the fabrication of epitaxial Y3F e5O12 (YIG) thin films on G d3G a5O12 (111) using a chemical solution method. Cubic YIG is a ferrimagnetic material at room temperature, with excellent magneto-optical properties, high electrical resistivity, and a very narrow ferromagnetic resonance, which makes it particularly suitable for applications in filters and resonators at microwave frequencies. But these properties depend on the precise stoichiometry and distribution of F e3 + ions among the octahedral/tetrahedral sites of a complex structure, which hampered the production of high-quality YIG thin films by affordable chemical methods. Here we report the chemical solution synthesis of YIG thin films, with excellent chemical, crystalline, and magnetic homogeneity. The films show a very narrow ferromagnetic resonance (long spin relaxation time), comparable to that obtained from high-vacuum physical deposition methods. These results demonstrate that chemical methods can compete to develop nanometer-thick YIG films with the quality required for spintronic devices and other high-frequency applications.

  15. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  16. Integrating Epitaxial-Like Pb(Zr,Ti)O3 Thin-Film into Silicon for Next-Generation Ferroelectric Field-Effect Transistor

    PubMed Central

    Park, Jae Hyo; Kim, Hyung Yoon; Jang, Gil Su; Seok, Ki Hwan; Chae, Hee Jae; Lee, Sol Kyu; Kiaee, Zohreh; Joo, Seung Ki

    2016-01-01

    The development of ferroelectric random-access memory (FeRAM) technology with control of grain boundaries would result in a breakthrough for new nonvolatile memory devices. The excellent piezoelectric and electrical properties of bulk ferroelectrics are degraded when the ferroelectric is processed into thin films because the grain boundaries then form randomly. Controlling the nature of nucleation and growth are the keys to achieving a good crystalline thin-film. However, the sought after high-quality ferroelectric thin-film has so far been thought to be impossible to make, and research has been restricted to atomic-layer deposition which is extremely expensive and has poor reproducibility. Here we demonstrate a novel epitaxial-like growth technique to achieve extremely uniform and large rectangular-shaped grains in thin-film ferroelectrics by dividing the nucleation and growth phases. With this technique, it is possible to achieve 100-μm large uniform grains, even made available on Si, which is large enough to fabricate a field-effect transistor in each grain. The electrical and reliability test results, including endurance and retention test results, were superior to other FeRAMs reported so far and thus the results presented here constitute the first step toward the development of FeRAM using epitaxial-like ferroelectric thin-films. PMID:27005886

  17. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    PubMed

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  18. Single orientation graphene synthesized on iridium thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dangwal Pandey, A., E-mail: arti.pandey@desy.de; Grånäs, E.; Shayduk, R.

    Heteroepitaxial iridium thin films were deposited on (0001) sapphire substrates by means of molecular beam epitaxy, and subsequently, one monolayer of graphene was synthesized by chemical vapor deposition. The influence of the growth parameters on the quality of the Ir films, as well as of graphene, was investigated systematically by means of low energy electron diffraction, x-ray reflectivity, x-ray diffraction, Auger electron spectroscopy, scanning electron microscopy, and atomic force microscopy. Our study reveals (111) oriented iridium films with high crystalline quality and extremely low surface roughness, on which the formation of large-area epitaxial graphene is achieved. The presence of defects,more » like dislocations, twins, and 30° rotated domains in the iridium films is also discussed. The coverage of graphene was found to be influenced by the presence of 30° rotated domains in the Ir films. Low iridium deposition rates suppress these rotated domains and an almost complete coverage of graphene was obtained. This synthesis route yields inexpensive, air-stable, and large-area graphene with a well-defined orientation, making it accessible to a wider community of researchers for numerous experiments or applications, including those which use destructive analysis techniques or irreversible processes. Moreover, this approach can be used to tune the structural quality of graphene, allowing a systematic study of the influence of defects in various processes like intercalation below graphene.« less

  19. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    NASA Astrophysics Data System (ADS)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  20. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    NASA Astrophysics Data System (ADS)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  1. Polarization-Dependent Raman Spectroscopy of Epitaxial TiO 2 (B) Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jokisaari, Jacob R.; Bayerl, Dylan; Zhang, Kui

    2015-12-08

    The bronze polymorph of titanium dioxide, known as TiO 2(B), has promising photochemical and electronic properties for potential applications in Li-ion batteries, photocatalysis, chemical sensing, and solar cells. In contrast to previous studies performed with powder samples, which often suffer from impurities and lattice water, here we report Raman spectra from highly crystalline TiO 2(B) films epitaxially grown on Si substrates with a thin SrTiO 3 buffer layer. The reduced background from the Si substrate significantly benefits acquisition of polarization-dependent Raman spectra collected from the high-quality thin films, which are compared to nanopowder results reported in the literature. The experimentalmore » spectra were compared with density functional theory calculations to analyze the atomic displacements associated with each Raman-active vibrational mode. These results provide a standard reference for further investigation of the crystallinity, structure, composition, and properties of TiO 2(B) materials with Raman spectroscopy.« less

  2. Electrochemical Atomic Layer Epitaxy of Thin Film CdSe

    NASA Astrophysics Data System (ADS)

    Pham, L.; Kaleida, K.; Happek, U.; Mathe, M. K.; Vaidyanathan, R.; Stickney, J. L.; Radevic, M.

    2002-10-01

    Electrochemical atomic layer epitaxy (EC-ALE) is a current developmental technique for the fabrication of compound semiconductor thin films. The deposition of elements making up the compound utilizes surface limited reactions where the potential is less than that required for bulk growth. This growth method offers mono-atomic layer control, allowing the deposition of superlattices with sharp interfaces. Here we report on the EC-ALE formation of CdSe thin films on Au and Cu substrates using an automated flow cell system. The band gap was measured using IR absorption and photoconductivity and found to be consistent with the literature value of 1.74 eV at 300K and 1.85 eV at 20K. The stoichiometry of the thin film was confirmed with electron microprobe analysis and x-ray diffraction.

  3. Spin Seebeck effect in insulating epitaxial γ-Fe2O3 thin films

    NASA Astrophysics Data System (ADS)

    Jiménez-Cavero, P.; Lucas, I.; Anadón, A.; Ramos, R.; Niizeki, T.; Aguirre, M. H.; Algarabel, P. A.; Uchida, K.; Ibarra, M. R.; Saitoh, E.; Morellón, L.

    2017-02-01

    We report the fabrication of high crystal quality epitaxial thin films of maghemite (γ-Fe2O3), a classic ferrimagnetic insulating iron oxide. Spin Seebeck effect (SSE) measurements in γ-Fe2O3/Pt bilayers as a function of sample preparation conditions and temperature yield a SSE coefficient of 0.5(1) μV/K at room temperature. Dependence on temperature allows us to estimate the magnon diffusion length in maghemite to be in the range of tens of nanometers, in good agreement with that of conducting iron oxide magnetite (Fe3O4), establishing the relevance of spin currents of magnonic origin in magnetic iron oxides.

  4. Metallic atomically-thin layered silicon epitaxially grown on silicene/ZrB 2

    DOE PAGES

    Gill, Tobias G.; Fleurence, Antoine; Warner, Ben; ...

    2017-02-17

    We observe a new two-dimensional (2D) silicon crystal, using low energy electron diffraction (LEED) and scanning tunnelling microscopy (STM) and it's formed by depositing additional Si atoms onto spontaneously-formed epitaxial silicene on a ZrB 2 thin film. From scanning tunnelling spectroscopy (STS) studies, we find that this atomically-thin layered silicon has distinctly different electronic properties. Angle resolved photoelectron spectroscopy (ARPES) reveals that, in sharp contrast to epitaxial silicene, the layered silicon exhibits significantly enhanced density of states at the Fermi level resulting from newly formed metallic bands. Furthermore, the 2D growth of this material could allow for direct contacting tomore » the silicene surface and demonstrates the dramatic changes in electronic structure that can occur by the addition of even a single monolayer amount of material in 2D systems.« less

  5. Thermal generation of spin current in epitaxial CoFe{sub 2}O{sub 4} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Er-Jia, E-mail: ejguophysics@gmail.com, E-mail: klaeui@uni-mainz.de; Quantum Condensed Matter Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37830; Herklotz, Andreas

    2016-01-11

    The longitudinal spin Seebeck effect (LSSE) has been investigated in high-quality epitaxial CoFe{sub 2}O{sub 4} (CFO) thin films. The thermally excited spin currents in the CFO films are electrically detected in adjacent Pt layers due to the inverse spin Hall effect. The LSSE signal exhibits a linear increase with increasing temperature gradient, yielding a LSSE coefficient of ∼100 nV/K at room temperature. The temperature dependence of the LSSE is investigated from room temperature down to 30 K, showing a significant reduction at low temperatures, revealing that the total amount of thermally generated magnons decreases. Furthermore, we demonstrate that the spin Seebeck effectmore » is an effective tool to study the magnetic anisotropy induced by epitaxial strain, especially in ultrathin films with low magnetic moments.« less

  6. Positron lifetime beam for defect studies in thin epitaxial semiconductor structures

    NASA Astrophysics Data System (ADS)

    Laakso, A.; Saarinen, K.; Hautojärvi, P.

    2001-12-01

    Positron annihilation spectroscopies are methods for direct identification of vacancy-type defects by measuring positron lifetime and Doppler broadening of annihilation radiation and providing information about open volume, concentration and atoms surrounding the defect. Both these techniques are easily applied to bulk samples. Only the Doppler broadening spectroscopy can be employed in thin epitaxial samples by utilizing low-energy positron beams. Here we describe the positron lifetime beam which will provide us with a method to measure lifetime in thin semiconductor layers.

  7. Growth and characterization of β-Ga2O3 thin films by molecular beam epitaxy for deep-UV photodetectors

    NASA Astrophysics Data System (ADS)

    Ghose, Susmita; Rahman, Shafiqur; Hong, Liang; Rojas-Ramirez, Juan Salvador; Jin, Hanbyul; Park, Kibog; Klie, Robert; Droopad, Ravi

    2017-09-01

    The growth of high quality epitaxial beta-gallium oxide (β-Ga2O3) using a compound source by molecular beam epitaxy has been demonstrated on c-plane sapphire (Al2O3) substrates. The compound source provides oxidized gallium molecules in addition to oxygen when heated from an iridium crucible in a high temperature effusion cell enabling a lower heat of formation for the growth of Ga2O3, resulting in a more efficient growth process. This source also enabled the growth of crystalline β-Ga2O3 without the need for additional oxygen. The influence of the substrate temperatures on the crystal structure and quality, chemical bonding, surface morphology, and optical properties has been systematically evaluated by x-ray diffraction, scanning transmission electron microscopy, x-ray photoelectron spectroscopy, atomic force microscopy, spectroscopic ellipsometry, and UV-vis spectroscopy. Under optimized growth conditions, all films exhibited pure (" separators="|2 ¯01 ) oriented β-Ga2O3 thin films with six-fold rotational symmetry when grown on a sapphire substrate. The thin films demonstrated significant absorption in the deep-ultraviolet (UV) region with an optical bandgap around 5.0 eV and a refractive index of 1.9. A deep-UV photodetector fabricated on the high quality β-Ga2O3 thin film exhibits high resistance and small dark current (4.25 nA) with expected photoresponse for 254 nm UV light irradiation suggesting that the material grown using the compound source is a potential candidate for deep-ultraviolet photodetectors.

  8. Magnetic properties of epitaxial hexagonal HoFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Xiao, Zhuyun; Xu, Xiaoshan; Wang, Wenbin; Keavney, David; Liu, Yaohua; Cheng, X. M.

    2014-03-01

    Multiferroic materials exhibit multiple ferroic orders simultaneously and thus have great potential applications in information technology, sensing and actuation. Epitaxial hexagonal HoFeO3 (h-HFO) films are very promising candidates as multiferroic materials with room temperature ferromagnetism, because magnetic Ho3+ ions are expected to have stronger exchange interactions with Fe3+ ions than the well-studied h-LuFeO3 films. We report study of magnetic properties of epitaxial h-HFO thin films deposited using laser molecular beam epitaxy on Yttria-stabilized zirconia (YSZ) substrates. X-ray diffraction measurements confirmed the epitaxial registry and six-fold symmetry of the film. Temperature dependence of magnetization of the film measured by a Quantum Design SQUID magnetometer shows dominating paramagnetic characteristic. Element specific x-ray magnetic circular dichroism measurements performed at beamline 4-ID-C of the Advanced Photon Source show a ferromagnetic ordering of Fe and an exchange coupling between Ho3+ and Fe3+ ions. Work at BMC is supported by NSF Career award (DMR 1053854). Work at ANL is supported by US-DOE, Office of Science, BES (No. DE-AC02-06CH11357).

  9. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  10. Chemically stabilized epitaxial wurtzite-BN thin film

    NASA Astrophysics Data System (ADS)

    Vishal, Badri; Singh, Rajendra; Chaturvedi, Abhishek; Sharma, Ankit; Sreedhara, M. B.; Sahu, Rajib; Bhat, Usha; Ramamurty, Upadrasta; Datta, Ranjan

    2018-03-01

    We report on the chemically stabilized epitaxial w-BN thin film grown on c-plane sapphire by pulsed laser deposition under slow kinetic condition. Traces of no other allotropes such as cubic (c) or hexagonal (h) BN phases are present. Sapphire substrate plays a significant role in stabilizing the metastable w-BN from h-BN target under unusual PLD growth condition involving low temperature and pressure and is explained based on density functional theory calculation. The hardness and the elastic modulus of the w-BN film are 37 & 339 GPa, respectively measured by indentation along <0001> direction. The results are extremely promising in advancing the microelectronic and mechanical tooling industry.

  11. The stability of the epitaxially introduced metastable metallic structures of thin layers and multilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cadeville, M.C.

    Among the very large number of metallic thin films, sandwiches and multilayers which have been elaborated by epitaxy on various single crystalline substrates during the last decade, few new structures are reported. Limiting to the case of 3d metals, one finds with a great confidence bcc Cobalt, possibly bee Nickel and a non-compact hexagonal (hp) iron. Moreover structures existing at high temperature under ambient pressure are epitaxially stabilized at room temperature (RT) like fcc Cobalt, fcc Iron, fcc and bcc Manganese. The hcp iron which is stable under high pressure at RT would not be epitaxially stabilized at ambient pressuremore » conversely to first findings. The critical thickness of the metastable phase is generally limited to some monolayers in thin films, being slightly increased in sandwiches or multilayers, even if the phenomenological wetting criterion to build superlattices is not satisfied. No increased magnetic moment has been found up to now in the expanded lattices, contrary to band structure calculation predictions. 56 refs.« less

  12. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    NASA Astrophysics Data System (ADS)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  13. Insight into the epitaxial encapsulation of Pd catalysts in an oriented metalloporphyrin network thin film for tandem catalysis.

    PubMed

    Vohra, M Ismail; Li, De-Jing; Gu, Zhi-Gang; Zhang, Jian

    2017-06-14

    A palladium catalyst (Pd-Cs) encapsulated metalloporphyrin network PIZA-1 thin film with bifunctional properties has been developed through a modified epitaxial layer-by-layer encapsulation approach. Combining the oxidation activity of Pd-Cs and the acetalization activity of the Lewis acidic sites in the PIZA-1 thin film, this bifunctional catalyst of the Pd-Cs@PIZA-1 thin film exhibits a good catalytic activity in a one-pot tandem oxidation-acetalization reaction. Furthermore, the surface components can be controlled by ending the top layer with different precursors in the thin film preparation procedures. The catalytic performances of these thin films with different surface composites were studied under the same conditions, which showed different reaction conversions. The result revealed that the surface component can influence the catalytic performance of the thin films. This epitaxial encapsulation offers a good understanding of the tandem catalysis for thin film materials and provides useful guidance to develop new thin film materials with catalytic properties.

  14. Molecular beam epitaxy growth of SmB6+/-δ thin films

    NASA Astrophysics Data System (ADS)

    Hoffman, Jason; Saleem, Muhammad; Day, James; Bonn, Doug; Hoffman, Jennifer

    SmB6 has emerged as a leading candidate in the search for exotic topological states generated by strong interactions. The synthesis of epitaxial SmB6 thin films presents new avenues to control surface termination, thickness, and strain in this system. In this work, we use molecular beam epitaxy (MBE) to deposit SmB6+/-δ films on insulating (001)-oriented MgO substrates. We use ex-situ x-ray diffraction and magnetotransport measurements to assess the properties of the samples and compare them to previously reported values for single crystals. We also discuss the prospects of using rare-earth substitution to control the correlation strength and alter the topology of the bulk and surface electronic states.

  15. Epitaxial VO2 thin-film-based radio-frequency switches with electrical activation

    NASA Astrophysics Data System (ADS)

    Lee, Jaeseong; Lee, Daesu; Cho, Sang June; Seo, Jung-Hun; Liu, Dong; Eom, Chang-Beom; Ma, Zhenqiang

    2017-09-01

    Vanadium dioxide (VO2) is a correlated material exhibiting a sharp insulator-to-metal phase transition (IMT) caused by temperature change and/or bias voltage. We report on the demonstration of electrically triggered radio-frequency (RF) switches based on epitaxial VO2 thin films. The highly epitaxial VO2 and SnO2 template layer was grown on a (001) TiO2 substrate by pulsed laser deposition (PLD). A resistance change of the VO2 thin films of four orders of magnitude was achieved with a relatively low threshold voltage, as low as 13 V, for an IMT phase transition. VO2 RF switches also showed high-frequency responses of insertion losses of -3 dB at the on-state and return losses of -4.3 dB at the off-state over 27 GHz. Furthermore, an intrinsic cutoff frequency of 17.4 THz was estimated for the RF switches. The study on electrical IMT dynamics revealed a phase transition time of 840 ns.

  16. Kinematical calculations of RHEED intensity oscillations during the growth of thin epitaxial films

    NASA Astrophysics Data System (ADS)

    Daniluk, Andrzej

    2005-08-01

    A practical computing algorithm working in real time has been developed for calculating the reflection high-energy electron diffraction (RHEED) from the molecular beam epitaxy (MBE) growing surface. The calculations are based on the use of kinematical diffraction theory. Simple mathematical models are used for the growth simulation in order to investigate the fundamental behaviors of reflectivity change during the growth of thin epitaxial films prepared using MBE. Program summaryTitle of program:GROWTH Catalogue identifier:ADVL Program summary URL:http://cpc.cs.qub.ac.uk/summaries/ADVL Program obtainable from: CPC Program Library, Queen's University of Belfast, N. Ireland Distribution format: tar.gz Computer for which the program is designed and others on which is has been tested:Pentium-based PC Operating systems or monitors under which the program has been tested:Windows 9x, XP, NT Programming language used:Object Pascal Memory required to execute with typical data:more than 1 MB Number of bits in a word: 64 bits Number of processors used: 1 Number of lines in distributed program, including test data, etc.: 10 989 Number of bytes in distributed program, including test data, etc.:103 048 Nature of the physical problem:Reflection high-energy electron diffraction (RHEED) is a very useful technique for studying growth and surface analysis of thin epitaxial structures prepared using the molecular beam epitaxy (MBE). The simplest approach to calculating the RHEED intensity during the growth of thin epitaxial films is the kinematical diffraction theory (often called kinematical approximation), in which only a single scattering event is taken into account. The biggest advantage of this approach is that we can calculate RHEED intensity in real time. Also, the approach facilitates intuitive understanding of the growth mechanism and surface morphology [P.I. Cohen, G.S. Petrich, P.R. Pukite, G.J. Whaley, A.S. Arrott, Surf. Sci. 216 (1989) 222]. Method of solution:Epitaxial

  17. Synchrotron X-ray studies of epitaxial ferroelectric thin films and nanostructures

    NASA Astrophysics Data System (ADS)

    Klug, Jeffrey A.

    The study of ferroelectric thin films is a field of considerable scientific and technological interest. In this dissertation synchrotron x-ray techniques were applied to examine the effects of lateral confinement and epitaxial strain in ferroelectric thin films and nanostructures. Three materials systems were investigated: laterally confined epitaxial BiFeO3 nanostructures on SrTiO3 (001), ultra-thin commensurate SrTiO 3 films on Si (001), and coherently strained films of BaTiO3 on DyScO3 (110). Epitaxial films of BiFeO3 were deposited by radio frequency magnetron sputtering on SrRuO3 coated SrTiO 3 (001) substrates. Laterally confined nanostructures were fabricated using focused ion-beam processing and subsequently characterized with focused beam x-ray nanodiffraction measurements with unprecedented spatial resolution. Results from a series of rectangular nanostructures with lateral dimensions between 500 nm and 1 mum and a comparably-sized region of the unpatterned BiFeO3 film revealed qualitatively similar distributions of local strain and lattice rotation with a 2-3 times larger magnitude of variation observed in those of the nanostructures compared to the unpatterned film. This indicates that lateral confinement leads to enhanced variation in the local strain and lattice rotation fields in epitaxial BiFeO3 nanostructures. A commensurate 2 nm thick film of SrTiO3 on Si was characterized by the x-ray standing wave (XSW) technique to determine the Sr and Ti cation positions in the strained unit cell in order to verify strain-induced ferroelectricity in SrTiO3/Si. A Si (004) XSW measurement at 10°C indicated that the average Ti displacement from the midpoint between Sr planes was consistent in magnitude to that predicted by a density functional theory (DFT) calculated ferroelectric structure. The Ti displacement determined from a 35°C measurement better matched a DFT-predicted nonpolar structure. The thin film extension of the XSW technique was employed to

  18. Epitaxial Fe16N2 thin film on nonmagnetic seed layer

    NASA Astrophysics Data System (ADS)

    Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping

    2018-05-01

    Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.

  19. High quality thin films of thermoelectric misfit cobalt oxides prepared by a chemical solution method

    PubMed Central

    Rivas-Murias, Beatriz; Manuel Vila-Fungueiriño, José; Rivadulla, Francisco

    2015-01-01

    Misfit cobaltates ([Bi/Ba/Sr/Ca/CoO]nRS[CoO2]q) constitute the most promising family of thermoelectric oxides for high temperature energy harvesting. However, their complex structure and chemical composition makes extremely challenging their deposition by high-vacuum physical techniques. Therefore, many of them have not been prepared as thin films until now. Here we report the synthesis of high-quality epitaxial thin films of the most representative members of this family of compounds by a water-based chemical solution deposition method. The films show an exceptional crystalline quality, with an electrical conductivity and thermopower comparable to single crystals. These properties are linked to the epitaxial matching of the rock-salt layers of the structure to the substrate, producing clean interfaces free of amorphous phases. This is an important step forward for the integration of these materials with complementary n-type thermoelectric oxides in multilayer nanostructures. PMID:26153533

  20. Sharp chemical interface in epitaxial Fe{sub 3}O{sub 4} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gálvez, S.; Rubio-Zuazo, J., E-mail: rubio@esrf.fr; Salas-Colera, E.

    Chemically sharp interface was obtained on single phase single oriented Fe{sub 3}O{sub 4} (001) thin film (7 nm) grown on NiO (001) substrate using oxygen assisted molecular beam epitaxy. Refinement of the atomic structure, stoichiometry, and oxygen vacancies were determined by soft and hard x-ray photoelectron spectroscopy, low energy electron diffraction and synchrotron based X-ray reflectivity, and X-ray diffraction. Our results demonstrate an epitaxial growth of the magnetite layer, perfect iron stoichiometry, absence of oxygen vacancies, and the existence of an intermixing free interface. Consistent magnetic and electrical characterizations are also shown.

  1. Growth of strontium ruthenate films by hybrid molecular beam epitaxy

    DOE PAGES

    Marshall, Patrick B.; Kim, Honggyu; Ahadi, Kaveh; ...

    2017-09-01

    We report on the growth of epitaxial Sr 2RuO 4 films using a hybrid molecular beam epitaxy approach in which a volatile precursor containing RuO 4 is used to supply ruthenium and oxygen. The use of the precursor overcomes a number of issues encountered in traditional molecular beam epitaxy that uses elemental metal sources. Phase-pure, epitaxial thin films of Sr 2RuO 4 are obtained. At high substrate temperatures, growth proceeds in a layer-by-layer mode with intensity oscillations observed in reflection high-energy electron diffraction. Films are of high structural quality, as documented by x-ray diffraction, atomic force microscopy, and transmission electronmore » microscopy. In conclusion, the method should be suitable for the growth of other complex oxides containing ruthenium, opening up opportunities to investigate thin films that host rich exotic ground states.« less

  2. Water-Soluble Epitaxial NaCl Thin Film for Fabrication of Flexible Devices.

    PubMed

    Lee, Dong Kyu; Kim, Sungjoo; Oh, Sein; Choi, Jae-Young; Lee, Jong-Lam; Yu, Hak Ki

    2017-08-18

    We studied growth mechanisms of water-soluble NaCl thin films on single crystal substrates. Epitaxial growth of NaCl(100) on Si(100) and domain-matched growth of NaCl(111) on c-sapphire were obtained at thicknesses below 100 nm even at room temperature from low lattice mismatches in both cases. NaCl thin film, which demonstrates high solubility selectivity for water, was successfully applied as a water-soluble sacrificial layer for fabrication of several functional materials, such as WO 3 nano-helix and Sn doped In 2 O 3 nano-branches.

  3. Epitaxial growth and magnetic properties of Fe4-xMnxN thin films grown on MgO(0 0 1) substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Anzai, Akihito; Takata, Fumiya; Gushi, Toshiki; Toko, Kaoru; Suemasu, Takashi

    2018-05-01

    Epitaxial Fe4-xMnxN (x = 0, 1, 2, 3, and 4) thin films were successfully grown on MgO(0 0 1) single-crystal substrates by molecular beam epitaxy, and their crystalline qualities and magnetic properties were investigated. It was found that the lattice constants of Fe4-xMnxN obtained from X-ray diffraction measurement increased with the Mn content. The ratio of the perpendicular lattice constant c to the in-plane lattice constant a of Fe4-xMnxN was found to be about 0.99 at x ⩾ 2. The magnetic properties evaluated using a vibrating sample magnetometer at room temperature revealed that all of the Fe4-xMnxN films exhibited ferromagnetic behavior regardless of the value of x. In addition, the saturation magnetization decreased non-linearly as the Mn content increased. Finally, FeMn3N and Mn4N exhibited perpendicular anisotropy and their uniaxial magnetic anisotropy energies were 2.2 × 105 and 7.5 × 105 erg/cm3, respectively.

  4. Hybrid Molecular Beam Epitaxy for High Quality Strontium Titanate

    NASA Astrophysics Data System (ADS)

    Jalan, Bharat

    2011-12-01

    Advancement in thin film growth techniques drives new physics and technologies. Thin film growth approaches and characterization techniques have become more crucial than ever to design and evaluate many emerging materials systems, such as complex oxides. Complex oxides with the perovskite and related structures are fundamentally different from conventional semiconductors and exhibit much richer phenomena as diverse as ferroelectricity, superconductivity, and strongly-correlated Mott-Hubbard-type insulator characteristics. The structural quality of oxide films grown by molecular beam epitaxy (MBE) now matches that of epitaxial semiconductors. Stoichiometry control, however, remains a major challenge. The presence of large (˜tens of ppm) amounts of point defects and impurities, which are commonly present in thin films, has often made the realization and interpretation of intrinsic phenomena difficult. In this dissertation we first describe our work in the development of a hybrid MBE approach for the growth of high quality insulating SrTiO 3 films. The approach uses a combination of solid and metal-organic sources to supply the metals. Films grow in layer-by-layer and step-flow growth modes, with atomically smooth surfaces and an excellent structural quality that is only limited by those of the substrates. A major as- pect of this MBE technique is that it provides a route to stoichiometric SrTiO3. This is achieved by growing films within a "MBE growth window", in which the stoichiome- try is self-regulating, independent of the precise metal flux ratios. Despite the use of a chemical precursor that supply Ti, the carbon incorporation in the films remains below or in the low ppm range. This was achieved by growing films at relatively high temper- atures. We will discuss the transport properties of MBE grown SrTiO3 film. We show that excellent stoichiometry control and low intrinsic defect concentrations, afforded by MBE, allow for the high electron mobility in n

  5. Tuning of thermally induced first-order semiconductor-to-metal transition in pulsed laser deposited VO2 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Behera, Makhes K.; Pradhan, Dhiren K.; Pradhan, Sangram K.; Pradhan, Aswini K.

    2017-12-01

    Vanadium oxide (VO2) thin films have drawn significant research and development interest in recent years because of their intriguing physical origin and wide range of functionalities useful for many potential applications, including infrared imaging, smart windows, and energy and information technologies. However, the growth of highly epitaxial films of VO2, with a sharp and distinct controllable transition, has remained a challenge. Here, we report the structural and electronic properties of high quality and reproducible epitaxial thin films of VO2, grown on c-axis oriented sapphire substrates using pulsed laser deposition at different deposition pressures and temperatures, followed by various annealing schedules. Our results demonstrate that the annealing of epitaxial VO2 films significantly enhances the Semiconductor to Metal Transition (SMT) to that of bulk VO2 transition. The effect of oxygen partial pressure during the growth of VO2 films creates a significant modulation of the SMT from around room temperature to as high as the theoretical value of 68 °C. We obtained a bulk order transition ≥104 while reducing the transition temperature close to 60 °C, which is comparatively less than the theoretical value of 68 °C, demonstrating a clear and drastic improvement in the SMT switching characteristics. The results reported here will open the door to fundamental studies of VO2, along with tuning of the transition temperatures for potential applications for multifunctional devices.

  6. Molecular Beam Epitaxy Growth of High Crystalline Quality LiNbO3

    NASA Astrophysics Data System (ADS)

    Tellekamp, M. Brooks; Shank, Joshua C.; Goorsky, Mark S.; Doolittle, W. Alan

    2016-12-01

    Lithium niobate is a multi-functional material with wide reaching applications in acoustics, optics, and electronics. Commercial applications for lithium niobate require high crystalline quality currently limited to bulk and ion sliced material. Thin film lithium niobate is an attractive option for a variety of integrated devices, but the research effort has been stagnant due to poor material quality. Both lattice matched and mismatched lithium niobate are grown by molecular beam epitaxy and studied to understand the role of substrate and temperature on nucleation conditions and material quality. Growth on sapphire produces partially coalesced columnar grains with atomically flat plateaus and no twin planes. A symmetric rocking curve shows a narrow linewidth with a full width at half-maximum (FWHM) of 8.6 arcsec (0.0024°), which is comparable to the 5.8 arcsec rocking curve FWHM of the substrate, while the film asymmetric rocking curve is 510 arcsec FWHM. These values indicate that the individual grains are relatively free of long-range disorder detectable by x-ray diffraction with minimal measurable tilt and twist and represents the highest structural quality epitaxial material grown on lattice mismatched sapphire without twin planes. Lithium niobate is also grown on lithium tantalate producing high quality coalesced material without twin planes and with a symmetric rocking curve of 193 arcsec, which is nearly equal to the substrate rocking curve of 194 arcsec. The surface morphology of lithium niobate on lithium tantalate is shown to be atomically flat by atomic force microscopy.

  7. Single-domain epitaxial silicene on diboride thin films

    DOE PAGES

    Fleurence, A.; Gill, T. G.; Friedlein, R.; ...

    2016-04-12

    Epitaxial silicene, which forms spontaneously on ZrB 2(0001) thin films grown on Si(111) wafers, has a periodic stripe domain structure. By adsorbing additional Si atoms on this surface, we find that the domain boundaries vanish, and a single-domain silicene sheet can be prepared without altering its buckled honeycomb structure. The amount of Si required to induce this change suggests that the domain boundaries are made of a local distortion of the silicene honeycomb lattice. LastlThe realization of a single domain sheet with structural and electronic properties close to those of the original striped state demonstrates the high structural flexibility ofmore » silicene.« less

  8. Single-domain epitaxial silicene on diboride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fleurence, A., E-mail: antoine@jaist.ac.jp; Friedlein, R.; Aoyagi, K.

    2016-04-11

    Epitaxial silicene, which forms spontaneously on ZrB{sub 2}(0001) thin films grown on Si(111) wafers, has a periodic stripe domain structure. By adsorbing additional Si atoms on this surface, we find that the domain boundaries vanish, and a single-domain silicene sheet can be prepared without altering its buckled honeycomb structure. The amount of Si required to induce this change suggests that the domain boundaries are made of a local distortion of the silicene honeycomb lattice. The realization of a single domain sheet with structural and electronic properties close to those of the original striped state demonstrates the high structural flexibility ofmore » silicene.« less

  9. Ultralow Damping in Nanometer-Thick Epitaxial Spinel Ferrite Thin Films.

    PubMed

    Emori, Satoru; Yi, Di; Crossley, Sam; Wisser, Jacob J; Balakrishnan, Purnima P; Khodadadi, Behrouz; Shafer, Padraic; Klewe, Christoph; N'Diaye, Alpha T; Urwin, Brittany T; Mahalingam, Krishnamurthy; Howe, Brandon M; Hwang, Harold Y; Arenholz, Elke; Suzuki, Yuri

    2018-06-08

    Pure spin currents, unaccompanied by dissipative charge flow, are essential for realizing energy-efficient nanomagnetic information and communications devices. Thin-film magnetic insulators have been identified as promising materials for spin-current technology because they are thought to exhibit lower damping compared with their metallic counterparts. However, insulating behavior is not a sufficient requirement for low damping, as evidenced by the very limited options for low-damping insulators. Here, we demonstrate a new class of nanometer-thick ultralow-damping insulating thin films based on design criteria that minimize orbital angular momentum and structural disorder. Specifically, we show ultralow damping in <20 nm thick spinel-structure magnesium aluminum ferrite (MAFO), in which magnetization arises from Fe 3+ ions with zero orbital angular momentum. These epitaxial MAFO thin films exhibit a Gilbert damping parameter of ∼0.0015 and negligible inhomogeneous linewidth broadening, resulting in narrow half width at half-maximum linewidths of ∼0.6 mT around 10 GHz. Our findings offer an attractive thin-film platform for enabling integrated insulating spintronics.

  10. Possible ferroelectricity in perovskite oxynitride SrTaO2N epitaxial thin films

    PubMed Central

    Oka, Daichi; Hirose, Yasushi; Kamisaka, Hideyuki; Fukumura, Tomoteru; Sasa, Kimikazu; Ishii, Satoshi; Matsuzaki, Hiroyuki; Sato, Yukio; Ikuhara, Yuichi; Hasegawa, Tetsuya

    2014-01-01

    Compressively strained SrTaO2N thin films were epitaxially grown on SrTiO3 substrates using nitrogen plasma-assisted pulsed laser deposition. Piezoresponse force microscopy measurements revealed small domains (101–102 nm) that exhibited classical ferroelectricity, a behaviour not previously observed in perovskite oxynitrides. The surrounding matrix region exhibited relaxor ferroelectric-like behaviour, with remanent polarisation invoked by domain poling. First-principles calculations suggested that the small domains and the surrounding matrix had trans-type and a cis-type anion arrangements, respectively. These experiments demonstrate the promise of tailoring the functionality of perovskite oxynitrides by modifying the anion arrangements by using epitaxial strain.

  11. Interface engineering in epitaxial growth of layered oxides via a conducting layer insertion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yun, Yu; Meng, Dechao; Wang, Jianlin

    2015-07-06

    There is a long-standing challenge in the fabrication of layered oxide epitaxial films due to their thermodynamic phase-instability and the large stacking layer number. Recently, the demand for high-quality thin films is strongly pushed by their promising room-temperature multiferroic properties. Here, we find that by inserting a conducting and lattice matched LaNiO{sub 3} buffer layer, high quality m = 5 Bi{sub 6}FeCoTi{sub 3}O{sub 18} epitaxial films can be fabricated using the laser molecular beam epitaxy, in which the atomic-scale sharp interface between the film and the metallic buffer layer explains the enhanced quality. The magnetic and ferroelectric properties of the high qualitymore » Bi{sub 6}FeCoTi{sub 3}O{sub 18} films are studied. This study demonstrates that insertion of the conducting layer is a powerful method in achieving high quality layered oxide thin films, which opens the door to further understand the underline physics and to develop new devices.« less

  12. Thickness dependent exchange bias in martensitic epitaxial Ni-Mn-Sn thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Behler, Anna; Department of Physics, Institute for Solid State Physics, Dresden University of Technology, 01062 Dresden; Teichert, Niclas

    2013-12-15

    A thickness dependent exchange bias in the low temperature martensitic state of epitaxial Ni-Mn-Sn thin films is found. The effect can be retained down to very small thicknesses. For a Ni{sub 50}Mn{sub 32}Sn{sub 18} thin film, which does not undergo a martensitic transformation, no exchange bias is observed. Our results suggest that a significant interplay between ferromagnetic and antiferromagnetic regions, which is the origin for exchange bias, is only present in the martensite. The finding is supported by ab initio calculations showing that the antiferromagnetic order is stabilized in the phase.

  13. InGaN-based thin film solar cells: Epitaxy, structural design, and photovoltaic properties

    NASA Astrophysics Data System (ADS)

    Sang, Liwen; Liao, Meiyong; Koide, Yasuo; Sumiya, Masatomo

    2015-03-01

    InxGa1-xN, with the tunable direct bandgaps from ultraviolet to near infrared region, offers a promising candidate for the high-efficiency next-generation thin-film photovoltaic applications. Although the adoption of thick InGaN film as the active region is desirable to obtain efficient light absorption and carrier collection compared to InGaN/GaN quantum wells structure, the understanding on the effect from structural design is still unclear due to the poor-quality InGaN films with thickness and difficulty of p-type doping. In this paper, we comprehensively investigate the effects from film epitaxy, doping, and device structural design on the performances of the InGaN-based solar cells. The high-quality InGaN thick film is obtained on AlN/sapphire template, and p-In0.08Ga0.92N is achieved with a high hole concentration of more than 1018 cm-3. The dependence of the photovoltaic performances on different structures, such as active regions and p-type regions is analyzed with respect to the carrier transport mechanism in the dark and under illumination. The strategy of improving the p-i interface by using a super-thin AlN interlayer is provided, which successfully enhances the performance of the solar cells.

  14. Epitaxially influenced boundary layer model for size effect in thin metallic films

    NASA Astrophysics Data System (ADS)

    Bažant, Zdeněk P.; Guo, Zaoyang; Espinosa, Horacio D.; Zhu, Yong; Peng, Bei

    2005-04-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films.

  15. Franz-Keldysh effect in epitaxial ZnO thin films

    NASA Astrophysics Data System (ADS)

    Bridoux, G.; Villafuerte, M.; Ferreyra, J. M.; Guimpel, J.; Nieva, G.; Figueroa, C. A.; Straube, B.; Heluani, S. P.

    2018-02-01

    Photoconductance spectroscopy has been studied in epitaxial ZnO thin films with different thicknesses that range between 136 and 21 nm. We report a systematic decrease in photoconductivity and a red shift in band edge photoconductance spectra when the thickness is reduced. For thinner films, it is found that the effective energy gap value diminishes. By time dependent photoconductivity measurements, we found an enhanced contribution of the slow relaxation times for thicker films. These effects are interpreted in terms of a band-bending contribution where the Franz-Keldysh effect and the polarization of ZnO play a major role in thinner films.

  16. Electronic and optical properties of La-doped S r3I r2O7 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Souri, M.; Terzic, J.; Johnson, J. M.; Connell, J. G.; Gruenewald, J. H.; Thompson, J.; Brill, J. W.; Hwang, J.; Cao, G.; Seo, A.

    2018-02-01

    We have investigated structural, transport, and optical properties of tensile strained (Sr1-xL ax ) 3I r2O7 (x =0 , 0.025, 0.05) epitaxial thin films. While high-Tc superconductivity is predicted theoretically in the system, we have observed that all of the samples remain insulating with finite optical gap energies and Mott variable-range hopping characteristics in transport. Cross-sectional scanning transmission electron microscopy indicates that structural defects such as stacking faults appear in this system. The insulating behavior of the La-doped S r3I r2O7 thin films is presumably due to disorder-induced localization and ineffective electron doping of La, which brings to light the intriguing difference between epitaxial thin films and bulk single crystals of the iridates.

  17. Domain matched epitaxial growth of (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on (0001) Al{sub 2}O{sub 3} with ZnO buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnaprasad, P. S., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Jayaraj, M. K., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Antony, Aldrin

    2015-03-28

    Epitaxial (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown by pulsed laser deposition on (0001) Al{sub 2}O{sub 3} substrate with ZnO as buffer layer. The x-ray ω-2θ, Φ-scan and reciprocal space mapping indicate epitaxial nature of BST thin films. The domain matched epitaxial growth of BST thin films over ZnO buffer layer was confirmed using Fourier filtered high resolution transmission electron microscope images of the film-buffer interface. The incorporation of ZnO buffer layer effectively suppressed the lattice mismatch and promoted domain matched epitaxial growth of BST thin films. Coplanar inter digital capacitors fabricated on epitaxial (111) BSTmore » thin films show significantly improved tunable performance over polycrystalline thin films.« less

  18. Epitaxial growth and physical properties of ternary nitride thin films by polymer-assisted deposition

    NASA Astrophysics Data System (ADS)

    Enriquez, Erik; Zhang, Yingying; Chen, Aiping; Bi, Zhenxing; Wang, Yongqiang; Fu, Engang; Harrell, Zachary; Lü, Xujie; Dowden, Paul; Wang, Haiyan; Chen, Chonglin; Jia, Quanxi

    2016-08-01

    Epitaxial layered ternary metal-nitride FeMoN2, (Fe0.33Mo0.67)MoN2, CoMoN2, and FeWN2 thin films have been grown on c-plane sapphire substrates by polymer-assisted deposition. The ABN2 layer sits on top of the oxygen sublattices of the substrate with three possible matching configurations due to the significantly reduced lattice mismatch. The doping composition and elements affect not only the out-of-plane lattice parameters but also the temperature-dependent electrical properties. These films have resistivity in the range of 0.1-1 mΩ.cm, showing tunable metallic or semiconducting behaviors by adjusting the composition. A modified parallel connection channel model has been used to analyze the grain boundary and Coulomb blockade effect on the electrical properties. The growth of the high crystallinity layered epitaxial thin films provides an avenue to study the composition-structure-property relationship in ABN2 materials through A and B-site substitution.

  19. Domain structure of epitaxial SrRu O3 thin films

    NASA Astrophysics Data System (ADS)

    Herranz, G.; Sánchez, F.; Fontcuberta, J.; García-Cuenca, M. V.; Ferrater, C.; Varela, M.; Angelova, T.; Cros, A.; Cantarero, A.

    2005-05-01

    Growth of multidomains in epitaxial thin-film oxides is known to have a detrimental effect on some functional properties, and, thus, efforts are done to suppress them. It is commonly accepted that optimal properties of the metallic and ferromagnetic SrRuO3 (SRO) epitaxies can only be obtained if vicinal SrTiO3 (001) (STO) substrates are used. It is believed that this results from the suppression of multidomain structure in the SRO film. Here we revise this important issue. Nanometric films of SRO have been grown on STO(001) vicinal substrates with miscut (θV) angles in the ˜0.04°-4° range. Extensive structural analysis by x-ray-reciprocal space maps and μ -Raman spectroscopy indicates that single-domain, orthorhombic, SRO films are already obtained on the almost singular (θV≈0.1°) substrate, and, thus, substrates with large miscut angles are not required to grow twin-free films. In spite of this, transport properties are found to be optimized for films grown on vicinal substrates (θV⩾2°) . We claim that this is the result of the change of the growth mode and the resulting film morphology rather than the change of the domain structure. These findings drive the attention to the relevance of the growth mechanism at the initial stages of film growth, and we discuss its implications in other areas of oxide epitaxies. Moreover, we show that in clamped epitaxies on cubic substrates, in spite of isotropic biaxial substrate-induced strains, films may have an in-plane orthorhombic symmetry which results from the internal degree of freedom defined by rotations of the oxygen octahedrons.

  20. Room-temperature Domain-epitaxy of Copper Iodide Thin Films for Transparent CuI/ZnO Heterojunctions with High Rectification Ratios Larger than 109

    NASA Astrophysics Data System (ADS)

    Yang, Chang; Kneiß, Max; Schein, Friedrich-Leonhard; Lorenz, Michael; Grundmann, Marius

    2016-02-01

    CuI is a p-type transparent conductive semiconductor with unique optoelectronic properties, including wide band gap (3.1 eV), high hole mobility (>40 cm2 V-1 s-1 in bulk), and large room-temperature exciton binding energy (62 meV). The difficulty in epitaxy of CuI is the main obstacle for its application in advanced solid-state electronic devices. Herein, room-temperature heteroepitaxial growth of CuI on various substrates with well-defined in-plane epitaxial relations is realized by reactive sputtering technique. In such heteroepitaxial growth the formation of rotation domains is observed and hereby systematically investigated in accordance with existing theoretical study of domain-epitaxy. The controllable epitaxy of CuI thin films allows for the combination of p-type CuI with suitable n-type semiconductors with the purpose to fabricate epitaxial thin film heterojunctions. Such heterostructures have superior properties to structures without or with weakly ordered in-plane orientation. The obtained epitaxial thin film heterojunction of p-CuI(111)/n-ZnO(00.1) exhibits a high rectification up to 2 × 109 (±2 V), a 100-fold improvement compared to diodes with disordered interfaces. Also a low saturation current density down to 5 × 10-9 Acm-2 is formed. These results prove the great potential of epitaxial CuI as a promising p-type optoelectronic material.

  1. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  2. Use of space ultra-vacuum for high quality semiconductor thin film growth

    NASA Technical Reports Server (NTRS)

    Ignatiev, A.; Sterling, M.; Sega, R. M.

    1992-01-01

    The utilization of space for materials processing is being expanded through a unique concept of epitaxial thin film growth in the ultra-vacuum of low earth orbit (LEO). This condition can be created in the wake of an orbiting space vehicle; and assuming that the vehicle itself does not pertub the environment, vacuum levels of better than 10 exp -14 torr can be attained. This vacuum environment has the capacity of greatly enhancing epitaxial thin film growth and will be the focus of experiments conducted aboard the Wake Shield Facility (WSF) currently being developed by the Space Vacuum Epitaxy Center (SVEC), Industry, and NASA.

  3. Induced Superconductivity and Engineered Josephson Tunneling Devices in Epitaxial (111)-Oriented Gold/Vanadium Heterostructures.

    PubMed

    Wei, Peng; Katmis, Ferhat; Chang, Cui-Zu; Moodera, Jagadeesh S

    2016-04-13

    We report a unique experimental approach to create topological superconductors by inducing superconductivity into epitaxial metallic thin film with strong spin-orbit coupling. Utilizing molecular beam epitaxy technique under ultrahigh vacuum conditions, we are able to achieve (111) oriented single phase of gold (Au) thin film grown on a well-oriented vanadium (V) s-wave superconductor film with clean interface. We obtained atomically smooth Au thin films with thicknesses even down to below a nanometer showing near-ideal surface quality. The as-grown V/Au bilayer heterostructure exhibits superconducting transition at around 3.9 K. Clear Josephson tunneling and Andreev reflection are observed in S-I-S tunnel junctions fabricated from the epitaxial bilayers. The barrier thickness dependent tunneling and the associated subharmonic gap structures (SGS) confirmed the induced superconductivity in Au (111), paving the way for engineering thin film heterostructures based on p-wave superconductivity and nano devices exploiting Majorana Fermions for quantum computing.

  4. Bulk photovoltaic effect in epitaxial (K, Nb) substituted BiFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Agarwal, Radhe; Zheng, Fan; Sharma, Yogesh; Hong, Seungbum; Rappe, Andrew; Katiyar, Ram

    We studied the bulk photovoltaic effect in epitaxial (K, Nb) modified BiFeO3 (BKFNO) thin films using theoretical and experimental methods. Epitaxial BKFNO thin films were grown by pulsed laser deposition (PLD). First, we have performed first principles density function theory (DFT) using DFT +U method to calculate electronic band structure, including Hubbard-Ueff (Ueff =U-J) correction into Hamiltonian. The electronic band structure calculations showed a direct band gap at 1.9 eV and a defect level at 1.7 eV (in a 40 atom BKFNO supercell), sufficiently lower in comparison to the experimentally observed values. Furthermore, the piezoforce microscopy (PFM) measurements indicated the presence of striped polydomains in BKFNO thin films. Angle-resolved PFM measurements were also performed to find domain orientation and net polarization directions in these films. The experimental studies of photovoltaic effect in BKNFO films showed a short circuit current of 59 micro amp/cm2 and open circuit voltage of 0.78 V. We compared our experimental results with first principles shift current theory calculations of bulk photovoltaic effect (BPVE).The synergy between theory and experimental results provided a realization of significant role of BPVE in order to understand the photovoltaic mechanism in ferroelectrics.

  5. Spectroscopic and microscopic investigation of MBE-grown CdTe (211)B epitaxial thin films on GaAs (211)B substrates

    NASA Astrophysics Data System (ADS)

    Özden, Selin; Koc, Mumin Mehmet

    2018-03-01

    CdTe epitaxial thin films, for use as a buffer layer for HgCdTe defectors, were grown on GaAs (211)B using the molecular beam epitaxy method. Wet chemical etching (Everson method) was applied to the epitaxial films using various concentrations and application times to quantify the crystal quality and dislocation density. Surface characterization of the epitaxial films was achieved using Atomic force microscopy and Scanning electron microscopy (SEM) before and after each treatment. The Energy Dispersive X-Ray apparatus of SEM was used to characterize the chemical composition. Untreated CdTe films show smooth surface characteristics with root mean square (RMS) roughnesses of 1.18-3.89 nm. The thicknesses of the CdTe layers formed were calculated via FTIR spectrometry and obtained by ex situ spectroscopic ellipsometry. Raman spectra were obtained for various temperatures. Etch pit densities (EPD) were measured, from which it could be seen that EPD changes between 1.7 × 108 and 9.2 × 108 cm-2 depending on the concentration of the Everson etch solution and treatment time. Structure, shape and depth of pits resulting from each etch pit implementation were also evaluated. Pit widths varying between 0.15 and 0.71 µm with heights varying between 2 and 80 nm were observed. RMS roughness was found to vary by anything from 1.56 to 26 nm.

  6. Process for growing epitaxial gallium nitride and composite wafers

    DOEpatents

    Weber, Eicke R.; Subramanya, Sudhir G.; Kim, Yihwan; Kruger, Joachim

    2003-05-13

    A novel growth procedure to grow epitaxial Group III metal nitride thin films on lattice-mismatched substrates is proposed. Demonstrated are the quality improvement of epitaxial GaN layers using a pure metallic Ga buffer layer on c-plane sapphire substrate. X-ray rocking curve results indicate that the layers had excellent structural properties. The electron Hall mobility increases to an outstandingly high value of .mu.>400 cm.sup.2 /Vs for an electron background concentration of 4.times.10.sup.17 cm.sup.-3.

  7. Tuning the magnetic properties of LaCoO3 thin films by epitaxial strain

    NASA Astrophysics Data System (ADS)

    Fuchs, D.; Arac, E.; Pinta, C.; Schuppler, S.; Schneider, R.; v. Löhneysen, H.

    2008-01-01

    Ferromagnetic order can be induced in LaCoO3 (LCO) thin films by epitaxial strain. Here, we show that the magnetic properties can be “tuned” by epitaxial strain imposed on LCO thin films by the epitaxial growth on various substrate materials, i.e., (001) oriented SrLaAlO4 , LaAlO3 , SrLaGaO4 , (LaAlO3)0.3(Sr2AlTaO6)0.7 , and SrTiO3 . The lattice mismatch at room temperature of the in-plane lattice parameters between the substrate, as , and bulk LCO, ab , ranges from -1.31% to +2.63% . Single-phase, ⟨001⟩ oriented LCO thin films were grown by pulsed laser deposition on all these substrates. Due to the difference of the thermal-expansion coefficients between LCO and the substrates, the films experience an additional tensile strain of about +0.3% during the cooling process after the deposition at Ts=650°C . The film lattice parameters display an elastic behavior, i.e., an increase of the in-plane film lattice parameter with increasing as . From the ratio between the out-of-plane and in-plane strain, we obtain a Poisson ratio of ν≈1/3 . All films show a ferromagnetic transition as determined from magnetization measurements. The magnetization increases strongly with increasing tensile strain, whereas the transition temperature TC after a rapid initial rise appears to saturate at TC≈85K above a=3.86Å . The effective magnetic moment μeff in the paramagnetic state increases almost linearly as a function of the mean lattice parameter ⟨a⟩ , indicating an enhanced population of higher spin states, i.e., intermediate- or high-spin states. The experimental results are discussed in terms of a decrease of the octahedral-site rotation with increasing tensile strain.

  8. Epitaxial Ni-Mn-Ga-Co thin films on PMN-PT substrates for multicaloric applications

    NASA Astrophysics Data System (ADS)

    Schleicher, B.; Niemann, R.; Diestel, A.; Hühne, R.; Schultz, L.; Fähler, S.

    2015-08-01

    Multicaloric stacks consisting of a magnetocaloric film on a piezoelectric substrate promise improved caloric properties as the transition temperature can be controlled by both magnetic and electric fields. We present epitaxially grown magnetocaloric Ni-Mn-Ga-Co thin films on ferroelectric Pb(Mg1/3Nb2/3)0.72Ti0.28O3 substrates. Structure and microstructure of two samples, being in the austenitic and martensitic state at room temperature, are investigated by X-ray diffraction in two- and four-circle geometry and by atomic force microscopy. In addition, high temperature magnetometry was performed on the latter sample. The combination of these methods allows separating the influence of epitaxial growth and martensitic transformation. A preferential alignment of twin boundaries is observed already in the as-deposited state, which indicates the presence of prestress, without applying an electric field to the substrate. A temperature-magnetic field phase diagram is presented, which demonstrates the inverse magnetocaloric effect of the epitaxial Ni-Mn-Ga-Co film.

  9. Epitaxial growth and physical properties of ternary nitride thin films by polymer-assisted deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Enriquez, Erik M.; Zhang, Yingying; Chen, Aiping

    2016-08-26

    Epitaxial layered ternary metal-nitride FeMoN 2, (Fe 0.33 Mo 0.67)MoN 2, CoMoN 2, and FeWN 2 thin films have been grown on c-plane sapphire substrates by polymer-assisted deposition. The ABN 2 layer sits on top of the oxygen sublattices of the substrate with three possible matching configurations due to the significantly reduced lattice mismatch. The doping composition and elements affect not only the out-of-plane lattice parameters but also the temperature-dependent electrical properties. These films have resistivity in the range of 0.1–1 mΩ·cm, showing tunable metallic or semiconducting behaviors by adjusting the composition. A modified parallel connection channel model has beenmore » used to analyze the grain boundary and Coulomb blockade effect on the electrical properties. Furthermore, the growth of the high crystallinity layered epitaxial thin films provides an avenue to study the composition-structure-property relationship in ABN 2 materials through A and B-site substitution.« less

  10. Diamagnetism to ferromagnetism in Sr-substituted epitaxial BaTiO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu; Prater, John T.; Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695

    2016-04-04

    We report on the ferromagnetic-like behavior in otherwise diamagnetic BaTiO{sub 3} (BTO) thin films upon doping with non-magnetic element Sr having the composition Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} (BST). The epitaxial integration of BST (∼800 nm) thick films on Si (100) substrate was achieved using MgO (40 nm) and TiN (20 nm) as buffer layers to prepare BST/MgO/TiN/Si (100) heterostructure by pulsed laser deposition. The c-axis oriented and cube-on-cube epitaxial BST is formed on Si (100) as evidenced by the in-plane and out-of-plane X-ray diffraction. All the deposited films are relaxed through domain matching epitaxy paradigm as observed from X-ray diffraction pattern and A{submore » 1}TO{sub 3} mode (at 521.27 cm{sup −1}) of Raman spectra. As-deposited BST thin films reveal ferromagnetic-like properties, which persist up to 400 K. The magnetization decreases two-fold upon oxygen annealing. In contrast, as-deposited un-doped BTO films show diamagnetism. Electron spin resonance measurements reveal no evidence of external magnetic impurities. XRD and X-ray photoelectron spectroscopy spectra show significant changes influenced by Sr doping in BTO. The ferromagnetic-like behavior in BST could be due to the trapped electron donors from oxygen vacancies resulting from Sr-doping.« less

  11. Anomalous Hall effect in epitaxial permalloy thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Y. Q.; Sun, N. Y.; Shan, R.

    2013-10-28

    Anomalous Hall effect (AHE) of epitaxial permalloy thin films grown on MgO (001) substrates is investigated. The longitudinal conductivity independent term (i.e., the sum of intrinsic and side-jump contributions) of the anomalous Hall conductivity (AHC) is found to be much smaller than those of Fe and Ni films. Band theoretical calculations of the intrinsic AHC as a function of the number of valence electrons (band filling) indicate that the AHC of the permalloy is in the vicinity of sign change, thus resulting in the smallness of the intrinsic AHC. The contribution of the phonon scattering is found to be comparablemore » to that of the impurity scattering. This work suggests that the permalloy films are ideal systems to understand the AHE mechanisms induced by impurity scattering.« less

  12. Epitaxial growth of lead zirconium titanate thin films on Ag buffered Si substrates using rf sputtering

    NASA Astrophysics Data System (ADS)

    Wang, Chun; Laughlin, David E.; Kryder, Mark H.

    2007-04-01

    Epitaxial lead zirconium titanate (PZT) (001) thin films with a Pt bottom electrode were deposited by rf sputtering onto Si(001) single crystal substrates with a Ag buffer layer. Both PZT(20/80) and PZT(53/47) samples were shown to consist of a single perovskite phase and to have the (001) orientation. The orientation relationship was determined to be PZT(001)[110]‖Pt(001)[110]‖Ag(001)[110]‖Si(001)[110]. The microstructure of the multilayer was studied using transmission electron microscopy (TEM). The electron diffraction pattern confirmed the epitaxial relationship between each layer. The measured remanent polarization Pr and coercive field Ec of the PZT(20/80) thin film were 26μC /cm2 and 110kV/cm, respectively. For PZT(53/47), Pr was 10μC /cm2 and Ec was 80kV/cm.

  13. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    NASA Astrophysics Data System (ADS)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  14. Deposition of hydrogenated silicon clusters for efficient epitaxial growth.

    PubMed

    Le, Ha-Linh Thi; Jardali, Fatme; Vach, Holger

    2018-06-13

    Epitaxial silicon thin films grown from the deposition of plasma-born hydrogenated silicon nanoparticles using plasma-enhanced chemical vapor deposition have widely been investigated due to their potential applications in photovoltaic and nanoelectronic device technologies. However, the optimal experimental conditions and the underlying growth mechanisms leading to the high-speed epitaxial growth of thin silicon films from hydrogenated silicon nanoparticles remain far from being understood. In the present work, extensive molecular dynamics simulations were performed to study the epitaxial growth of silicon thin films resulting from the deposition of plasma-born hydrogenated silicon clusters at low substrate temperatures under realistic reactor conditions. There is strong evidence that a temporary phase transition of the substrate area around the cluster impact site to the liquid state is necessary for the epitaxial growth to take place. We predict further that a non-normal incidence angle for the cluster impact significantly facilitates the epitaxial growth of thin crystalline silicon films.

  15. Structural and thermoelectric properties of epitaxially grown Bi2Te3 thin films and superlattices

    NASA Astrophysics Data System (ADS)

    Peranio, N.; Eibl, O.; Nurnus, J.

    2006-12-01

    Multi-quantum-well structures of Bi2Te3 are predicted to have a high thermoelectric figure of merit ZT. Bi2Te3 thin films and Bi2Te3/Bi2(Te0.88Se0.12)3 superlattices (SLs) were grown epitaxially by molecular beam epitaxy on BaF2 substrates with periods of 12 and 6nm, respectively. Reflection high-energy electron diffraction confirmed a layer-by-layer growth, x-ray diffraction yielded the lattice parameters and SL periods and proved epitaxial growth. The in-plane transport coefficients were measured and the thin films and SL had power factors between 28 and 35μW /cmK2. The lattice thermal conductivity varied between 1.60W/mK for Bi2Te3 thin films and 1.01W/mK for a 10nm SL. The best figures of merit ZT were achieved for the SL; however, the values are slightly smaller than those in bulk materials. Thin films and superlattices were investigated in plan view and cross section by transmission electron microscopy. In the Bi2Te3 thin film and SL the dislocation density was found to be 2×1010cm-2. Bending of the SL with amplitudes of 30nm (12nm SL) and 15nm (6nm SL) and a wavelength of 400nm was determined. Threading dislocations were found with a density greater than 2×109cm-2. The superlattice interfaces are strongly bent in the region of the threading dislocations, undisturbed regions have a maximum lateral sie of 500nm. Thin films and SL showed a structural modulation [natural nanostructure (nns)] with a wavelength of 10nm and a wave vector parallel to (1,0,10). This nns was also observed in Bi2Te3 bulk materials and turned out to be of general character for Bi2Te3. The effect of the microstructure on the thermoelectric properties is discussed. The microstructure is governed by the superlattice, the nns, and the dislocations that are present in the films. Our results indicate that the microstructure directly affects the lattice thermal conductivity. Thermopower and electrical conductivity were found to be negatively correlated and no clear dependence of the two

  16. Epitaxial Ni-Mn-Ga-Co thin films on PMN-PT substrates for multicaloric applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schleicher, B., E-mail: b.schleicher@ifw-dresden.de; Niemann, R.; Schultz, L.

    2015-08-07

    Multicaloric stacks consisting of a magnetocaloric film on a piezoelectric substrate promise improved caloric properties as the transition temperature can be controlled by both magnetic and electric fields. We present epitaxially grown magnetocaloric Ni-Mn-Ga-Co thin films on ferroelectric Pb(Mg{sub 1/3}Nb{sub 2/3}){sub 0.72}Ti{sub 0.28}O{sub 3} substrates. Structure and microstructure of two samples, being in the austenitic and martensitic state at room temperature, are investigated by X-ray diffraction in two- and four-circle geometry and by atomic force microscopy. In addition, high temperature magnetometry was performed on the latter sample. The combination of these methods allows separating the influence of epitaxial growth andmore » martensitic transformation. A preferential alignment of twin boundaries is observed already in the as-deposited state, which indicates the presence of prestress, without applying an electric field to the substrate. A temperature-magnetic field phase diagram is presented, which demonstrates the inverse magnetocaloric effect of the epitaxial Ni-Mn-Ga-Co film.« less

  17. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1-xFex)2O3 multilayer thin films.

    PubMed

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-04-28

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe(2+) and Fe(3+) are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What's more, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3.

  18. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    NASA Astrophysics Data System (ADS)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  19. Interfacial band alignment and structural properties of nanoscale TiO2 thin films for integration with epitaxial crystallographic oriented germanium

    NASA Astrophysics Data System (ADS)

    Jain, N.; Zhu, Y.; Maurya, D.; Varghese, R.; Priya, S.; Hudait, M. K.

    2014-01-01

    We have investigated the structural and band alignment properties of nanoscale titanium dioxide (TiO2) thin films deposited on epitaxial crystallographic oriented Ge layers grown on (100), (110), and (111)A GaAs substrates by molecular beam epitaxy. The TiO2 thin films deposited at low temperature by physical vapor deposition were found to be amorphous in nature, and high-resolution transmission electron microscopy confirmed a sharp heterointerface between the TiO2 thin film and the epitaxially grown Ge with no traceable interfacial layer. A comprehensive assessment on the effect of substrate orientation on the band alignment at the TiO2/Ge heterointerface is presented by utilizing x-ray photoelectron spectroscopy and spectroscopic ellipsometry. A band-gap of 3.33 ± 0.02 eV was determined for the amorphous TiO2 thin film from the Tauc plot. Irrespective of the crystallographic orientation of the epitaxial Ge layer, a sufficient valence band-offset of greater than 2 eV was obtained at the TiO2/Ge heterointerface while the corresponding conduction band-offsets for the aforementioned TiO2/Ge system were found to be smaller than 1 eV. A comparative assessment on the effect of Ge substrate orientation revealed a valence band-offset relation of ΔEV(100) > ΔEV(111) > ΔEV(110) and a conduction band-offset relation of ΔEC(110) > ΔEC(111) > ΔEC(100). These band-offset parameters are of critical importance and will provide key insight for the design and performance analysis of TiO2 for potential high-κ dielectric integration and for future metal-insulator-semiconductor contact applications with next generation of Ge based metal-oxide field-effect transistors.

  20. Epitaxial growth of silicon for layer transfer

    DOEpatents

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  1. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  2. Epitaxial strain effect on the physical properties of layered ruthenate and iridate thin films

    NASA Astrophysics Data System (ADS)

    Miao, Ludi

    Transition metal oxides have attracted widespread attention due to their broad range of fascinating exotic phenomena such as multiferroicity, superconductivity, colossal magnetoresistance and metal-to-insulator transition. Due to the interplay between spin, charge, lattice and orbital degrees of freedom of strongly correlated d electrons, these physical properties are extremely sensitive to the external perturbations such as magnetic field, charge carrier doping and pressure, which provide a unique chance in search for novel exotic quantum states. Ruthenate systems are a typical strongly correlated system, with rich ordered states and their properties are extremely sensitive to external stimuli. Recently, the experimental observation of spin-orbit coupling induced Mott insulator in Sr2IrO4 as well as the theoretical prediction of topological insulating state in other iridates, have attracted tremendous interest in the physics of strong correlation and spin-orbit coupling in 4d/5d compounds. We observe an itinerant ferromagnetic ground state of Ca2 RuO4 film in stark contrast to the Mott-insulating state in bulk Ca2RuO4. We have also established the epitaxial strain effect on the transport and magnetic properties for the (Ca,Sr) 2RuO4 thin films. For Sr2IrO4 thin films, we will show that the Jeff = 1/2 moment orientation can be modulated by epitaxial strain. In addition, we discovered novel Ba 7Ir3O13+x thin films which exhibit colossal permittivity.

  3. YCo5±x thin films with perpendicular anisotropy grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Sharma, S.; Hildebrandt, E.; Sharath, S. U.; Radulov, I.; Alff, L.

    2017-06-01

    The synthesis conditions of buffer-free (00l) oriented YCo5 and Y2Co17 thin films onto Al2O3 (0001) substrates have been explored by molecular beam epitaxy (MBE). The manipulation of the ratio of individual atomic beams of Yttrium, Y and Cobalt, Co, as well as growth rate variations allows establishing a thin film phase diagram. Highly textured YCo5±x thin films were stabilized with saturation magnetization of 517 emu/cm3 (0.517 MA/m), coercivity of 4 kOe (0.4 T), and anisotropy constant, K1, equal to 5.34 ×106 erg/cm3 (0.53 MJ/m3). These magnetic parameters and the perpendicular anisotropy obtained without additional underlayers make the material system interesting for application in magnetic recording devices.

  4. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1−xFex)2O3 multilayer thin films

    PubMed Central

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-01-01

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe2+ and Fe3+ are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What’s more, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3. PMID:27121446

  5. BiFeO3 epitaxial thin films and devices: past, present and future

    NASA Astrophysics Data System (ADS)

    Sando, D.; Barthélémy, A.; Bibes, M.

    2014-11-01

    The celebrated renaissance of the multiferroics family over the past ten years has also been that of its most paradigmatic member, bismuth ferrite (BiFeO3). Known since the 1960s to be a high temperature antiferromagnet and since the 1970s to be ferroelectric, BiFeO3 only had its bulk ferroic properties clarified in the mid-2000s. It is however the fabrication of BiFeO3 thin films and their integration into epitaxial oxide heterostructures that have fully revealed its extraordinarily broad palette of functionalities. Here we review the first decade of research on BiFeO3 films, restricting ourselves to epitaxial structures. We discuss how thickness and epitaxial strain influence not only the unit cell parameters, but also the crystal structure, illustrated for instance by the discovery of the so-called T-like phase of BiFeO3. We then present its ferroelectric and piezoelectric properties and their evolution near morphotropic phase boundaries. Magnetic properties and their modification by thickness and strain effects, as well as optical parameters, are covered. Finally, we highlight various types of devices based on BiFeO3 in electronics, spintronics, and optics, and provide perspectives for the development of further multifunctional devices for information technology and energy harvesting.

  6. Voltage Scaling of Graphene Device on SrTiO3 Epitaxial Thin Film.

    PubMed

    Park, Jeongmin; Kang, Haeyong; Kang, Kyeong Tae; Yun, Yoojoo; Lee, Young Hee; Choi, Woo Seok; Suh, Dongseok

    2016-03-09

    Electrical transport in monolayer graphene on SrTiO3 (STO) thin film is examined in order to promote gate-voltage scaling using a high-k dielectric material. The atomically flat surface of thin STO layer epitaxially grown on Nb-doped STO single-crystal substrate offers good adhesion between the high-k film and graphene, resulting in nonhysteretic conductance as a function of gate voltage at all temperatures down to 2 K. The two-terminal conductance quantization under magnetic fields corresponding to quantum Hall states survives up to 200 K at a magnetic field of 14 T. In addition, the substantial shift of charge neutrality point in graphene seems to correlate with the temperature-dependent dielectric constant of the STO thin film, and its effective dielectric properties could be deduced from the universality of quantum phenomena in graphene. Our experimental data prove that the operating voltage reduction can be successfully realized due to the underlying high-k STO thin film, without any noticeable degradation of graphene device performance.

  7. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  8. GaN/NbN epitaxial semiconductor/superconductor heterostructures.

    PubMed

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D Scott; Nepal, Neeraj; Downey, Brian P; Muller, David A; Xing, Huili G; Meyer, David J; Jena, Debdeep

    2018-03-07

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors-silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor-an electronic gain element-to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance-a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  9. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    PubMed

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Surface-emitting stimulated emission in high-quality ZnO thin films

    NASA Astrophysics Data System (ADS)

    Zhang, X. Q.; Suemune, Ikuo; Kumano, H.; Wang, J.; Huang, S. H.

    2004-10-01

    High-quality ZnO thin films were grown by plasma-enhanced molecular-beam epitaxy on sapphire substrates. Three excitonic transitions associated with the valence bands A, B, and C were clearly revealed in the reflectance spectrum measured at 33K. This result indicates that the ZnO thin films have the wurtzite crystalline structure. The emission spectra were measured with backscattering geometry at room temperature. When the excitation exceeded a certain value, linewidth narrowing, nonlinear rise of emission intensity, and the shortening of the carrier lifetime were clearly observed and these demonstrate the onset of stimulated emission. Together with the ZnO thickness dependence, we conclude that the observation of a stimulated emission in a direction perpendicular to the film surface is predominantly due to scattering of the in-plane stimulated emission by slightly remaining surface undulations in the ZnO films.

  11. Epitaxial thin films of Dirac semimetal antiperovskite Cu3PdN

    NASA Astrophysics Data System (ADS)

    Quintela, C. X.; Campbell, N.; Shao, D. F.; Irwin, J.; Harris, D. T.; Xie, L.; Anderson, T. J.; Reiser, N.; Pan, X. Q.; Tsymbal, E. Y.; Rzchowski, M. S.; Eom, C. B.

    2017-09-01

    The growth and study of materials showing novel topological states of matter is one of the frontiers in condensed matter physics. Among this class of materials, the nitride antiperovskite Cu3PdN has been proposed as a new three-dimensional Dirac semimetal. However, the experimental realization of Cu3PdN and the consequent study of its electronic properties have been hindered due to the difficulty of synthesizing this material. In this study, we report fabrication and both structural and transport characterization of epitaxial Cu3PdN thin films grown on (001)-oriented SrTiO3 substrates by reactive magnetron sputtering and post-annealed in NH3 atmosphere. The structural properties of the films, investigated by x-ray diffraction and scanning transmission electron microscopy, establish single phase Cu3PdN exhibiting cube-on-cube epitaxy (001)[100]Cu3PdN||(001)[100]SrTiO3. Electrical transport measurements of as-grown samples show metallic conduction with a small temperature coefficient of the resistivity of 1.5 × 10-4 K-1 and a positive Hall coefficient. Post-annealing in NH3 results in the reduction of the electrical resistivity accompanied by the Hall coefficient sign reversal. Using a combination of chemical composition analyses and ab initio band structure calculations, we discuss the interplay between nitrogen stoichiometry and magneto-transport results in the framework of the electronic band structure of Cu3PdN. Our successful growth of thin films of antiperovskite Cu3PdN opens the path to further investigate its physical properties and their dependence on dimensionality, strain engineering, and doping.

  12. Enhancement of Magnetization in Y3Fe5O12 Epitaxial Thin Films

    NASA Astrophysics Data System (ADS)

    Brangham, Jack T.; Gallagher, James C.; Yang, Angela S.; White, Shane P.; Adur, Rohan; Ruane, Willam T.; Esser, Bryan D.; Page, Michael R.; Hammel, P. Chris; McComb, David W.; Yang, Fengyuan

    The ability to generate pure spin currents has applications in telecommunications, radar, and spin-based logic. Y3Fe5O12 (YIG) is one of the best materials for dynamic generation of spin currents due to its low damping, narrow ferromagnetic resonance (FMR) linewidth, and insulating behavior. We grow stoichiometric, high quality, epitaxial YIG thin films with thicknesses ranging from 4 to 250 nm on Gd3Ga5O12 by off-axis magnetron sputtering and characterize the YIG films by various techniques. The temperature dependence of the saturation magnetization was independently measured by in-plane vibrating sample magnetometry, out-of-plane magnetic shape anisotropy, and angular-dependent FMR absorption from 10 K to the Curie temperature of 530 K. The room temperature saturation magnetization was also measured with frequency dependent FMR. All measurements show a magnetization enhancement of 15% or greater when compared to reported magnetization values of bulk YIG crystals. We speculate this is due to suppression of the long wavelength magnons due to the finite size of the films.

  13. Oxygen vacancies controlled multiple magnetic phases in epitaxial single crystal Co0.5(Mg0.55Zn0.45)0.5O1-v thin films

    PubMed Central

    Zhu, Dapeng; Cao, Qiang; Qiao, Ruimin; Zhu, Shimeng; Yang, Wanli; Xia, Weixing; Tian, Yufeng; Liu, Guolei; Yan, Shishen

    2016-01-01

    High quality single-crystal fcc-Cox(MgyZn1-y)1-xO1-v epitaxial thin films with high Co concentration up to x = 0.5 have been fabricated by molecular beam epitaxy. Systematic magnetic property characterization and soft X-ray absorption spectroscopy analysis indicate that the coexistence of ferromagnetic regions, superparamagnetic clusters, and non-magnetic boundaries in the as-prepared Cox(MgyZn1-y)1-xO1-v films is a consequence of the intrinsic inhomogeneous distribution of oxygen vacancies. Furthermore, the relative strength of multiple phases could be modulated by controlling the oxygen partial pressure during sample preparation. Armed with both controllable magnetic properties and tunable band-gap, Cox(MgyZn1-y)1-xO1-v films may have promising applications in future spintronics. PMID:27062992

  14. Modeling the transport properties of epitaxially grown thermoelectric oxide thin films using spectroscopic ellipsometry

    NASA Astrophysics Data System (ADS)

    Sarath Kumar, S. R.; Abutaha, Anas I.; Hedhili, M. N.; Alshareef, H. N.

    2012-01-01

    The influence of oxygen vacancies on the transport properties of epitaxial thermoelectric (Sr,La)TiO3 thin films is determined using electrical and spectroscopic ellipsometry (SE) measurements. Oxygen vacancy concentration was varied by ex-situ annealing in Ar and Ar/H2. All films exhibited degenerate semiconducting behavior, and electrical conductivity decreased (258-133 S cm-1) with increasing oxygen content. Similar decrease in the Seebeck coefficient is observed and attributed to a decrease in effective mass (7.8-3.2 me), as determined by SE. Excellent agreement between transport properties deduced from SE and direct electrical measurements suggests that SE is an effective tool for studying oxide thin film thermoelectrics.

  15. Epitaxial Ba2IrO4 thin-films grown on SrTiO3 substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Nichols, J.; Korneta, O. B.; Terzic, J.; Cao, G.; Brill, J. W.; Seo, S. S. A.

    2014-03-01

    We have synthesized epitaxial Ba2IrO4 (BIO) thin-films on SrTiO3 (001) substrates by pulsed laser deposition and studied their electronic structure by dc-transport and optical spectroscopic experiments. We have observed that BIO thin-films are insulating but close to the metal-insulator transition boundary with significantly smaller transport and optical gap energies than its sister compound, Sr2IrO4. Moreover, BIO thin-films have both an enhanced electronic bandwidth and electronic-correlation energy. Our results suggest that BIO thin-films have great potential for realizing the interesting physical properties predicted in layered iridates.

  16. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  17. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  18. Selective epitaxy using the gild process

    DOEpatents

    Weiner, Kurt H.

    1992-01-01

    The present invention comprises a method of selective epitaxy on a semiconductor substrate. The present invention provides a method of selectively forming high quality, thin GeSi layers in a silicon circuit, and a method for fabricating smaller semiconductor chips with a greater yield (more error free chips) at a lower cost. The method comprises forming an upper layer over a substrate, and depositing a reflectivity mask which is then removed over selected sections. Using a laser to melt the unmasked sections of the upper layer, the semiconductor material in the upper layer is heated and diffused into the substrate semiconductor material. By varying the amount of laser radiation, the epitaxial layer is formed to a controlled depth which may be very thin. When cooled, a single crystal epitaxial layer is formed over the patterned substrate. The present invention provides the ability to selectively grow layers of mixed semiconductors over patterned substrates such as a layer of Ge.sub.x Si.sub.1-x grown over silicon. Such a process may be used to manufacture small transistors that have a narrow base, heavy doping, and high gain. The narrowness allows a faster transistor, and the heavy doping reduces the resistance of the narrow layer. The process does not require high temperature annealing; therefore materials such as aluminum can be used. Furthermore, the process may be used to fabricate diodes that have a high reverse breakdown voltage and a low reverse leakage current.

  19. Domain epitaxy for thin film growth

    DOEpatents

    Narayan, Jagdish

    2005-10-18

    A method of forming an epitaxial film on a substrate includes growing an initial layer of a film on a substrate at a temperature T.sub.growth, said initial layer having a thickness h and annealing the initial layer of the film at a temperature T.sub.anneal, thereby relaxing the initial layer, wherein said thickness h of the initial layer of the film is greater than a critical thickness h.sub.c. The method further includes growing additional layers of the epitaxial film on the initial layer subsequent to annealing. In some embodiments, the method further includes growing a layer of the film that includes at least one amorphous island.

  20. X-ray combined analysis of fiber-textured and epitaxial Ba(Sr,Ti)O{sub 3} thin films deposited by radio frequency sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Remiens, D.; Ponchel, F.; Legier, J. F.

    2011-06-01

    A complete study is given in this paper on the structural properties of Ba(Sr,Ti)O{sub 3} (BST) thin films which present various preferred orientations: (111) and (001) fiber and epitaxial textures. The films are deposited in situ at 800 deg. C by sputtering on Si/SiO{sub 2}/TiO{sub x}/Pt substrates and the orientation is controlled by monitoring the concentration of O{sub 2} in the reactive plasma or by prior deposition of a very thin TiO{sub x} buffer layer between BST films and substrates. The epitaxial films are obtained on (001)-alpha-Al{sub 2}O{sub 3} substrates covered with TiO{sub x} buffer layers. In order to analyzemore » finely the preferred orientations, the texture, the microstructural features, and the anisotropy-related quantities such as residual stresses in the films, the conventional Bragg-Brentano {theta} - 2{theta} x-ray diffraction diagrams is shown not to be sufficient. So, we systematically used x-ray combined analysis, a recently developed methodology which gives access to precise determination of the structure (cell parameters and space group) of the films, their orientation distributions (texture strengths and types) and mean crystallite sizes, their residual stresses. This fine structural analysis shows important modifications between the film qualities which induce differences in BST films electrical behavior, permittivity, loss tangent, and tunability.« less

  1. Epitaxy of Zn{sub 2}TiO{sub 4} (1 1 1) thin films on GaN (0 0 1)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hsiao, Chu-Yun; Wu, Jhih-Cheng; Shih, Chuan-Feng, E-mail: cfshih@mail.ncku.edu.tw

    2013-03-15

    Highlights: ► High-permittivity spinel Zn{sub 2}TiO{sub 4} thin films were grown on GaN (0 0 1) by sputtering. ► Oxygen atmosphere and post heat-treatment annealing effectively enhanced epitaxy. ► The epitaxial Zn{sub 2}TiO{sub 4} modifies the dielectric properties of ceramic oxide. - Abstract: High-permittivity spinel Zn{sub 2}TiO{sub 4} thin films were grown on GaN (0 0 1) by rf-sputtering. Grazing-angle, powder, and pole-figure X-ray diffractometries (XRD) were performed to identify the crystallinity and the preferred orientation of the Zn{sub 2}TiO{sub 4} films. Lattice image at the Zn{sub 2}TiO{sub 4} (1 1 1)/GaN (0 0 1) interface was obtained by high-resolutionmore » transmission-electron microscopy (HR-TEM). An oxygen atmosphere in sputtering and post heat-treatment using rapid thermal annealing effectively enhanced the epitaxy. The epitaxial relationship was determined from the XRD and HR-TEM results: (111){sub Zn{sub 2TiO{sub 4}}}||(001){sub GaN}, (202{sup ¯}){sub Zn{sub 2TiO{sub 4}}}||(110){sub GaN},and[21{sup ¯}1{sup ¯}]{sub Zn{sub 2TiO{sub 4}}}||[01{sup ¯}10]{sub GaN}. Finally, the relative permittivity, interfacial trap density and the flat-band voltage of the Zn{sub 2}TiO{sub 4} based capacitor were ∼18.9, 8.38 × 10{sup 11} eV{sup −1} cm{sup −2}, and 1.1 V, respectively, indicating the potential applications of the Zn{sub 2}TiO{sub 4} thin film to the GaN-based metal-oxide-semiconductor capacitor.« less

  2. Epitaxial Growth of Oriented Metalloporphyrin Network Thin Film for Improved Selectivity of Volatile Organic Compounds.

    PubMed

    Li, De-Jing; Gu, Zhi-Gang; Vohra, Ismail; Kang, Yao; Zhu, Yong-Sheng; Zhang, Jian

    2017-05-01

    This study reports an oriented and homogenous cobalt-metalloporphyrin network (PIZA-1) thin film prepared by liquid phase epitaxial (LPE) method. The thickness of the obtained thin films can be well controlled, and their photocurrent properties can also be tuned by LPE cycles or the introduction of conductive guest molecules (tetracyanoquinodimethane and C 60 ) into the PIZA-1 pores. The study of quartz crystal microbalance adsorption confirms that the PIZA-1 thin film with [110]-orientation presents much higher selectivity of benzene over toluene and p-xylene than that of the PIZA-1 powder with mixed orientations. These results reveal that the selective adsorption of volatile organic compounds highly depends on the growth orientations of porphyrin-based metal-organic framework thin films. Furthermore, the work will provide a new perspective for developing important semiconductive sensing materials with improved selectivity of guest compounds. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Enhancement of lower critical field by reducing the thickness of epitaxial and polycrystalline MgB₂ thin films

    DOE PAGES

    Tan, Teng; Wolak, M. A.; Acharya, Narendra; ...

    2015-04-01

    For potential applications in superconducting RF cavities, we have investigated the properties of polycrystalline MgB₂ films, including the thickness dependence of the lower critical field Hc₁. MgB₂ thin films were fabricated by hybrid physical-chemical vapor deposition on (0001) SiC substrate either directly (for epitaxial films) or with a MgO buffer layer (for polycrystalline films). When the film thickness decreased from 300 nm to 100 nm, Hc₁ at 5 K increased from around 600 Oe to 1880 Oe in epitaxial films and to 1520 Oe in polycrystalline films. The result is promising for using MgB₂/MgO multilayers to enhance the vortex penetrationmore » field.« less

  4. Oxygen vacancies controlled multiple magnetic phases in epitaxial single crystal Co 0.5(Mg 0.55Zn 0.45) 0.5O 1-v thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Dapeng; Cao, Qiang; Qiao, Ruimin

    2016-04-11

    High quality single-crystal fcc-Co x (Mg y Zn 1-y ) 1-x O 1-v epitaxial thin films with high Co concentration up to x = 0.5 have been fabricated by molecular beam epitaxy. Systematic magnetic property characterization and soft X-ray absorption spectroscopy analysis indicate that the coexistence of ferromagnetic regions, superparamagnetic clusters, and non-magnetic boundaries in the as-prepared Co x (Mg y Zn 1-y ) 1-x O 1-v films is a consequence of the intrinsic inhomogeneous distribution of oxygen vacancies. Furthermore, the relative strength of multiple phases could be modulated by controlling the oxygen partial pressure during sample preparation. Armed withmore » both controllable magnetic properties and tunable band-gap, Co x (Mg y Zn 1-y ) 1-x O 1-v films may have promising applications in future spintronics.« less

  5. Semiconductor to Metal Transition Characteristics of VO2/NiO Epitaxial Heterostructures Integrated with Si(100)

    NASA Astrophysics Data System (ADS)

    Molaei, Roya

    The novel functionalities of Vanadium dioxide (VO2), such as, several orders of magnitude transition in resistivity and IR transmittance, provide the exciting opportunity for the development of next generation memory, sensor, and field-effect based devices. A critical issue in the development of practical devices based on metal oxides is the integration of high quality epitaxial oxide thin films with the existing silicon technology which is based on silicon (100) substrates. However, silicon is not suitable for epitaxial growth of oxides owing to its tendency to readily form an amorphous oxide layer or silicide at the film-substrate interface. The oxide films deposited directly on silicon exhibit poor crystallinity and are not suitable for device applications. To overcome this challenge, appropriate substrate templates must be developed for the growth of oxide thin films on silicon substrates. The primary objective of this dissertation was to develop an integration methodology of VO2 with Si (100) substrates so they could be used in "smart" sensor type of devices along with other multifunctional devices on the same silicon chip. This was achieved by using a NiO/c- YSZ template layer deposited in situ. It will be shown that if the deposition conditions are controlled properly. This approach was used to integrate VO 2 thin films with Si (100) substrates using pulsed laser deposition (PLD) technique. The deposition methodology of integrating VO2 thin films on silicon using various other template layers will also be discussed. Detailed epitaxial relationship of NiO/c-YSZ/Si(100) heterostructures as a template to growth of VO2 as well as were studied. We also were able to create a p-n junction within a single NiO epilayer through subsequent nanosecond laser annealing, as well as established a structure-property correlation in NiO/c-YSZ/Si(100) thin film epitaxial heterostructures with especial emphasis on the stoichiometry and crystallographic characteristics. Ni

  6. Diamagnetic to ferromagnetic switching in VO2 epitaxial thin films by nanosecond excimer laser treatment

    NASA Astrophysics Data System (ADS)

    Molaei, R.; Bayati, R.; Nori, S.; Kumar, D.; Prater, J. T.; Narayan, J.

    2013-12-01

    VO2(010)/NiO(111) epitaxial heterostructures were integrated with Si(100) substrates using a cubic yttria-stabilized zirconia (c-YSZ) buffer. The epitaxial alignment across the interfaces was determined to be VO2(010)‖NiO(111)‖c-YSZ(001)‖Si(001) and VO2[100]‖NiO⟨110⟩‖c-YSZ⟨100⟩‖Si⟨100⟩. The samples were subsequently treated by a single shot of a nanosecond KrF excimer laser. Pristine as-deposited film showed diamagnetic behavior, while laser annealed sample exhibited ferromagnetic behavior. The population of majority charge carriers (e-) and electrical conductivity increased by about two orders of magnitude following laser annealing. These observations are attributed to the introduction of oxygen vacancies into the VO2 thin films and the formation of V3+ defects.

  7. Template assisted strain tuning and phase stabilization in epitaxial BiFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Saj Mohan M., M.; Ramadurai, Ranjith

    2018-04-01

    Strain engineering is a key to develop novel properties in functional materials. We report a strain mediated phase stabilization and epitaxial growth of bismuth ferrite(BiFeO3) thin films on LaAlO3 (LAO) substrates. The strain in the epitaxial layer is controlled by controlling the thickness of bottom electrode where the thickness of the BFO is kept constant. The thickness of La0.7Sr0.3MnO3(LSMO) template layer was optimized to grow completely strained tetragonal, tetragonal/rhombohedral mixed phase and fully relaxed rhombohedral phase of BFO layers. The results were confirmed with coupled-θ-2θ scan, and small area reciprocal space mapping. The piezoelectric d33 (˜ 45-48 pm/V) coefficient of the mixed phase was relatively larger than the strained tetragonal and relaxed rhombohedral phase for a given thickness.

  8. Growth of high-quality AlN epitaxial film by optimizing the Si substrate surface

    NASA Astrophysics Data System (ADS)

    Huang, Liegen; Li, Yuan; Wang, Wenliang; Li, Xiaochan; zheng, Yulin; Wang, Haiyan; Zhang, Zichen; Li, Guoqiang

    2018-03-01

    High-quality AlN epitaxial films have been grown on Si substrates by optimizing the hydrofluoric acid (HF) solution for cleaning of Si substrates. Effect of the Si substrate surface on the surface morphology and structural property of AlN epitaxial films is investigated in detail. It is revealed that as the concentration of HF solution increases from 0 to 2.0%, the surface morphology and the crystalline quality are initially improved and then get worse, and show an optimized value at 1.5%. The as-grown ∼200 nm-thick AlN epitaxial films on Si substrates grown with HF solution of 1.5% reveal the root-mean-square (RMS) surface roughness of 0.49 nm and the full-width at half-maximum for AlN(0002) X-ray rocking curve of 0.35°, indicating the smooth surface morphology and the high crystalline quality. The corresponding mechanism is proposed to interpret the effect of Si substrate surface on surface morphology and structural property of AlN epitaxial films, and provides an effective approach for the perspective fabrication of AlN-based devices.

  9. Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

    NASA Astrophysics Data System (ADS)

    Brammertz, Guy; Mols, Yves; Degroote, Stefan; Motsnyi, Vasyl; Leys, Maarten; Borghs, Gustaaf; Caymax, Matty

    2006-05-01

    Thin epitaxial GaAs films, with thickness varying from 140 to 1000 nm and different Si doping levels, were grown at 650 °C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ~1.5 eV and a broad inner-band-gap (IB) structure at an energy of ~1.1 eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5 K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1 meV are measured. GaAs films with thickness smaller than 200 nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018 at./cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists of two Gaussian peaks with energies of 1.04 and 1.17 eV. These deep trapping states arise from Ge-based complexes formed within the GaAs at the Ge-GaAs heterointerface, due to strong diffusion of Ge atoms into the GaAs. Because of similarities with Si-based complexes, the peak at 1.04 eV was identified to be due to a GeGa-GeAs complex, whereas the peak at 1.17 eV was attributed to the GeGa-VGa complex. The intensity of the IB structure decreases strongly as the GaAs film thickness is increased. PL intensity of undoped GaAs films containing antiphase domains (APDs) is four orders of magnitude lower than for similar films without APDs. This reduction in intensity is due to the electrically active Ga-Ga and As-As bonds at the boundaries between the different APDs. When the Si doping level is increased, the PL intensity of the APD-containing films is increased again as well. A film containing APDs with a Si doping level of ~1018 at./cm3 has only a factor 10

  10. Photoelectrochemical etching of epitaxial InGaN thin films: Self-limited kinetics and nanostructuring

    DOE PAGES

    Xiao, Xiaoyin; Fischer, Arthur J.; Coltrin, Michael E.; ...

    2014-10-22

    We report here the characteristics of photoelectrochemical (PEC) etching of epitaxial InGaN semiconductor thin films using narrowband lasers with linewidth less than ~1 nm. In the initial stages of PEC etching, when the thin film is flat, characteristic voltammogram shapes are observed. At low photo-excitation rates, voltammograms are S-shaped, indicating the onset of a voltage-independent rate-limiting process associated with electron-hole-pair creation and/or annihilation. At high photo-excitation rates, voltammograms are superlinear in shape, indicating, for the voltage ranges studied here, a voltage-dependent rate-limiting process associated with surface electrochemical oxidation. As PEC etching proceeds, the thin film becomes rough at the nanoscale,more » and ultimately evolves into an ensemble of nanoparticles. As a result, this change in InGaN film volume and morphology leads to a characteristic dependence of PEC etch rate on time: an incubation time, followed by a rise, then a peak, then a slow decay.« less

  11. Microstructure of epitaxial ferroelectric/metal oxide electrode thin film heterostructures on LaAlO{sub 3} and silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ghonge, S.G.; Goo, E.; Ramesh, R.

    1994-12-31

    TEM and X-ray diffraction studies of PZT, PLZT, lead titanate and bismuth titanate ferroelectric thin films and YBa{sub 2}Cu{sub 3}O{sub 7{minus}x}(YBCO), Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub 8}(BSCCO) and La{sub 0.5}Sr{sub 0.5}CoO{sub 3}(LSCO) electrically conductive oxide thin films, that are sequentially deposited by pulsed laser ablation, show that these films may be deposited epitaxially onto LaAlO{sub 3}(LAO) or Si substrates. The conductive oxides are promising candidates for use is electrodes in place of metal electrodes in integrated ferroelectric device applications. The oxide electrodes are more chemically compatible with the ferroelectric films. High resolution electron microscopy his been used to investigate the interfacemore » between the ferroelectric and metal oxide thin films and no reaction was detected. Epitaxial growth is possible due to the similar crystal structures and the small lattice mismatch. The lattice mismatch that is present causes the domains in the ferroelectric films to be preferentially oriented and in the case of lead titanate, the film is single domain. These films may also have potential applications in integrated optical devices.« less

  12. Unsaturated magnetoconductance of epitaxial La0.7Sr0.3MnO3 thin films in pulsed magnetic fields up to 60 T

    NASA Astrophysics Data System (ADS)

    Niu, Wei; Wang, Xuefeng; Gao, Ming; Xia, Zhengcai; Du, Jun; Nie, Yuefeng; Song, Fengqi; Xu, Yongbing; Zhang, Rong

    2017-05-01

    We report on the temperature and field dependence of resistance of La0.7Sr0.3MnO3 thin films over a wide temperature range and in pulsed magnetic fields up to 60 T. The epitaxial La0.7Sr0.3MnO3 thin films were deposited by laser molecular beam epitaxy. High magnetic field magnetoresistance curves were fitted by the Brillouin function, which indicated the existence of magnetically polarized regions and the underlying hopping mechanism. The unsaturated magnetoconductance was the most striking finding observed in pulsed magnetic fields up to 60 T. These observations can deepen the fundamental understanding of the colossal magnetoresistance in manganites with strong correlation of transport properties and magnetic ordering.

  13. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  14. High-quality crystalline yttria-stabilized-zirconia thin layer for photonic applications

    NASA Astrophysics Data System (ADS)

    Marcaud, Guillaume; Matzen, Sylvia; Alonso-Ramos, Carlos; Le Roux, Xavier; Berciano, Mathias; Maroutian, Thomas; Agnus, Guillaume; Aubert, Pascal; Largeau, Ludovic; Pillard, Valérie; Serna, Samuel; Benedikovic, Daniel; Pendenque, Christopher; Cassan, Eric; Marris-Morini, Delphine; Lecoeur, Philippe; Vivien, Laurent

    2018-03-01

    Functional oxides are considered as promising materials for photonic applications due to their extraordinary and various optical properties. Especially, yttria-stabilized zirconia (YSZ) has a high refractive index (˜2.15), leading to a good confinement of the optical mode in waveguides. Furthermore, YSZ can also be used as a buffer layer to expand toward a large family of oxides-based thin-films heterostructures. In this paper, we report a complete study of the structural properties of YSZ for the development of integrated optical devices on sapphire in telecom wavelength range. The substrate preparation and the epitaxial growth using pulsed-laser deposition technique have been studied and optimized. High-quality YSZ thin films with remarkably sharp x-ray diffraction rocking curve peaks in 10-3∘ range have then been grown on sapphire (0001). It was demonstrated that a thermal annealing of sapphire substrate before the YSZ growth allowed controlling the out-of-plane orientation of the YSZ thin film. Single-mode waveguides were finally designed, fabricated, and characterized for two different main orientations of high-quality YSZ (001) and (111). Propagation loss as low as 2 dB/cm at a wavelength of 1380 nm has been demonstrated for both orientations. These results pave the way for the development of a functional oxides-based photonics platform for numerous applications including on-chip optical communications and sensing.

  15. High-quality EuO thin films the easy way via topotactic transformation

    DOE PAGES

    Mairoser, Thomas; Mundy, Julia A.; Melville, Alexander; ...

    2015-07-16

    Epitaxy is widely employed to create highly oriented crystalline films. A less appreciated, but nonetheless powerful means of creating such films is via topotactic transformation, in which a chemical reaction transforms a single crystal of one phase into a single crystal of a different phase, which inherits its orientation from the original crystal. Topotactic reactions may be applied to epitactic films to substitute, add or remove ions to yield epitactic films of different phases. Here we exploit a topotactic reduction reaction to provide a non-ultra-high vacuum (UHV) means of growing highly oriented single crystalline thin films of the easily over-oxidizedmore » half-metallic semiconductor europium monoxide (EuO) with a perfection rivalling that of the best films of the same material grown by molecular-beam epitaxy or UHV pulsed-laser deposition. Lastly, as the technique only requires high-vacuum deposition equipment, it has the potential to drastically improve the accessibility of high-quality single crystalline films of EuO as well as other difficult-to-synthesize compounds.« less

  16. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Hongling; Chen, Zhengwei; Wu, Zhenping; Cui, Wei; Huang, Yuanqi; Tang, Weihua

    2017-11-01

    Ga2O3 with a wide bandgap of ˜ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It's also demonstrated that the CuGa2O4 film has a bandgap of ˜ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  17. Laser energy tuning of carrier effective mass and thermopower in epitaxial oxide thin films

    NASA Astrophysics Data System (ADS)

    Abutaha, A. I.; Sarath Kumar, S. R.; Alshareef, H. N.

    2012-04-01

    The effect of the laser fluence on high temperature thermoelectric properties of the La doped SrTiO3 (SLTO) thin films epitaxially grown on LaAlO3 <100> substrates by pulsed laser deposition is clarified. It is shown that oxygen vacancies that influence the effective mass of carriers in SLTO films can be tuned by varying the laser energy. The highest power factor of 0.433 W K-1 m-1 has been achieved at 636 K for a film deposited using the highest laser fluence of 7 J cm-2 pulse-1.

  18. Effect of in situ electric-field-assisted growth on antiphase boundaries in epitaxial Fe3O4 thin films on MgO

    NASA Astrophysics Data System (ADS)

    Kumar, Ankit; Wetterskog, Erik; Lewin, Erik; Tai, Cheuk-Wai; Akansel, Serkan; Husain, Sajid; Edvinsson, Tomas; Brucas, Rimantas; Chaudhary, Sujeet; Svedlindh, Peter

    2018-05-01

    Antiphase boundaries (APBs) normally form as a consequence of the initial growth conditions in all spinel ferrite thin films. These boundaries result from the intrinsic nucleation and growth mechanism, and are observed as regions where the periodicity of the crystalline lattice is disrupted. The presence of APBs in epitaxial films of the inverse spinel Fe3O4 alters their electronic and magnetic properties due to strong antiferromagnetic (AF) interactions across these boundaries. We explore the effect of using in-plane in situ electric-field-assisted growth on the formation of APBs in heteroepitaxial Fe3O4 (100)/MgO(100) thin films. The electric-field-assisted growth is found to reduce the AF interactions across APBs and, as a consequence, APB-free thin-film-like properties are obtained, which have been probed by electronic, magnetic, and structural characterization. The electric field plays a critical role in controlling the density of APBs during the nucleation process by providing an electrostatic force acting on adatoms and therefore changing their kinetics. This innovative technique can be employed to grow epitaxial spinel thin films with controlled AF interactions across APBs.

  19. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  20. Effect of deposition pressure on the microstructure and thermoelectric properties of epitaxial ScN(001) thin films sputtered onto MgO(001) substrates

    DOE PAGES

    Burmistrova, Polina V.; Zakharov, Dmitri N.; Favaloro, Tela; ...

    2015-03-14

    Four epitaxial ScN(001) thin films were successfully deposited on MgO(001) substrates by dc reactive magnetron sputtering at 2, 5, 10, and 20 mTorr in an Ar/N2 ambient atmosphere at 650 °C. The microstructure of the resultant films was analyzed by x-ray diffraction, scanning electron microscopy, and transmission electron microscopy. Electrical resistivity, electron mobility and concentration were measured using the room temperature Hall technique, and temperature dependent in-plain measurements of the thermoelectric properties of the ScN thin films were performed. The surface morphology and film crystallinity significantly degrade with increasing deposition pressure. The ScN thin film deposited at 20 mTorr exhibitsmore » the presence of <221> oriented secondary grains resulting in decreased electric properties and a low thermoelectric power factor of 0.5 W/m-K² at 800 K. ScN thin films grown at 5 and 10 mTorr are single crystalline, yielding the power factor of approximately 2.5 W/m-K² at 800 K. The deposition performed at 2 mTorr produces the highest quality ScN thin film with the electron mobility of 98 cm² V⁻¹ s⁻¹ and the power factor of 3.3 W/m-K² at 800 K.« less

  1. Aqueous solution epitaxy of CdS layers on CuInSe 2

    NASA Astrophysics Data System (ADS)

    Furlong, M. J.; Froment, M.; Bernard, M. C.; Cortès, R.; Tiwari, A. N.; Krejci, M.; Zogg, H.; Lincot, D.

    1998-09-01

    Epitaxial CdS thin films have been deposited from an aqueous ammonia solution containing cadmium ions and thiourea as precursors on single crystalline CuInSe 2 films prepared by MBE on Si(1 1 1) and GaAs(1 0 0) substrates. The structure and quality of the films were investigated by RHEED, glancing angle XRD and HRTEM in cross-section. The films are cubic on (1 0 0) substrates, and mixed cubic and hexagonal on (1 1 1) substrates due to the presence of stacking faults parallel to the substrate. The growth is under surface kinetic control with an activation energy of 85 kJ mol -1. Epitaxy improves with increasing temperature and an epitaxial transition temperature at approx. 60°C is demonstrated in the selected experimental conditions. The epitaxy is very sensitive to the preparation of the surface. Beneficial effects of in situ or ex situ chemical etching are found. Similarities between aqueous solution and vapor-phase chemical depositions are pointed out.

  2. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    NASA Astrophysics Data System (ADS)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  3. Structural control of In2Se3 polycrystalline thin films by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Okamoto, T.; Nakada, Y.; Aoki, T.; Takaba, Y.; Yamada, A.; Konagai, M.

    2006-09-01

    Structural control of In2Se3 polycrystalline thin films was attempted by molecular beam epitaxy (MBE) technique. In2Se3 polycrystalline films were obtained on glass substrates at substrate temperatures above 400 °C. VI/III ratio greatly affected crystal structure of In2Se3 polycrystalline films. Mixtures of -In2Se3 and γ-In2Se3 were obtained at VI/III ratios greater than 20, and layered InSe polycrystalline films were formed at VI/III ratios below 1. γ-In2Se3 polycrystalline thin films without α-phase were successfully deposited with VI/III ratios in a range of 2 to 4. Photocurrent spectra of the γ-In2Se3 polycrystalline films showed an abrupt increase at approximately 1.9 eV, which almost corresponds with the reported bandgap of γ-In2Se3. Dark conductivity and photoconductivity measured under solar simulator light (AM 1.5, 100 mW/cm2) were approximately 10-9 and 10-5 S/cm in the γ-In2Se3 polycrystalline thin films, respectively.

  4. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  5. Laboratory and testbeam results for thin and epitaxial planar sensors for HL-LHC

    DOE PAGES

    Bubna, M.; Bolla, G.; Bortoletto, D.; ...

    2015-08-03

    The High-Luminosity LHC (HL-LHC) upgrade of the CMS pixel detector will require the development of novel pixel sensors which can withstand the increase in instantaneous luminosity to L = 5 × 10 34 cm –2s –1 and collect ~ 3000fb –1 of data. The innermost layer of the pixel detector will be exposed to doses of about 10 16 n eq/ cm 2. Hence, new pixel sensors with improved radiation hardness need to be investigated. A variety of silicon materials (Float-zone, Magnetic Czochralski and Epitaxially grown silicon), with thicknesses from 50 μm to 320 μm in p-type and n-type substrates have beenmore » fabricated using single-sided processing. The effect of reducing the sensor active thickness to improve radiation hardness by using various techniques (deep diffusion, wafer thinning, or growing epitaxial silicon on a handle wafer) has been studied. Furthermore, the results for electrical characterization, charge collection efficiency, and position resolution of various n-on-p pixel sensors with different substrates and different pixel geometries (different bias dot gaps and pixel implant sizes) will be presented.« less

  6. Electrochemical Liquid Phase Epitaxy (ec-LPE): A New Methodology for the Synthesis of Crystalline Group IV Semiconductor Epifilms.

    PubMed

    Demuth, Joshua; Fahrenkrug, Eli; Ma, Luyao; Shodiya, Titilayo; Deitz, Julia I; Grassman, Tyler J; Maldonado, Stephen

    2017-05-24

    Deposition of epitaxial germanium (Ge) thin films on silicon (Si) wafers has been achieved over large areas with aqueous feedstock solutions using electrochemical liquid phase epitaxy (ec-LPE) at low temperatures (T ≤ 90 °C). The ec-LPE method uniquely blends the simplicity and control of traditional electrodeposition with the material quality of melt growth. A new electrochemical cell design based on the compression of a liquid metal electrode into a thin cavity that enables ec-LPE is described. The epitaxial nature, low strain character, and crystallographic defect content of the resultant solid Ge films were analyzed by electron backscatter diffraction, scanning transmission electron microscopy, high resolution X-ray diffraction, and electron channeling contrast imaging. The results here show the first step toward a manufacturing infrastructure for traditional crystalline inorganic semiconductor epifilms that does not require high temperature, gaseous precursors, or complex apparatus.

  7. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    PubMed

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  8. Epitaxial growth and electrical transport properties of Cr{sub 2}GeC thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eklund, Per; Thin Film Physics Division, Linkoeping University, IFM, 581 83 Linkoeping; Bugnet, Matthieu

    2011-08-15

    Cr{sub 2}GeC thin films were grown by magnetron sputtering from elemental targets. Phase-pure Cr{sub 2}GeC was grown directly onto Al{sub 2}O{sub 3}(0001) at temperatures of 700-800 deg. C. These films have an epitaxial component with the well-known epitaxial relationship Cr{sub 2}GeC(0001)//Al{sub 2}O{sub 3}(0001) and Cr{sub 2}GeC(1120)//Al{sub 2}O{sub 3}(1100) or Cr{sub 2}GeC(1120)//Al{sub 2}O{sub 3}(1210). There is also a large secondary grain population with (1013) orientation. Deposition onto Al{sub 2}O{sub 3}(0001) with a TiN(111) seed layer and onto MgO(111) yielded growth of globally epitaxial Cr{sub 2}GeC(0001) with a virtually negligible (1013) contribution. In contrast to the films deposited at 700-800 deg. C,more » the ones grown at 500-600 deg. C are polycrystalline Cr{sub 2}GeC with (1010)-dominated orientation; they also exhibit surface segregations of Ge as a consequence of fast Ge diffusion rates along the basal planes. The room-temperature resistivity of our samples is 53-66 {mu}{Omega}cm. Temperature-dependent resistivity measurements from 15-295 K show that electron-phonon coupling is important and likely anisotropic, which emphasizes that the electrical transport properties cannot be understood in terms of ground state electronic structure calculations only.« less

  9. Epitaxy: Programmable Atom Equivalents Versus Atoms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Mary X.; Seo, Soyoung E.; Gabrys, Paul A.

    The programmability of DNA makes it an attractive structure-directing ligand for the assembly of nanoparticle superlattices in a manner that mimics many aspects of atomic crystallization. However, the synthesis of multilayer single crystals of defined size remains a challenge. Though previous studies considered lattice mismatch as the major limiting factor for multilayer assembly, thin film growth depends on many interlinked variables. Here, a more comprehensive approach is taken to study fundamental elements, such as the growth temperature and the thermodynamics of interfacial energetics, to achieve epitaxial growth of nanoparticle thin films. Under optimized equilibrium conditions, single crystal, multilayer thin filmsmore » can be synthesized over 500 × 500 μm2 areas on lithographically patterned templates. Importantly, these superlattices follow the same patterns of crystal growth demonstrated in thin film atomic deposition, allowing for these processes to be understood in the context of well-studied atomic epitaxy, and potentially enabling a nanoscale model to study fundamental crystallization processes.« less

  10. Strain-Dependence of the Structure and Ferroic Properties of Epitaxial NiTiO 3 Thin Films Grown on Different Substrates

    DOE PAGES

    Varga, Tamas; Droubay, Timothy C.; Bowden, Mark E.; ...

    2015-01-01

    Polarization-induced weak ferromagnetism has been predicted a few years back in perovskite MTiO 3 (M = Fe, Mn, and Ni). We set out to stabilize this metastable perovskite structure by growing NiTiO 3 epitaxially on different substrates and to investigate the dependence of polar and magnetic properties on strain. Epitaxial NiTiO 3 films were deposited on Al 2 O 3 , Fe 2 O 3 , and LiNbO 3 substrates by pulsed laser deposition and characterized using several techniques. The effect of substrate choice on lattice strain, film structure, and physical properties was investigated. Our structural data from X-ray diffractionmore » and electron microscopy shows that substrate-induced strain has a marked effect on the structure and crystalline quality of the films. Physical property measurements reveal a dependence of the weak ferromagnetism and lattice polarization on strain and highlight our ability to control the ferroic properties in NiTiO 3 thin films by the choice of substrate. Our results are also consistent with the theoretical prediction that the ferromagnetism in acentric NiTiO 3 is polarization induced. From the substrates studied here, the perovskite substrate LiNbO 3 proved to be the most promising one for strong multiferroism.« less

  11. Growth, structure, and properties of epitaxial thin films of first-principles predicted multiferroic Bi2FeCrO6

    NASA Astrophysics Data System (ADS)

    Nechache, Riad; Harnagea, Catalin; Pignolet, Alain; Normandin, François; Veres, Teodor; Carignan, Louis-Philippe; Ménard, David

    2006-09-01

    The authors report the structural and physical properties of epitaxial Bi2FeCrO6 thin films on epitaxial SrRuO3 grown on (100)-oriented SrTiO3 substrates by pulsed laser ablation. The 300nm thick films exhibit both ferroelectricity and magnetism at room temperature with a maximum dielectric polarization of 2.8μC /cm2 at Emax=82kV/cm and a saturated magnetization of 20emu/cm3 (corresponding to ˜0.26μB per rhombohedral unit cell), with coercive fields below 100Oe. The results confirm the predictions made using ab initio calculations about the existence of multiferroic properties in Bi2FeCrO6.

  12. Improved Epitaxy and Surface Morphology in YBa2Cu3Oy Thin Films Grown on Double Buffered Si Wafers

    NASA Astrophysics Data System (ADS)

    Gao, J.; Kang, L.; Wong, H. Y.; Cheung, Y. L.; Yang, J.

    Highly epitaxial thin films of YBCO have been obtained on silicon wafers using a Eu2CuO4/YSZ (yttrium-stabilized ZrO2) double buffer. Our results showed that application of such a double buffer can significantly enhance the epitaxy of grown YBCO. It also leads to an excellent surface morphology. The average surface roughness was found less than 5 nm in a large range. The results of X-ray small angle reflection and positron spectroscpy demonstrate a very clear and flat interface between YBCO and buffer layers. The Eu2CuO4/YSZ double buffer could be promising for coating high-TC superconducting films on various reactive substrates.

  13. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    NASA Astrophysics Data System (ADS)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  14. Defect-mediated room temperature ferromagnetism in vanadium dioxide thin films

    NASA Astrophysics Data System (ADS)

    Yang, Tsung-Han; Nori, Sudhakar; Zhou, Honghui; Narayan, Jagdish

    2009-09-01

    High quality epitaxial undoped vanadium oxide (VO2) thin films on c-plane sapphire (0001) substrate have been grown using pulsed laser deposition technique. The as-grown films exhibited excellent structural and transport properties without requiring further annealing treatments for these oxygen-deficient oxide films. The epitaxial growth has been achieved via domain matching epitaxy, where matching of integral multiples of planes occurs across the film-substrate interface. The magnetic properties of vanadium oxide (VO2) films investigated at different temperatures in the range of 10-360 K showed significant magnetic hysteresis as well as saturation of the magnetic moment. The origin of ferromagnetic properties with an estimated Curie temperature above 500 K is discussed in the absence of magnetic impurities in VO2 thin films as determined by x-ray photoelectron spectroscopy, x-ray diffraction, and transmission electron microscopy.

  15. Avoiding polar catastrophe in the growth of polarly orientated nickel perovskite thin films by reactive oxide molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, H. F.; Liu, Z. T.; Fan, C. C.

    2016-08-15

    By means of the state-of-the-art reactive oxide molecular beam epitaxy, we synthesized (001)- and (111)-orientated polar LaNiO{sub 3} thin films. In order to avoid the interfacial reconstructions induced by polar catastrophe, screening metallic Nb-doped SrTiO{sub 3} and iso-polarity LaAlO{sub 3} substrates were chosen to achieve high-quality (001)-orientated films in a layer-by-layer growth mode. For largely polar (111)-orientated films, we showed that iso-polarity LaAlO{sub 3} (111) substrate was more suitable than Nb-doped SrTiO{sub 3}. In situ reflection high-energy electron diffraction, ex situ high-resolution X-ray diffraction, and atomic force microscopy were used to characterize these films. Our results show that special attentionsmore » need to be paid to grow high-quality oxide films with polar orientations, which can prompt the explorations of all-oxide electronics and artificial interfacial engineering to pursue intriguing emergent physics like proposed interfacial superconductivity and topological phases in LaNiO{sub 3} based superlattices.« less

  16. Avoiding polar catastrophe in the growth of polarly orientated nickel perovskite thin films by reactive oxide molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Yang, H. F.; Liu, Z. T.; Fan, C. C.; Yao, Q.; Xiang, P.; Zhang, K. L.; Li, M. Y.; Liu, J. S.; Shen, D. W.

    2016-08-01

    By means of the state-of-the-art reactive oxide molecular beam epitaxy, we synthesized (001)- and (111)-orientated polar LaNiO3 thin films. In order to avoid the interfacial reconstructions induced by polar catastrophe, screening metallic Nb-doped SrTiO3 and iso-polarity LaAlO3 substrates were chosen to achieve high-quality (001)-orientated films in a layer-by-layer growth mode. For largely polar (111)-orientated films, we showed that iso-polarity LaAlO3 (111) substrate was more suitable than Nb-doped SrTiO3. In situ reflection high-energy electron diffraction, ex situ high-resolution X-ray diffraction, and atomic force microscopy were used to characterize these films. Our results show that special attentions need to be paid to grow high-quality oxide films with polar orientations, which can prompt the explorations of all-oxide electronics and artificial interfacial engineering to pursue intriguing emergent physics like proposed interfacial superconductivity and topological phases in LaNiO3 based superlattices.

  17. Structural analysis of LaVO3 thin films under epitaxial strain

    NASA Astrophysics Data System (ADS)

    Meley, H.; Karandeep, Oberson, L.; de Bruijckere, J.; Alexander, D. T. L.; Triscone, J.-M.; Ghosez, Ph.; Gariglio, S.

    2018-04-01

    Rare earth vanadate perovskites exhibit a phase diagram in which two different types of structural distortions coexist: the strongest, the rotation of the oxygen octahedra, comes from the small tolerance factor of the perovskite cell (t = 0.88 for LaVO3) and the smaller one comes from inter-site d-orbital interactions manifesting as a cooperative Jahn-Teller effect. Epitaxial strain acts on octahedral rotations and crystal field symmetry to alter this complex lattice-orbit coupling. In this study, LaVO3 thin film structures have been investigated by X-ray diffraction and scanning transmission electron microscopy. The analysis shows two different orientations of octahedral tilt patterns, as well as two distinct temperature behaviors, for compressive and tensile film strain states. Ab initio calculations capture the strain effect on the tilt pattern orientation in agreement with experimental data.

  18. Interface and Electronic Characterization of Thin Epitaxial Co3O4 Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vaz, C.A.; Zhu, Y.; Wang, H.-Q.

    2009-01-15

    The interface and electronic structure of thin ({approx} 20-74 nm) Co{sub 3}O{sub 4}(1 1 0) epitaxial films grown by oxygen-assisted molecular beam epitaxy on MgAl{sub 2}O{sub 4}(1 1 0) single crystal substrates have been investigated by means of real and reciprocal space techniques. As-grown film surfaces are found to be relatively disordered and exhibit an oblique low energy electron diffraction (LEED) pattern associated with the O-rich CoO{sub 2} bulk termination of the (1 1 0) surface. Interface and bulk film structure are found to improve significantly with post-growth annealing at 820 K in air and display sharp rectangular LEED patterns,more » suggesting a surface stoichiometry of the alternative Co{sub 2}O{sub 2} bulk termination of the (1 1 0) surface. Non-contact atomic force microscopy demonstrates the presence of wide terraces separated by atomic steps in the annealed films that are not present in the as-grown structures; the step height of {approx}2.7 {angstrom} corresponds to two atomic layers and confirms a single termination for the annealed films, consistent with the LEED results. A model of the (1 x 1) surfaces that allows for compensation of the polar surfaces is presented.« less

  19. Surface step terrace tuned microstructures and dielectric properties of highly epitaxial CaCu3Ti4O12 thin films on vicinal LaAlO3 substrates

    PubMed Central

    Yao, Guang; Gao, Min; Ji, Yanda; Liang, Weizheng; Gao, Lei; Zheng, Shengliang; Wang, You; Pang, Bin; Chen, Y. B.; Zeng, Huizhong; Li, Handong; Wang, Zhiming; Liu, Jingsong; Chen, Chonglin; Lin, Yuan

    2016-01-01

    Controllable interfacial strain can manipulate the physical properties of epitaxial films and help understand the physical nature of the correlation between the properties and the atomic microstructures. By using a proper design of vicinal single-crystal substrate, the interface strain in epitaxial thin films can be well controlled by adjusting the miscut angle via a surface-step-terrace matching growth mode. Here, we demonstrate that LaAlO3 (LAO) substrates with various miscut angles of 1.0°, 2.75°, and 5.0° were used to tune the dielectric properties of epitaxial CaCu3Ti4O12 (CCTO) thin films. A model of coexistent compressive and tensile strained domains is proposed to understand the epitaxial nature. Our findings on the self-tuning of the compressive and tensile strained domain ratio along the interface depending on the miscut angle and the stress relaxation mechanism under this growth mode will open a new avenue to achieve CCTO films with high dielectric constant and low dielectric loss, which is critical for the design and integration of advanced heterostructures for high performance capacitance device applications. PMID:27703253

  20. Surface step terrace tuned microstructures and dielectric properties of highly epitaxial CaCu3Ti4O12 thin films on vicinal LaAlO3 substrates

    NASA Astrophysics Data System (ADS)

    Yao, Guang; Gao, Min; Ji, Yanda; Liang, Weizheng; Gao, Lei; Zheng, Shengliang; Wang, You; Pang, Bin; Chen, Y. B.; Zeng, Huizhong; Li, Handong; Wang, Zhiming; Liu, Jingsong; Chen, Chonglin; Lin, Yuan

    2016-10-01

    Controllable interfacial strain can manipulate the physical properties of epitaxial films and help understand the physical nature of the correlation between the properties and the atomic microstructures. By using a proper design of vicinal single-crystal substrate, the interface strain in epitaxial thin films can be well controlled by adjusting the miscut angle via a surface-step-terrace matching growth mode. Here, we demonstrate that LaAlO3 (LAO) substrates with various miscut angles of 1.0°, 2.75°, and 5.0° were used to tune the dielectric properties of epitaxial CaCu3Ti4O12 (CCTO) thin films. A model of coexistent compressive and tensile strained domains is proposed to understand the epitaxial nature. Our findings on the self-tuning of the compressive and tensile strained domain ratio along the interface depending on the miscut angle and the stress relaxation mechanism under this growth mode will open a new avenue to achieve CCTO films with high dielectric constant and low dielectric loss, which is critical for the design and integration of advanced heterostructures for high performance capacitance device applications.

  1. Surface step terrace tuned microstructures and dielectric properties of highly epitaxial CaCu3Ti4O12 thin films on vicinal LaAlO3 substrates.

    PubMed

    Yao, Guang; Gao, Min; Ji, Yanda; Liang, Weizheng; Gao, Lei; Zheng, Shengliang; Wang, You; Pang, Bin; Chen, Y B; Zeng, Huizhong; Li, Handong; Wang, Zhiming; Liu, Jingsong; Chen, Chonglin; Lin, Yuan

    2016-10-05

    Controllable interfacial strain can manipulate the physical properties of epitaxial films and help understand the physical nature of the correlation between the properties and the atomic microstructures. By using a proper design of vicinal single-crystal substrate, the interface strain in epitaxial thin films can be well controlled by adjusting the miscut angle via a surface-step-terrace matching growth mode. Here, we demonstrate that LaAlO 3 (LAO) substrates with various miscut angles of 1.0°, 2.75°, and 5.0° were used to tune the dielectric properties of epitaxial CaCu 3 Ti 4 O 12 (CCTO) thin films. A model of coexistent compressive and tensile strained domains is proposed to understand the epitaxial nature. Our findings on the self-tuning of the compressive and tensile strained domain ratio along the interface depending on the miscut angle and the stress relaxation mechanism under this growth mode will open a new avenue to achieve CCTO films with high dielectric constant and low dielectric loss, which is critical for the design and integration of advanced heterostructures for high performance capacitance device applications.

  2. Preparation of epitaxial TlBa2Ca2Cu3O9 high Tc thin films on LaAlO3 (100) substrates

    NASA Astrophysics Data System (ADS)

    Piehler, A.; Reschauer, N.; Spreitzer, U.; Ströbel, J. P.; Schönberger, R.; Renk, K. F.; Saemann-Ischenko, G.

    1994-09-01

    Epitaxial TlBa2Ca2Cu3O9 high Tc thin films were prepared on LaAlO3 (100) substrates by a combination of laser ablation and thermal evaporation of thallium oxide. X-ray diffraction patterns of θ-2θ scans showed that the films consisted of highly c axis oriented TlBa2Ca2Cu3O9. φ scan measurements revealed an epitaxial growth of the TlBa2Ca2Cu3O9 thin films on the LaAlO3 (100) substrates. Ac inductive measurements indicated the onset of superconductivity at 110 K. At 6 K, the critical current density was 4×106 A/cm2 in zero magnetic field and 6×105 A/cm2 at a magnetic field of 3 T parallel to the c axis.

  3. Synthesis and electronic properties of Fe2TiO5 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; Hikita, Yasuyuki

    2018-05-01

    We investigate the growth phase diagram of pseudobrookite Fe2TiO5 epitaxial thin films on LaAlO3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20-80 Ω cm, which are significantly lower than α-Fe2O3, making Fe2TiO5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe2TiO5 in oxide heterostructures for photocatalytic and photoelectrochemical applications.

  4. Optical properties of bulk gallium nitride single crystals grown by chloride-hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Agyekyan, V. F.; Borisov, E. V.; Serov, A. Yu.; Filosofov, N. G.

    2017-12-01

    A gallium nitride crystal 5 mm in thickness was grown by chloride-hydride vapor-phase epitaxy on a sapphire substrate, from which the crystal separated during cooling. At an early stage, a three-dimensional growth mode was implemented, followed by a switch to a two-dimensional mode. Spectra of exciton reflection, exciton luminescence, and Raman scattering are studied in several regions characteristic of the sample. Analysis of these spectra and comparison with previously obtained data for thin epitaxial GaN layers with a wide range of silicon doping enabled conclusions about the quality of the crystal lattice in these characteristic regions.

  5. Low symmetry phase in Pb(Zr0.52Ti0.48)O3 epitaxial thin films with enhanced ferroelectric properties

    NASA Astrophysics Data System (ADS)

    Yan, Li; Li, Jiefang; Cao, Hu; Viehland, D.

    2006-12-01

    The authors report the structural and ferroelectric properties of Pb(Zr0.52Ti0.48)O3 (PZT) epitaxial thin films grown on (001), (110), and (111) SrRuO3/SrTiO3 substrates by pulsed laser deposition. A monoclinic C (Mc) phase has been found for (101) films, whereas (001) and (111) ones were tetragonal (T ) and rhombohedral (R), respectively. The authors find that the ferroelectric polarization of the Mc phase is higher than that in either the T or R ones. These results are consistent with predictions (i) of epitaxial phase diagrams and (ii) that the enhanced ferroelectric properties of morphotropic phase boundary PZT are related to a low symmetry monoclinic phase.

  6. Finite-size versus interface-proximity effects in thin-film epitaxial SrTiO3

    NASA Astrophysics Data System (ADS)

    De Souza, R. A.; Gunkel, F.; Hoffmann-Eifert, S.; Dittmann, R.

    2014-06-01

    The equilibrium electrical conductivity of epitaxial SrTiO3 (STO) thin films was investigated as a function of temperature, 950≤ T/K ≤1100, and oxygen partial pressure, 10-23≤ pO2/bar ≤1. Compared with single-crystal STO, nanoscale thin-film STO exhibited with decreasing film thickness an increasingly enhanced electronic conductivity under highly reducing conditions, with a corresponding decrease in the activation enthalpy of conduction. This implies substantial modification of STO's point-defect thermodynamics for nanoscale film thicknesses. We argue, however, against such a finite-size effect and for an interface-proximity effect. Indeed, assuming trapping of oxygen vacancies at the STO surface and concomitant depletion of oxygen vacancies—and accumulation of electrons—in an equilibrium surface space-charge layer, we are able to predict quantitatively the conductivity as a function of temperature, oxygen partial pressure, and film thickness. Particularly complex behavior is predicted for ultrathin films that are consumed entirely by space charge.

  7. Thin film growth of CaFe2As2 by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Hatano, T.; Kawaguchi, T.; Fujimoto, R.; Nakamura, I.; Mori, Y.; Harada, S.; Ujihara, T.; Ikuta, H.

    2016-01-01

    Film growth of CaFe2As2 was realized by molecular beam epitaxy on six different substrates that have a wide variation in the lattice mismatch to the target compound. By carefully adjusting the Ca-to-Fe flux ratio, we obtained single-phase thin films for most of the substrates. Interestingly, an expansion of the CaFe2As2 lattice to the out-of-plane direction was observed for all films, even when an opposite strain was expected. A detailed microstructure observation of the thin film grown on MgO by transmission electron microscope revealed that it consists of cube-on-cube and 45°-rotated domains. The latter domains were compressively strained in plane, which caused a stretching along the c-axis direction. Because the domains were well connected across the boundary with no appreciable discontinuity, we think that the out-of-plane expansion in the 45°-rotated domains exerted a tensile stress on the other domains, resulting in the unexpectedly large c-axis lattice parameter, despite the apparently opposite lattice mismatch.

  8. Highly Oriented Atomically Thin Ambipolar MoSe2 Grown by Molecular Beam Epitaxy

    PubMed Central

    2017-01-01

    Transition metal dichalcogenides (TMDCs), together with other two-dimensional (2D) materials, have attracted great interest due to the unique optical and electrical properties of atomically thin layers. In order to fulfill their potential, developing large-area growth and understanding the properties of TMDCs have become crucial. Here, we have used molecular beam epitaxy (MBE) to grow atomically thin MoSe2 on GaAs(111)B. No intermediate compounds were detected at the interface of as-grown films. Careful optimization of the growth temperature can result in the growth of highly aligned films with only two possible crystalline orientations due to broken inversion symmetry. As-grown films can be transferred onto insulating substrates, allowing their optical and electrical properties to be probed. By using polymer electrolyte gating, we have achieved ambipolar transport in MBE-grown MoSe2. The temperature-dependent transport characteristics can be explained by the 2D variable-range hopping (2D-VRH) model, indicating that the transport is strongly limited by the disorder in the film. PMID:28530829

  9. Strain tuning of electronic structure in Bi 4Ti 3O 12-LaCoO 3 epitaxial thin films

    DOE PAGES

    Choi, Woo Seok; Lee, Ho Nyung

    2015-05-08

    In this study, we investigated the crystal and electronic structures of ferroelectric Bi 4Ti 3O 12 single-crystalline thin films site-specifically substituted with LaCoO 3 (LCO). The epitaxial films were grown by pulsed laser epitaxy on NdGaO 3 and SrTiO 3 substrates to vary the degree of strain. With increasing the LCO substitution, we observed a systematic increase in the c-axis lattice constant of the Aurivillius phase related with the modification of pseudo-orthorhombic unit cells. These compositional and structural changes resulted in a systematic decrease in the band gap, i.e., the optical transition energy between the oxygen 2p and transition-metal 3dmore » states, based on a spectroscopic ellipsometry study. In particular, the Co 3d state seems to largely overlap with the Ti t 2g state, decreasing the band gap. Interestingly, the applied tensile strain facilitates the band-gap narrowing, demonstrating that epitaxial strain is a useful tool to tune the electronic structure of ferroelectric transition-metal oxides.« less

  10. Electrical and structural properties of epitaxially deposited chromium thin films

    NASA Astrophysics Data System (ADS)

    Ohashi, M.; Sawabu, M.; Nakanishi, H.; Ohashi, K.; Maeta, K.

    2018-05-01

    We studied the electrical resistance and crystal structure of epitaxial chromium (Cr) films. The lattice constant of the Cr films was larger than that of the bulk Cr because of MgO substrate on which Cr was epitaxially deposited. A chromium oxide layer having a thickness of 1 nm was found on all films from the result of X-ray reflectivity measurements. The electrical resistivity ρ(T) shows metallic behavior for all epitaxial Cr films in contrast with polycrystalline one. However, the magnitude of ρ tends to increase and the antiferromagnetic interaction is suppressed as decreasing thickness of film.

  11. Detection of current induced spin polarization in epitaxial Bi2Te3 thin film

    NASA Astrophysics Data System (ADS)

    Dey, Rik; Roy, Anupam; Pramanik, Tanmoy; Rai, Amritesh; Heon Shin, Seung; Majumder, Sarmita; Register, Leonard F.; Banerjee, Sanjay K.

    2017-03-01

    We electrically detect charge current induced spin polarization on the surface of a molecular beam epitaxy grown Bi2Te3 thin film in a two-terminal device with a ferromagnetic MgO/Fe contact and a nonmagnetic Ti/Au contact. The two-point resistance, measured in an applied magnetic field, shows a hysteresis tracking the magnetization of Fe. A theoretical estimate is obtained for the change in resistance on reversing the magnetization direction of Fe from coupled spin-charge transport equations based on the quantum kinetic theory. The order of magnitude and the sign of the hysteresis are consistent with the spin-polarized surface state of Bi2Te3.

  12. Optical properties of epitaxial BiFeO3 thin film grown on SrRuO3-buffered SrTiO3 substrate.

    PubMed

    Xu, Ji-Ping; Zhang, Rong-Jun; Chen, Zhi-Hui; Wang, Zi-Yi; Zhang, Fan; Yu, Xiang; Jiang, An-Quan; Zheng, Yu-Xiang; Wang, Song-You; Chen, Liang-Yao

    2014-01-01

    The BiFeO3 (BFO) thin film was deposited by pulsed-laser deposition on SrRuO3 (SRO)-buffered (111) SrTiO3 (STO) substrate. X-ray diffraction pattern reveals a well-grown epitaxial BFO thin film. Atomic force microscopy study indicates that the BFO film is rather dense with a smooth surface. The ellipsometric spectra of the STO substrate, the SRO buffer layer, and the BFO thin film were measured, respectively, in the photon energy range 1.55 to 5.40 eV. Following the dielectric functions of STO and SRO, the ones of BFO described by the Lorentz model are received by fitting the spectra data to a five-medium optical model consisting of a semi-infinite STO substrate/SRO layer/BFO film/surface roughness/air ambient structure. The thickness and the optical constants of the BFO film are obtained. Then a direct bandgap is calculated at 2.68 eV, which is believed to be influenced by near-bandgap transitions. Compared to BFO films on other substrates, the dependence of the bandgap for the BFO thin film on in-plane compressive strain from epitaxial structure is received. Moreover, the bandgap and the transition revealed by the Lorentz model also provide a ground for the assessment of the bandgap for BFO single crystals.

  13. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  14. Epitaxial ferromagnetic oxide thin films on silicon with atomically sharp interfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coux, P. de; CEMES-CNRS, 29 rue Jeanne Marvig, BP 94347, Toulouse Cedex 4; Bachelet, R.

    A bottleneck in the integration of functional oxides with silicon, either directly grown or using a buffer, is the usual formation of an amorphous interfacial layer. Here, we demonstrate that ferromagnetic CoFe{sub 2}O{sub 4} films can be grown epitaxially on Si(111) using a Y{sub 2}O{sub 3} buffer layer, and remarkably the Y{sub 2}O{sub 3}/Si(111) interface is stable and remains atomically sharp. CoFe{sub 2}O{sub 4} films present high crystal quality and high saturation magnetization.

  15. Epitaxial Growth of MOF Thin Film for Modifying the Dielectric Layer in Organic Field-Effect Transistors.

    PubMed

    Gu, Zhi-Gang; Chen, Shan-Ci; Fu, Wen-Qiang; Zheng, Qingdong; Zhang, Jian

    2017-03-01

    Metal-organic framework (MOF) thin films are important in the application of sensors and devices. However, the application of MOF thin films in organic field effect transistors (OFETs) is still a challenge to date. Here, we first use the MOF thin film prepared by a liquid-phase epitaxial (LPE) approach (also called SURMOFs) to modify the SiO 2 dielectric layer in the OFETs. After the semiconductive polymer of PTB7-Th (poly[4,8-bis(5-(2-ethylhexyl)thiophene-2-yl)benzo[1,2-b:4,5-b']dithiophene-co-3-fluorothieno[3,4-b]thiophene-2-carboxylate]) was coated on MOF/SiO 2 and two electrodes on the semiconducting film were deposited sequentially, MOF-based OFETs were fabricated successfully. By controlling the LPE cycles of SURMOF HKUST-1 (also named Cu 3 (BTC) 2 , BTC = 1,3,5-benzenetricarboxylate), the performance of the HKUST-1/SiO 2 -based OFETs showed high charge mobility and low threshold voltage. This first report on the application of MOF thin film in OFETs will offer an effective approach for designing a new kind of materials for the OFET application.

  16. Electronic Degeneracy and Intrinsic Magnetic Properties of EpitaxialNb : SrTiO3 Thin Films Controlled by Defects

    NASA Astrophysics Data System (ADS)

    Sarantopoulos, A.; Ferreiro-Vila, E.; Pardo, V.; Magén, C.; Aguirre, M. H.; Rivadulla, F.

    2015-10-01

    We report thermoelectric power experiments in e -doped thin films of SrTiO3 (STO) which demonstrate that the electronic band degeneracy can be lifted through defect management during growth. We show that even small amounts of cationic vacancies, combined with epitaxial stress, produce a homogeneous tetragonal distortion of the films, resulting in a Kondo-like resistance upturn at low temperature, large anisotropic magnetoresistance, and nonlinear Hall effect. Ab initio calculations confirm a different occupation of each band depending on the degree of tetragonal distortion. The phenomenology reported in this Letter for tetragonally distorted e -doped STO thin films, is similar to that observed in LaAlO3 /STO interfaces and magnetic STO quantum wells.

  17. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    NASA Astrophysics Data System (ADS)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  18. Synthesis and electronic properties of Fe 2TiO 5 epitaxial thin films

    DOE PAGES

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; ...

    2018-05-02

    Here, we investigate the growth phase diagram of pseudobrookite Fe 2TiO 5 epitaxial thin films on LaAlO 3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20–80 Ω cm, which are significantly lower than α-Fe 2O 3, making Fe 2TiO 5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe 2TiO 5 in oxide heterostructures for photocatalytic and photoelectrochemicalmore » applications.« less

  19. Dielectric and ferroelectric properties of strain-relieved epitaxial lead-free KNN-LT-LS ferroelectric thin films on SrTiO3 substrates

    NASA Astrophysics Data System (ADS)

    Abazari, M.; Akdoǧan, E. K.; Safari, A.

    2008-05-01

    We report the growth of single-phase (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrRuO3 coated ⟨001⟩ oriented SrTiO3 substrates by using pulsed laser deposition. Films grown at 600°C under low laser fluence exhibit a ⟨001⟩ textured columnar grained nanostructure, which coalesce with increasing deposition temperature, leading to a uniform fully epitaxial highly stoichiometric film at 750°C. However, films deposited at lower temperatures exhibit compositional fluctuations as verified by Rutherford backscattering spectroscopy. The epitaxial films of 400-600nm thickness have a room temperature relative permittivity of ˜750 and a loss tangent of ˜6% at 1kHz. The room temperature remnant polarization of the films is 4μC /cm2, while the saturation polarization is 7.1μC/cm2 at 24kV/cm and the coercive field is ˜7.3kV/cm. The results indicate that approximately 50% of the bulk permittivity and 20% of bulk spontaneous polarization can be retained in submicron epitaxial KNN-LT-LS thin film, respectively. The conductivity of the films remains to be a challenge as evidenced by the high loss tangent, leakage currents, and broad hysteresis loops.

  20. Direct observation of fatigue in epitaxially grown Pb(Zr,Ti)O3 thin films using second harmonic piezoresponse force microscopy

    NASA Astrophysics Data System (ADS)

    Murari, Nishit M.; Hong, Seungbum; Lee, Ho Nyung; Katiyar, Ram. S.

    2011-08-01

    Here, we present a direct observation of fatigue phenomena in epitaxially grown Pb(Zr0.2Ti0.8)O3 (PZT) thin films using second harmonic piezoresponse force microscopy (SH-PFM). We observed strong correlation between the SH-PFM amplitude and phase signals with the remnant piezoresponse at different switching cycles. The SH-PFM results indicate that the average fraction of switchable domains decreases globally and the phase delays of polarization switching differ locally. In addition, we found that the fatigue developed uniformly over the whole area without developing region-by-region suppression of switchable polarization as in polycrystalline PZT thin films.

  1. Superconductivity-localization interplay and fluctuation magnetoresistance in epitaxial BaPb1 -xBixO3 thin films

    NASA Astrophysics Data System (ADS)

    Harris, D. T.; Campbell, N.; Uecker, R.; Brützam, M.; Schlom, D. G.; Levchenko, A.; Rzchowski, M. S.; Eom, C.-B.

    2018-04-01

    BaPb1 -xBixO3 is a superconductor, with transition temperature Tc=11 K, whose parent compound BaBiO3 possesses a charge ordering phase and perovskite crystal structure reminiscent of the cuprates. The lack of magnetism simplifies the BaPb1 -xBixO3 phase diagram, making this system an ideal platform for contrasting high-Tc systems with isotropic superconductors. Here we use high-quality epitaxial thin films and magnetotransport to demonstrate superconducting fluctuations that extend well beyond Tc. For the thickest films (thickness above ˜100 nm ) this region extends to ˜27 K , well above the bulk Tc and remarkably close to the higher Tc of Ba1 -xKxBiO3 (Tc=31 K). We drive the system through a superconductor-insulator transition by decreasing thickness and find the observed Tc correlates strongly with disorder. This material manifests strong fluctuations across a wide range of thicknesses, temperatures, and disorder presenting new opportunities for understanding the precursor of superconductivity near the 2D-3D dimensionality crossover.

  2. Study of phonons in irradiated epitaxial thin films of UO2

    NASA Astrophysics Data System (ADS)

    Rennie, S.; Lawrence Bright, E.; Darnbrough, J. E.; Paolasini, L.; Bosak, A.; Smith, A. D.; Mason, N.; Lander, G. H.; Springell, R.

    2018-06-01

    We report experiments to determine the effect of radiation damage on the phonon spectra of the most common nuclear fuel, UO2. We irradiated thin (˜300 nm) epitaxial films of UO2 with 2.1 MeV He2 + ions to 0.15 displacements per atom and a lattice swelling of Δ a /a ˜0.6 % and then used grazing-incidence inelastic x-ray scattering to measure the phonon spectrum. We succeeded in observing the acoustic modes, both transverse and longitudinal, across the Brillouin zone. The phonon energies, in both the pristine and irradiated samples, are unchanged from those observed in bulk material. On the other hand, the phonon linewidths (inversely proportional to the phonon lifetimes) show a significant broadening when comparing the pristine and irradiated samples. This effect is shown to increase with phonon energy across the Brillouin zone. The decreases in the phonon lifetimes of the acoustic modes are roughly consistent with a 50% reduction in the thermal conductivity.

  3. Oxygen content modulation by nanoscale chemical and electrical patterning in epitaxial SrCoO3-δ (0 < δ ≤ 0.5) thin films

    NASA Astrophysics Data System (ADS)

    Hu, S.; Seidel, J.

    2016-08-01

    Fast controllable redox reactions in solid materials at room temperature are a promising strategy for enhancing the overall performance and lifetime of many energy technology materials and devices. Easy control of oxygen content is a key concept for the realisation of fast catalysis and bulk diffusion at room temperature. Here, high quality epitaxial brownmillerite SrCoO2.5 thin films have been oxidised to perovskite (P) SrCoO3 with NaClO. X-ray diffraction, scanning probe microscopy and x-ray photoelectron spectroscopy measurements were performed to investigate the structural and electronic changes of the material. The oxidised thin films were found to exhibit distinct morphological changes from an atomically flat terrace structure to forming small nanosized islands with boundaries preferentially in [100] or [010] directions all over the surface, relaxing the in-plane strain imposed by the substrate. The conductivity, or oxygen content, of each single island is confined by these textures, which can be locally patterned even further with electric poling. The high charging level at the island boundaries indicates a magnified electric capacity of SCO thin films, which could be exploited in future device geometries. This finding represents a new way of oxygen modulation with associated self-assembled charge confinement to nanoscale boundaries, offering interesting prospects in nanotechnology applications.

  4. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  5. Temperature stabilized effusion cell evaporation source for thin film deposition and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tiedje, H. F.; Brodie, D. E.

    2000-05-01

    A simple effusion cell evaporation source for thin film deposition and molecular-beam epitaxy is described. The source consists of a crucible with a thermocouple temperature sensor heated by a resistive crucible heater. Radiation heat transfer from the crucible to the thermocouple produces a consistent and reproducible thermocouple temperature for a given crucible temperature, without direct contact between the thermocouple and the crucible. The thermocouple temperature is somewhat less than the actual crucible temperature because of heat flow from the thermocouple junction along the thermocouple lead wires. In a typical case, the thermocouple temperature is 1007 °C while the crucible is at 1083 °C. The crucible temperature stability is estimated from the measured sensitivity of the evaporation rate of indium to temperature, and the observed variations in the evaporation rate for a fixed thermocouple temperature. The crucible temperature peak-to-peak variation over a one hour period is 1.2 °C. Machined molybdenum crucibles were used in the indium and copper sources for depositing CuInSe2 thin films for solar cells.

  6. Magnetic properties of low-moment ferrimagnetic Heusler Cr2CoGa thin films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Jamer, Michelle E.; Sterbinsky, George E.; Stephen, Gregory M.; DeCapua, Matthew C.; Player, Gabriel; Heiman, Don

    2016-10-01

    Recently, theorists have predicted many materials with a low magnetic moment and large spin-polarization for spintronic applications. These compounds are predicted to form in the inverse Heusler structure; however, many of these compounds have been found to phase segregate. In this study, ordered Cr2CoGa thin films were synthesized without phase segregation using molecular beam epitaxy. The present as-grown films exhibit a low magnetic moment from antiferromagnetically coupled Cr and Co atoms as measured with superconducting quantum interface device magnetometry and soft X-ray magnetic circular dichroism. Electrical measurements demonstrated a thermally-activated semiconductor-like resistivity component with an activation energy of 87 meV. These results confirm spin gapless semiconducting behavior, which makes these thin films well positioned for future devices.

  7. Epitaxial stabilization and phase instability of VO2 polymorphs

    NASA Astrophysics Data System (ADS)

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; Lee, Ho Nyung

    2016-01-01

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices.

  8. Epitaxial stabilization and phase instability of VO2 polymorphs.

    PubMed

    Lee, Shinbuhm; Ivanov, Ilia N; Keum, Jong K; Lee, Ho Nyung

    2016-01-20

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices.

  9. Epitaxial stabilization and phase instability of VO2 polymorphs

    PubMed Central

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; Lee, Ho Nyung

    2016-01-01

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices. PMID:26787259

  10. Epitaxial stabilization and phase instability of VO 2 polymorphs

    DOE PAGES

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; ...

    2016-01-20

    The VO 2 polymorphs, i.e., VO 2(A), VO 2(B), VO 2(M1) and VO 2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO 2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO 2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on variousmore » perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO 2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. In conclusion, our successful epitaxy of both VO 2(A) and VO 2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO 2 polymorphs for potential applications in advanced electronic and energy devices.« less

  11. Optimisation of growth of epitaxial Tl 2Ba 2Ca 1Cu 2O 8 superconducting thin films for electronic device applications

    NASA Astrophysics Data System (ADS)

    Michael, Peter C.; Johansson, L.-G.; Bengtsson, L.; Claeson, T.; Ivanov, Z. G.; Olsson, E.; Berastegui, P.; Stepantsov, E.

    1994-12-01

    Epitaxial thin films of Tl 2Ba 2Ca 1Cu 2O 8 (Tl-2212) superconductor have been grown on single crystal (100) lanthanum aluminate (LaAlO 3) substrates by a two stage process: laser ablation of a BaCaCuO (0212) sintered target and post-deposition anneal ex-situ in a thallium environment. The films are c-axis oriented with in-plane epitaxy as determined by x-ray diffraction (XRD θ-2θ and φ-scans). Superconducting transition temperatures as high as 105.5K have been obtained both from four-probe resistance and a.c. magnetic susceptibility measurements. Film morphology and chemical composition have been assessed by scanning electron microscopy (SEM) and energy dispersive x-ray analysis (EDX). Sensitivity of the precursor film to environmental exposure has proven to be a determining factor in the reproducibility of film growth characteristics. The effect of oxygen partial pressure and substrate temperature used in the precursor film synthesis, as well as the thallium annealing temperature and duration, on the growth of Tl-2212 thin films is reported.

  12. Electronic Degeneracy and Intrinsic Magnetic Properties of EpitaxialNb: SrTiO3 Thin Films Controlled by Defects.

    PubMed

    Sarantopoulos, A; Ferreiro-Vila, E; Pardo, V; Magén, C; Aguirre, M H; Rivadulla, F

    2015-10-16

    We report thermoelectric power experiments in e-doped thin films of SrTiO3 (STO) which demonstrate that the electronic band degeneracy can be lifted through defect management during growth. We show that even small amounts of cationic vacancies, combined with epitaxial stress, produce a homogeneous tetragonal distortion of the films, resulting in a Kondo-like resistance upturn at low temperature, large anisotropic magnetoresistance, and nonlinear Hall effect. Ab initio calculations confirm a different occupation of each band depending on the degree of tetragonal distortion. The phenomenology reported in this Letter for tetragonally distorted e-doped STO thin films, is similar to that observed in LaAlO3/STO interfaces and magnetic STO quantum wells.

  13. Epitaxial growth of metallic buffer layer structure and c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 thin film on Si for high performance piezoelectric micromachined ultrasonic transducer

    NASA Astrophysics Data System (ADS)

    Thao, Pham Ngoc; Yoshida, Shinya; Tanaka, Shuji

    2017-12-01

    This paper reports on the development of a metallic buffer layer structure, (100) SrRuO3 (SRO)/(100) Pt/(100) Ir/(100) yttria-stabilized zirconia (YSZ) layers for the epitaxial growth of a c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 (PMnN-PZT) thin film on a (100) Si wafer for piezoelectric micro-electro mechanical systems (MEMS) application. The stacking layers were epitaxially grown on a Si substrate under the optimal deposition condition. A crack-free PMnN-PZT epitaxial thin films was obtained at a thickness up to at least 1.7 µm, which is enough for MEMS applications. The unimorph MEMS cantilevers based on the PMnN-PZT thin film were fabricated and characterized. As a result, the PMnN-PZT thin film exhibited -10 to -12 C/m2 as a piezoelectric coefficient e 31,f and ˜250 as a dielectric constants ɛr. The resultant FOM for piezoelectric micromachined ultrasonic transducer (pMUT) is higher than those of general PZT and AlN thin films. This structure has a potential to provide high-performance pMUTs.

  14. Reversible pyroelectric and photogalvanic current in epitaxial Pb(Zr0.52Ti0.48)O3 thin films

    NASA Astrophysics Data System (ADS)

    Lee, J.; Esayan, S.; Prohaska, J.; Safari, A.

    1994-01-01

    The pyroelectric and photogalvanic effects have been studied in epitaxial Pb(Zr0.52Ti0.48)O3 (PZT) thin films. Photoinduced currents, which were completely reversible by electrical voltage, were observed. The photoinduced currents exhibited transient and steady state components. The transient component, in turn, consisted of two components with fast (<1 s) and slow (˜hours) relaxation times. The mechanisms of the photoinduced currents in PZT films and their possible applications in nondestructive readout ferroelectric memory are discussed.

  15. Hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cai, Tuocheng; Jia, Zhenzhao; Yan, Baoming

    2015-01-05

    We demonstrate hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC. Compared with the conventional thermal decomposition technique, the size of the growth domain by this method is substantially increased and the thickness variation is reduced. Based on the morphology of epitaxial graphene, the role of hydrogen is revealed. It is found that hydrogen acts as a carbon etchant. It suppresses the defect formation and nucleation of graphene. It also improves the kinetics of carbon atoms via hydrocarbon species. These effects lead to increase of the domain size and the structure quality. The consequent capping effectmore » results in smooth surface morphology and suppression of multilayer growth. Our method provides a viable route to fine tune the growth kinetics of epitaxial graphene on SiC.« less

  16. Nanometer-thick gold on silicon as a proxy for single-crystal gold for the electrodeposition of epitaxial cuprous oxide thin films

    DOE PAGES

    Switzer, Jay A.; Hill, James C.; Mahenderkar, Naveen K.; ...

    2016-05-27

    Here, single-crystal Au is an excellent substrate for electrochemical epitaxial growth due to its chemical inertness, but the high cost of bulk Au single crystals prohibits their use in practical applications. Here, we show that ultrathin epitaxial films of Au electrodeposited onto Si(111), Si(100), and Si(110) wafers can serve as an inexpensive proxy for bulk single-crystal Au for the deposition of epitaxial films of cuprous oxide (Cu 2O). The Au films range in thickness from 7.7 nm for a film deposited for 5 min to 28.3 nm for a film deposited for 30 min. The film thicknesses are measured bymore » low-angle X-ray reflectivity and X-ray Laue oscillations. High-resolution TEM shows that there is not an interfacial SiO x layer between the Si and Au. The Au films deposited on the Si(111) substrates are smoother and have lower mosaic spread than those deposited onto Si(100) and Si(110). The mosaic spread of the Au(111) layer on Si(111) is only 0.15° for a 28.3 nm thick film. Au films deposited onto degenerate Si(111) exhibit ohmic behavior, whereas Au films deposited onto n-type Si(111) with a resistivity of 1.15 Ω·cm are rectifying with a barrier height of 0.85 eV. The Au and the Cu 2O follow the out-of-plane and in-plane orientations of the Si substrates, as determined by X-ray pole figures. The Au and Cu 2O films deposited on Si(100) and Si(110) are both twinned. The films grown on Si(100) have twins with a [221] orientation, and the films grown on Si(110) have twins with a [411] orientation. An interface model is proposed for all Si orientations, in which the –24.9% mismatch for the Au/Si system is reduced to only +0.13% by a coincident site lattice in which 4 unit meshes of Au coincide with 3 unit meshes of Si. Although this study only considers the deposition of epitaxial Cu 2O films on electrodeposited Au/Si, the thin Au films should serve as high-quality substrates for the deposition of a wide variety of epitaxial materials.« less

  17. Synthesis and electronic properties of Ruddlesden-Popper strontium iridate epitaxial thin films stabilized by control of growth kinetics

    NASA Astrophysics Data System (ADS)

    Liu, Xiaoran; Cao, Yanwei; Pal, B.; Middey, S.; Kareev, M.; Choi, Y.; Shafer, P.; Haskel, D.; Arenholz, E.; Chakhalian, J.

    2017-12-01

    We report on the selective fabrication of high-quality Sr2IrO4 and SrIrO3 epitaxial thin films from a single polycrystalline Sr2IrO4 target by pulsed laser deposition. Using a combination of x-ray diffraction and photoemission spectroscopy characterizations, we discover that within a relatively narrow range of substrate temperature, the oxygen partial pressure plays a critical role in the cation stoichiometric ratio of the films, and triggers the stabilization of different Ruddlesden-Popper (RP) phases. Resonant x-ray absorption spectroscopy measurements taken at the Ir L edge and the O K edge demonstrate the presence of strong spin-orbit coupling, and reveal the electronic and orbital structures of both compounds. These results suggest that in addition to the conventional thermodynamics consideration, higher members of the Srn +1IrnO3 n +1 series can possibly be achieved by kinetic control away from the thermodynamic limit. These findings offer an approach to the synthesis of ultrathin films of the RP series of iridates and can be extended to other complex oxides with layered structure.

  18. Epitaxially grown strained pentacene thin film on graphene membrane.

    PubMed

    Kim, Kwanpyo; Santos, Elton J G; Lee, Tae Hoon; Nishi, Yoshio; Bao, Zhenan

    2015-05-06

    Organic-graphene system has emerged as a new platform for various applications such as flexible organic photovoltaics and organic light emitting diodes. Due to its important implication in charge transport, the study and reliable control of molecular packing structures at the graphene-molecule interface are of great importance for successful incorporation of graphene in related organic devices. Here, an ideal membrane of suspended graphene as a molecular assembly template is utilized to investigate thin-film epitaxial behaviors. Using transmission electron microscopy, two distinct molecular packing structures of pentacene on graphene are found. One observed packing structure is similar to the well-known bulk-phase, which adapts a face-on molecular orientation on graphene substrate. On the other hand, a rare polymorph of pentacene crystal, which shows significant strain along the c-axis, is identified. In particular, the strained film exhibits a specific molecular orientation and a strong azimuthal correlation with underlying graphene. Through ab initio electronic structure calculations, including van der Waals interactions, the unusual polymorph is attributed to the strong graphene-pentacene interaction. The observed strained organic film growth on graphene demonstrates the possibility to tune molecular packing via graphene-molecule interactions. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Electromigration in epitaxial Cu(001) lines

    NASA Astrophysics Data System (ADS)

    Ramanath, G.; Kim, H.; Goindi, H. S.; Frederick, M. J.; Shin, C.-S.; Goswami, R.; Petrov, I.; Greene, J. E.

    2002-04-01

    We report the electromigration (EM) response of single-domain epitaxial Cu(001) lines on layers of Ta, TaN, and TiN. Epitaxial Cu(001) lines on nitride layers exhibit nearly two orders of magnitude higher mean-time-to-failure (MTTF) values than those on Ta, indicating the strong influence of the underlayer. The activation energy of EM for Cu on the nitrides is ˜0.8-1.2 eV, and that of Cu on Ta is ˜0.2 eV, for 200-300 °C. Our results also indicate that the MTTF values correlate inversely to the crystal quality of the Cu layers measured by X-ray diffraction. The EM resistance of epitaxial Cu lines with different crystal quality on TaN were measured to separate the effects of interface chemistry and crystal quality. While higher quality epitaxial films reveal a higher EM resistance, the magnitude of the change is smaller than that obtained by changing the interface chemistry. Epitaxial lines exhibit more than 3-4 orders of magnitude higher MTTF than polycrystalline lines on the same underlayer. Based upon our results, we propose that the Cu/underlayer interface chemistry and presence of grain boundary diffusion play important roles in unpassivated Cu films.

  20. Molecular beam epitaxy of three-dimensional Dirac material Sr3PbO

    NASA Astrophysics Data System (ADS)

    Samal, D.; Nakamura, H.; Takagi, H.

    2016-07-01

    A series of anti-perovskites including Sr3PbO are recently predicted to be a three-dimensional Dirac material with a small mass gap, which may be a topological crystalline insulator. Here, we report the epitaxial growth of Sr3PbO thin films on LaAlO3 using molecular beam epitaxy. X-ray diffraction indicates (001) growth of Sr3PbO, where [110] of Sr3PbO matches [100] of LaAlO3. Measurements of the Sr3PbO films with parylene/Al capping layers reveal a metallic conduction with p-type carrier density of ˜1020 cm-3. The successful growth of high quality Sr3PbO film is an important step for the exploration of its unique topological properties.

  1. Angle-resolved photoemission spectroscopy of strontium lanthanum copper oxide thin films grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Harter, John Wallace

    Among the multitude of known cuprate material families and associated structures, the archetype is "infinite-layer" ACuO2, where perfectly square and flat CuO2 planes are separated by layers of alkaline earth atoms. The infinite-layer structure is free of magnetic rare earth ions, oxygen chains, orthorhombic distortions, incommensurate superstructures, ordered vacancies, and other complications that abound among the other material families. Furthermore, it is the only cuprate that can be made superconducting by both electron and hole doping, making it a potential platform for decoding the complex many-body interactions responsible for high-temperature superconductivity. Research on the infinite-layer compound has been severely hindered by the inability to synthesize bulk single crystals, but recent progress has led to high-quality superconducting thin film samples. Here we report in situ angle-resolved photoemission spectroscopy measurements of epitaxially-stabilized Sr1-chiLa chiCuO2 thin films grown by molecular-beam epitaxy. At low doping, the material exhibits a dispersive lower Hubbard band typical of other cuprate parent compounds. As carriers are added to the system, a continuous evolution from Mott insulator to superconducting metal is observed as a coherent low-energy band develops on top of a concomitant remnant lower Hubbard band, gradually filling in the Mott gap. For chi = 0.10, our results reveal a strong coupling between electrons and (pi,pi) anti-ferromagnetism, inducing a Fermi surface reconstruction that pushes the nodal states below the Fermi level and realizing nodeless superconductivity. Electron diffraction measurements indicate the presence of a surface reconstruction that is consistent with the polar nature of Sr1-chiLachiCuO2. Most knowledge about the electron-doped side of the cuprate phase diagram has been deduced by generalizing from a single material family, Re2-chi CechiCuO4, where robust antiferromagnetism has been observed past chi

  2. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    NASA Astrophysics Data System (ADS)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  3. Strained-layer epitaxy of germanium-silicon alloys

    NASA Astrophysics Data System (ADS)

    Bean, J. C.

    1985-10-01

    Strained-layer epitaxy is presented as a developing technique for combining Si with other materials in order to obtain semiconductors with enhanced electronic properties. The method involves applying layers sufficiently thin so that the atoms deposited match the bonding configurations of the substrate crystal. When deposited on Si, a four-fold bonding pattern is retained, with a lowered interfacial energy and augmented stored strain energy in the epitaxial layer. The main problem which remains is building an epitaxial layer thick enough to yield desired epitaxial properties while avoiding a reversion to an unstrained structure. The application of a Ge layer to Si using MBE is described, along with the formation of heterojunction multi-layer superlattices, which can reduce the dislocation effects in some homojunctions. The technique shows promise for developing materials of use as bipolar transistors, optical detectors and fiber optic transmission devices.

  4. Epitaxial growth of (001)-oriented Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on a-plane sapphire with an MgO/ZnO bridge layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xiao Bo; Liu Hongrui; Avrutin, Vitaliy

    2009-11-23

    High quality (001)-oriented Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown on a-plane sapphire (1120) by rf magnetron sputtering using a double bridge layer consisting of (0001)-oriented ZnO (50 nm) and (001)-oriented MgO (10 nm) prepared by plasma-assisted molecular beam epitaxy. X-ray diffraction revealed the formation of three sets of in-plane BST domains, offset from one another by 30 deg., which is consistent with the in-plane symmetry of the MgO layer observed by in situ reflective high electron energy diffraction. The in-plane epitaxial relationship of BST, MgO, and ZnO has been determined to be BST [110]//MgO [110]//ZnO [1120]more » and BST [110]/MgO [110]//ZnO [1100]. Capacitance-voltage measurements performed on BST coplanar interdigitated capacitor structures revealed a high dielectric tunability of up to 84% at 1 MHz.« less

  5. Intrinsic high electrical conductivity of stoichiometric SrNb O3 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Oka, Daichi; Hirose, Yasushi; Nakao, Shoichiro; Fukumura, Tomoteru; Hasegawa, Tetsuya

    2015-11-01

    SrV O3 and SrNb O3 are perovskite-type transition-metal oxides with the same d1 electronic configuration. Although SrNb O3 (4 d1 ) has a larger d orbital than SrV O3 (3 d1 ), the reported electrical resistivity of SrNb O3 is much higher than that of SrV O3 , probably owing to nonstoichiometry. In this paper, we grew epitaxial, high-conductivity stoichiometric SrNb O3 using pulsed laser deposition. The growth temperature strongly affected the Sr/Nb ratio and the oxygen content of the films, and we obtained stoichiometric SrNb O3 at a very narrow temperature window around 630 °C. The stoichiometric SrNb O3 epitaxial thin films grew coherently on KTa O3 (001) substrates with high crystallinity. The room-temperature resistivity of the stoichiometric film was 2.82 ×10-5Ω cm , one order of magnitude lower than the lowest reported value of SrNb O3 and comparable with that of SrV O3 . We observed a T -square dependence of resistivity below T*=180 K and non-Drude behavior in near-infrared absorption spectroscopy, attributable to the Fermi-liquid nature caused by electron correlation. Analysis of the T -square coefficient A of resistivity experimentally revealed that the 4 d orbital of Nb that is larger than the 3 d ones certainly contributes to the high electrical conduction of SrNb O3 .

  6. Domain matching epitaxy of BaBiO3 on SrTiO3 with structurally modified interface

    NASA Astrophysics Data System (ADS)

    Zapf, M.; Stübinger, M.; Jin, L.; Kamp, M.; Pfaff, F.; Lubk, A.; Büchner, B.; Sing, M.; Claessen, R.

    2018-04-01

    The perovskite BaBiO3 (BBO) is a versatile oxide parent material which displays superconductivity upon p-doping, while n-doping has been predicted to establish a wide-bandgap topological insulator phase. Here, we report on a mechanism that allows for epitaxial deposition of high-quality crystalline BBO thin films on SrTiO3 substrates despite a significant lattice mismatch of as large as 12%. It is revealed that the growth takes place through domain matching epitaxy, resulting in domains with alternating lateral sizes of 8 and 9 BBO unit cells. In particular, a structurally modified interface layer is identified which serves as a nucleation layer for the BBO films and gradually relieves the strain by decoupling the film lattice from the substrate. The BBO growth mechanism identified here may be prototypical for prospective thin film deposition of other perovskites with large lattice constants.

  7. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  8. Te Monolayer-Driven Spontaneous van der Waals Epitaxy of Two-dimensional Pnictogen Chalcogenide Film on Sapphire.

    PubMed

    Hwang, Jae-Yeol; Kim, Young-Min; Lee, Kyu Hyoung; Ohta, Hiromichi; Kim, Sung Wng

    2017-10-11

    Demands on high-quality layer structured two-dimensional (2D) thin films such as pnictogen chalcogenides and transition metal dichalcogenides are growing due to the findings of exotic physical properties and potentials for device applications. However, the difficulties in controlling epitaxial growth and the unclear understanding of van der Waals epitaxy (vdWE) for a 2D chalcogenide film on a three-dimensional (3D) substrate have been major obstacles for the further advances of 2D materials. Here, we exploit the spontaneous vdWE of a high-quality 2D chalcogenide (Bi 0.5 Sb 1.5 Te 3 ) film by the chalcogen-driven surface reconstruction of a conventional 3D sapphire substrate. It is verified that the in situ formation of a pseudomorphic Te atomic monolayer on the surface of sapphire, which results in a dangling bond-free surface, allows the spontaneous vdWE of 2D chalcogenide film. Since this route uses the natural surface reconstruction of sapphire with chalcogen under vacuum condition, it can be scalable and easily utilized for the developments of various 2D chalcogenide vdWE films through conventional thin-film fabrication technologies.

  9. Crystallization engineering as a route to epitaxial strain control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akbashev, Andrew R.; Plokhikh, Aleksandr V.; Barbash, Dmitri

    2015-10-01

    The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001)SrTiO{sub 3} and (001)LaAlO{sub 3} substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001)BiFeO{sub 3} phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001)SrTiO{sub 3} results in a coherently strained film, themore » same films obtained on (001)LaAlO{sub 3} showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001)SrTiO{sub 3}. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.« less

  10. Magnetic properties of low-moment ferrimagnetic Heusler Cr 2CoGa thin films grown by molecular beam epitaxy

    DOE PAGES

    Jamer, Michelle E.; Sterbinsky, George E.; Stephen, Gregory M.; ...

    2016-10-31

    Recently, theorists have predicted many materials with a low magnetic moment and large spin-polarization for spintronic applications. These compounds are predicted to form in the inverse Heusler structure; however, many of these compounds have been found to phase segregate. In this study, ordered Cr 2CoGa thin films were synthesized without phase segregation using molecular beam epitaxy. The present as-grown films exhibit a low magnetic moment from antiferromagnetically coupled Cr and Co atoms as measured with superconducting quantum interface device magnetometry and soft X-ray magnetic circular dichroism. Electrical measurements demonstrated a thermally-activated semiconductor-like resistivity component with an activation energy of 87more » meV. Finally, these results confirm spin gapless semiconducting behavior, which makes these thin films well positioned for future devices.« less

  11. Strain stabilization and thickness dependence of magnetism in epitaxial transition metal monosilicide thin films on Si(111)

    NASA Astrophysics Data System (ADS)

    Geisler, Benjamin; Kratzer, Peter

    2013-09-01

    We present a comprehensive study of different 3d transition metal monosilicides in their ground state crystal structure (B20), ranging from equilibrium bulk over biaxially strained bulk to epitaxial thin films on Si(111), by means of density functional theory. The magnetic properties of MnSi and FeSi films are found to be considerably modified due to the epitaxial strain induced by the substrate. In MnSi bulk material, which can be seen as a limit of thick films, we find a strain-induced volume expansion, an increase of the magnetic moments, and a significant rise of the energy difference between different spin configurations. The latter can be associated with an increase of the Curie temperature, which is in accordance with recent experimental results. While a ferromagnetic spin alignment is found to be the ground state also for ultrathin films, we show that for films of intermediate thickness a partially compensating magnetic ordering is more favorable; however, the films retain a net magnetic moment. Furthermore, we analyze the orbital structure in FeSi around the band gap, which can be located somewhere in the density of states for all studied B20 transition metal monosilicides, and find that FeSi becomes metallic and ferromagnetic under epitaxial strain. Finally, the influence of on-site electronic correlation and the reliability of ab initio calculations for 3d transition metal monosilicides are discussed.

  12. Metal-insulator transition in CaVO3 thin films: Interplay between epitaxial strain, dimensional confinement, and surface effects

    NASA Astrophysics Data System (ADS)

    Beck, Sophie; Sclauzero, Gabriele; Chopra, Uday; Ederer, Claude

    2018-02-01

    We use density functional theory plus dynamical mean-field theory (DFT+DMFT) to study multiple control parameters for tuning the metal-insulator transition (MIT) in CaVO3 thin films. We focus on separating the effects resulting from substrate-induced epitaxial strain from those related to the reduced thickness of the film. We show that tensile epitaxial strain of around 3%-4% is sufficient to induce a transition to a paramagnetic Mott-insulating phase. This corresponds to the level of strain that could be achieved on a SrTiO3 substrate. Using free-standing slab models, we then demonstrate that reduced film thickness can also cause a MIT in CaVO3, however, only for thicknesses of less than 4 perovskite units. Our calculations indicate that the MIT in such ultrathin films results mainly from a surface-induced crystal-field splitting between the t2 g orbitals, favoring the formation of an orbitally polarized Mott insulator. This surface-induced crystal-field splitting is of the same type as the one resulting from tensile epitaxial strain, and thus the two effects can also cooperate. Furthermore, our calculations confirm an enhancement of correlation effects at the film surface, resulting in a reduced quasiparticle spectral weight in the outermost layer, whereas bulklike properties are recovered within only a few layers away from the surface.

  13. High current density GaAs/Si rectifying heterojunction by defect free Epitaxial Lateral overgrowth on Tunnel Oxide from nano-seed.

    PubMed

    Renard, Charles; Molière, Timothée; Cherkashin, Nikolay; Alvarez, José; Vincent, Laetitia; Jaffré, Alexandre; Hallais, Géraldine; Connolly, James Patrick; Mencaraglia, Denis; Bouchier, Daniel

    2016-05-04

    Interest in the heteroepitaxy of GaAs on Si has never failed in the last years due to the potential for monolithic integration of GaAs-based devices with Si integrated circuits. But in spite of this effort, devices fabricated from them still use homo-epitaxy only. Here we present an epitaxial technique based on the epitaxial lateral overgrowth of micrometer scale GaAs crystals on a thin SiO2 layer from nanoscale Si seeds. This method permits the integration of high quality and defect-free crystalline GaAs on Si substrate and provides active GaAs/Si heterojunctions with efficient carrier transport through the thin SiO2 layer. The nucleation from small width openings avoids the emission of misfit dislocations and the formation of antiphase domains. With this method, we have experimentally demonstrated for the first time a monolithically integrated GaAs/Si diode with high current densities of 10 kA.cm(-2) for a forward bias of 3.7 V. This epitaxial technique paves the way to hybrid III-V/Si devices that are free from lattice-matching restrictions, and where silicon not only behaves as a substrate but also as an active medium.

  14. Structure and Ferroelectric Properties of High Tc BiScO3-PbTiO3 Epitaxial Thin Films.

    PubMed

    Wasa, Kiyotaka; Yoshida, Shinya; Hanzawa, Hiroaki; Adachi, Hideaki; Matsunaga, Toshiyuki; Tanaka, Shuji

    2016-10-01

    Piezoelectric ceramics of new composition with higher Curie temperature T c are extensively studied for better piezoelectric microelectromechanical systems (MEMS). Apart from the compositional research, enhanced T c could be achieved in a modified structure. We have considered that a designed laminated structure of Pb(Zr, Ti)O 3 (PZT)-based thin film, i.e., relaxed heteroepitaxial epitaxial thin film, is one of the promising modified structures to enhance T c . This structure exhibits an extraordinarily high T c , i.e., [Formula: see text] (bulk [Formula: see text]). In this paper, we have fabricated the designed laminated structure of high T c (1-x)BiScO 3 -xPbTiO 3 . T c of BS-0.8PT thin films was found to be extraordinarily high, i.e., [Formula: see text] (bulk T c , [Formula: see text]). Their ferroelectric performances were comparable to those of PZT-based thin films. The present BS-xPT thin films have a high potential for fabrication of high-temperature-stable piezoelectric MEMS. The mechanism of the enhanced T c is probably the presence of the mechanically stable interface to temperature in the laminated structure. We believe this designed laminated structure can extract fruitful properties of bulk ferroelectric ceramics.

  15. Multiferroic fluoride BaCoF4 Thin Films Grown Via Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Borisov, Pavel; Johnson, Trent; García-Castro, Camilo; Kc, Amit; Schrecongost, Dustin; Cen, Cheng; Romero, Aldo; Lederman, David

    Multiferroic materials exhibit exciting physics related to the simultaneous presence of multiple long-range orders, in many cases consisting of antiferromagnetic (AF) and ferroelectric (FE) orderings. In order to provide a new, promising route for fluoride-based multiferroic material engineering, we grew multiferroic fluoride BaCoF4 in thin film form on Al2O3 (0001) substrates by molecular beam epitaxy. The films grow with the orthorhombic b-axis out-of-plane and with three in-plane structural twin domains along the polar c-axis directions. The FE ordering in thin films was verified by FE remanent hysteresis loops measurements at T = 14 K and by room temperature piezoresponse force microscopy (PFM). An AF behavior was found below Neel temperature TN ~ 80 K, which is in agreement with the bulk properties. At lower temperatures two additional magnetic phase transitions at 19 K and 41 K were found. First-principles calculations demonstrated that the growth strain applied to the bulk BaCoF4 indeed favors two canted spin orders, along the b- and a-axes, respectively, in addition to the main AF spin order along the c-axis. Supported by FAME (Contract 2013-MA-2382), WV Research Challenge Grant (HEPC.dsr.12.29), and DMREF-NSF 1434897.

  16. Advanced Fabrication Method for the Preparation of MOF Thin Films: Liquid-Phase Epitaxy Approach Meets Spin Coating Method.

    PubMed

    Chernikova, Valeriya; Shekhah, Osama; Eddaoudi, Mohamed

    2016-08-10

    Here, we report a new and advanced method for the fabrication of highly oriented/polycrystalline metal-organic framework (MOF) thin films. Building on the attractive features of the liquid-phase epitaxy (LPE) approach, a facile spin coating method was implemented to generate MOF thin films in a high-throughput fashion. Advantageously, this approach offers a great prospective to cost-effectively construct thin-films with a significantly shortened preparation time and a lessened chemicals and solvents consumption, as compared to the conventional LPE-process. Certainly, this new spin-coating approach has been implemented successfully to construct various MOF thin films, ranging in thickness from a few micrometers down to the nanometer scale, spanning 2-D and 3-D benchmark MOF materials including Cu2(bdc)2·xH2O, Zn2(bdc)2·xH2O, HKUST-1, and ZIF-8. This method was appraised and proved effective on a variety of substrates comprising functionalized gold, silicon, glass, porous stainless steel, and aluminum oxide. The facile, high-throughput and cost-effective nature of this approach, coupled with the successful thin film growth and substrate versatility, represents the next generation of methods for MOF thin film fabrication. Therefore, paving the way for these unique MOF materials to address a wide range of challenges in the areas of sensing devices and membrane technology.

  17. Method of transferring a thin crystalline semiconductor layer

    DOEpatents

    Nastasi, Michael A [Sante Fe, NM; Shao, Lin [Los Alamos, NM; Theodore, N David [Mesa, AZ

    2006-12-26

    A method for transferring a thin semiconductor layer from one substrate to another substrate involves depositing a thin epitaxial monocrystalline semiconductor layer on a substrate having surface contaminants. An interface that includes the contaminants is formed in between the deposited layer and the substrate. Hydrogen atoms are introduced into the structure and allowed to diffuse to the interface. Afterward, the thin semiconductor layer is bonded to a second substrate and the thin layer is separated away at the interface, which results in transferring the thin epitaxial semiconductor layer from one substrate to the other substrate.

  18. Epitaxial growth and dielectric properties of Pb0.4Sr0.6TiO3 thin films on (00l)-oriented metallic Li0.3Ni0.7O2 coated MgO substrates

    NASA Astrophysics Data System (ADS)

    Li, X. T.; Du, P. Y.; Mak, C. L.; Wong, K. H.

    2007-06-01

    Highly (00l)-oriented Li0.3Ni0.7O2 thin films have been fabricated on (001) MgO substrates by pulsed laser deposition. The Pb0.4Sr0.6TiO3 (PST40) thin film deposited subsequently also shows a significant (00l)-oriented texture. Both the PST40 and Li0.3Ni0.7O2 have good epitaxial behavior. The epitaxial growth of the PST40 thin film is more perfect with the Li0.3Ni0.7O2 buffer layer due to the less distortion in the film. The dielectric tunability of the PST40 thin film with Li0.3Ni0.7O2 buffer layer therefore reaches 70%, which is 75% higher than that without Li0.3Ni0.7O2 buffer layer, and the dielectric loss of the PST40 thin film is 0.06.

  19. Microstructural, Magnetic Anisotropy, and Magnetic Domain Structure Correlations in Epitaxial FePd Thin Films with Perpendicular Magnetic Anisotropy

    NASA Technical Reports Server (NTRS)

    Skuza, J. R.; Clavero, C.; Yang, K.; Wincheski, B.; Lukaszew, R. A.

    2009-01-01

    L1(sub 0)-ordered FePd epitaxial thin films were prepared using dc magnetron sputter deposition on MgO (001) substrates. The films were grown with varying thickness and degree of chemical order to investigate the interplay between the microstructure, magnetic anisotropy, and magnetic domain structure. The experimentally measured domain size/period and magnetic anisotropy in this high perpendicular anisotropy system were found to be correlated following the analytical energy model proposed by Kooy and Enz that considers a delicate balance between the domain wall energy and the demagnetizing stray field energy.

  20. Methods for fabricating thin film III-V compound solar cell

    DOEpatents

    Pan, Noren; Hillier, Glen; Vu, Duy Phach; Tatavarti, Rao; Youtsey, Christopher; McCallum, David; Martin, Genevieve

    2011-08-09

    The present invention utilizes epitaxial lift-off in which a sacrificial layer is included in the epitaxial growth between the substrate and a thin film III-V compound solar cell. To provide support for the thin film III-V compound solar cell in absence of the substrate, a backing layer is applied to a surface of the thin film III-V compound solar cell before it is separated from the substrate. To separate the thin film III-V compound solar cell from the substrate, the sacrificial layer is removed as part of the epitaxial lift-off. Once the substrate is separated from the thin film III-V compound solar cell, the substrate may then be reused in the formation of another thin film III-V compound solar cell.

  1. Epitaxial Ferroelectric Ba(0.5)Sr(0.5)TiO3 Thin Films for Room-Temperature High-Frequency Tunable Element Applications

    NASA Technical Reports Server (NTRS)

    Chen, C. L.; Feng, H. H.; Zhang, Z.; Brazdeikis, A.; Miranda, F. A.; VanKeuls, F. W.; Romanofsky, R. R.; Huang, Z. J.; Liou, Y.; Chu, W. K.; hide

    1999-01-01

    Perovskite Ba(0.5)SR(0.5)TiO3 thin films have been synthesized on (001) LaAl03 substrates by pulsed laser ablation. Extensive X-ray diffraction, rocking curve, and pole-figure studies suggest that the films are c-axis oriented and exhibit good in-plane relationship of <100>(sub BSTO)//<100>(sub LAO). Rutherford Backscattering Spectrometry studies indicate that the epitaxial films have excellent crystalline quality with an ion beam minimum yield chi(sub min) Of only 2.6 %. The dielectric property measurements by the interdigital technique at 1 MHz show room temperature values of the relative dielectric constant, epsilon(sub r), and loss tangent, tan(sub delta), of 1430 and 0.007 with no bias, and 960 and 0.001 with 35 V bias, respectively. The obtained data suggest that the as-grown Ba(0.5)SR(0.5)TiO3 films can be used for development of room-temperature high-frequency tunable elements.

  2. Fabrication of precision high quality facets on molecular beam epitaxy material

    DOEpatents

    Petersen, Holly E.; Goward, William D.; Dijaili, Sol P.

    2001-01-01

    Fabricating mirrored vertical surfaces on semiconductor layered material grown by molecular beam epitaxy (MBE). Low energy chemically assisted ion beam etching (CAIBE) is employed to prepare mirrored vertical surfaces on MBE-grown III-V materials under unusually low concentrations of oxygen in evacuated etching atmospheres of chlorine and xenon ion beams. UV-stabilized smooth-surfaced photoresist materials contribute to highly vertical, high quality mirrored surfaces during the etching.

  3. Epitaxial solar cells fabrication

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1975-01-01

    Silicon epitaxy has been studied for the fabrication of solar cell structures, with the intent of optimizing efficiency while maintaining suitability for space applications. SiH2CL2 yielded good quality layers and junctions with reproducible impurity profiles. Diode characteristics and lifetimes in the epitaxial layers were investigated as a function of epitaxial growth conditions and doping profile, as was the effect of substrates and epitaxial post-gettering on lifetime. The pyrolytic decomposition of SiH4 was also used in the epitaxial formation of highly doped junction layers on bulk Si wafers. The effects of junction layer thickness and bulk background doping level on cell performance, in particular, open-circuit voltage, were investigated. The most successful solar cells were fabricated with SiH2 CL2 to grow p/n layers on n(+) substrates. The best performance was obtained from a p(+)/p/n/n(+) structure grown with an exponential grade in the n-base layer.

  4. CeCo5 thin films with perpendicular anisotropy grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Sharma, S.; Hildebrandt, E.; Major, M.; Komissinskiy, P.; Radulov, I.; Alff, L.

    2018-04-01

    Buffer-free, highly textured (0 0 1) oriented CeCo5 thin films showing perpendicular magnetic anisotropy were synthesized on (0 0 1) Al2O3 substrates by molecular beam epitaxy. Ce exists in a mixture of Ce3+ and Ce4+ valence states as shown by X-ray photoelectron spectroscopy. The first anisotropy constant, K1, as measured by torque magnetometry was 0.82 MJ/m3 (8.2 ×106erg /cm3) . A maximum coercivity of 5.16 kOe with a negative temperature coefficient of -0.304%K-1 and a magnetization of 527.30 emu/cm3 was measured perpendicular to the film plane at 5 K. In addition, a large anisotropy of the magnetic moment of 15.5% was observed. These magnetic parameters make CeCo5 a potential candidate material for spintronic and magnetic recording applications.

  5. Ferromagnetic order in epitaxially strained LaCoO3 thin films

    NASA Astrophysics Data System (ADS)

    Fuchs, D.; Pinta, C.; Schwarz, T.; Schweiss, P.; Nagel, P.; Schuppler, S.; Schneider, R.; Merz, M.; Roth, G.; v. Löhneysen, H.

    2007-04-01

    LaCoO3 films grown epitaxially on ⟨001⟩ oriented (LaAlO3)0.3(Sr2AlTaO6)0.7 substrates by pulsed laser deposition exhibit ferromagnetic ordering below a critical temperature, Tc , of 85K . Polycrystalline films of LaCoO3 prepared in the same way did not show ferromagnetic order down to T≈5K , and their temperature dependent susceptibility was identical to that of bulk LaCoO3 . The ferromagnetism in epitaxial films is not simply a property of the surface region, rather it extends over the complete film thickness, as shown by the linear increase of the saturated magnetic moment with increasing film thickness. We discuss this surprising result in terms of epitaxial tensile strain via the properly chosen substrate inducing ferromagnetic order.

  6. Epitaxy, strain, and composition effects on metal-insulator transition characteristics of SmNiO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aydogdu, Gulgun H.; Ha, Sieu D.; Viswanath, B.

    SmNiO{sub 3} (SNO) thin films were deposited on LaAlO{sub 3} (LAO), SrTiO{sub 3}, SrLaAlO{sub 4}, Si, and Al{sub 2}O{sub 3} (sapphire) substrates by RF magnetron sputtering and studies were conducted to understand how film structure and composition influence the insulator-metal transition properties. It is observed that the compressive strain induces the insulator to metal transition (MIT), while tensile strain suppresses it. In the case of non-epitaxial films, semiconducting behavior is obtained on sapphire over a broad temperature range, while on heavily-doped Si substrate; an MIT is seen in out-of-plane resistance measurement. In addition, thickness dependence on the resistance behavior andmore » nickel oxidation state has been examined for epitaxial SNO films on LAO substrates. Fine control of the MIT by modifications to the mismatch strain and thickness provides insights to enhance the performance and the functionality of these films for emerging electron devices.« less

  7. Highly resistive epitaxial Mg-doped GdN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, C.-M.; Warring, H.; Trodahl, H. J.

    2015-01-12

    We report the growth by molecular beam epitaxy of highly resistive GdN, using intentional doping with magnesium. Mg-doped GdN layers with resistivities of 10{sup 3} Ω cm and carrier concentrations of 10{sup 16 }cm{sup −3} are obtained for films with Mg concentrations up to 5 × 10{sup 19} atoms/cm{sup 3}. X-ray diffraction rocking curves indicate that Mg-doped GdN films have crystalline quality very similar to undoped GdN films, showing that the Mg doping did not affect the structural properties of the films. A decrease of the Curie temperature with decreasing the electron density is observed, supporting a recently suggested magnetic polaron scenario [F.more » Natali, B. J. Ruck, H. J. Trodahl, D. L. Binh, S. Vézian, B. Damilano, Y. Cordier, F. Semond, and C. Meyer, Phys. Rev. B 87, 035202 (2013)].« less

  8. Ordered structure of FeGe2 formed during solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Jenichen, B.; Hanke, M.; Gaucher, S.; Trampert, A.; Herfort, J.; Kirmse, H.; Haas, B.; Willinger, E.; Huang, X.; Erwin, S. C.

    2018-05-01

    Fe3Si /Ge (Fe ,Si ) /Fe3Si thin-film stacks were grown by a combination of molecular beam epitaxy and solid-phase epitaxy (Ge on Fe3Si ). The stacks were analyzed using electron microscopy, electron diffraction, and synchrotron x-ray diffraction. The Ge(Fe,Si) films crystallize in the well-oriented, layered tetragonal structure FeGe2 with space group P 4 m m . This kind of structure does not exist as a bulk material and is stabilized by the solid-phase epitaxy of Ge on Fe3Si . We interpret this as an ordering phenomenon induced by minimization of the elastic energy of the epitaxial film.

  9. Epitaxial thin films of pyrochlore iridate Bi 2+xIr 2-yO 7-δ: structure, defects and transport properties

    DOE PAGES

    Yang, W. C.; Xie, Y. T.; Zhu, W. K.; ...

    2017-08-10

    While pyrochlore iridate thin films are theoretically predicted to possess a variety of emergent topological properties, experimental verification of these predictions can be obstructed by the challenge in thin film growth. We report on the pulsed laser deposition and characterization of thin films of a representative pyrochlore compound Bi 2Ir 2O 7. Moreover, the films were epitaxially grown on yttria-stabilized zirconia substrates and have lattice constants that are a few percent larger than that of the bulk single crystals. The film composition shows a strong dependence on the oxygen partial pressure. Density-functional-theory calculations indicate the existence of BiIr antisite defects,more » qualitatively consistent with the high Bi: Ir ratio found in the films. Both Ir and Bi have oxidation states that are lower than their nominal values, suggesting the existence of oxygen deficiency. The iridate thin films show a variety of intriguing transport characteristics, including multiple charge carriers, logarithmic dependence of resistance on temperature, antilocalization corrections to conductance due to spin-orbit interactions, and linear positive magnetoresistance.« less

  10. Epitaxial thin films of pyrochlore iridate Bi 2+xIr 2-yO 7-δ: structure, defects and transport properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, W. C.; Xie, Y. T.; Zhu, W. K.

    While pyrochlore iridate thin films are theoretically predicted to possess a variety of emergent topological properties, experimental verification of these predictions can be obstructed by the challenge in thin film growth. We report on the pulsed laser deposition and characterization of thin films of a representative pyrochlore compound Bi 2Ir 2O 7. Moreover, the films were epitaxially grown on yttria-stabilized zirconia substrates and have lattice constants that are a few percent larger than that of the bulk single crystals. The film composition shows a strong dependence on the oxygen partial pressure. Density-functional-theory calculations indicate the existence of BiIr antisite defects,more » qualitatively consistent with the high Bi: Ir ratio found in the films. Both Ir and Bi have oxidation states that are lower than their nominal values, suggesting the existence of oxygen deficiency. The iridate thin films show a variety of intriguing transport characteristics, including multiple charge carriers, logarithmic dependence of resistance on temperature, antilocalization corrections to conductance due to spin-orbit interactions, and linear positive magnetoresistance.« less

  11. Intrinsic hydrophilic nature of epitaxial thin-film of rare-earth oxide grown by pulsed laser deposition.

    PubMed

    Prakash, Saurav; Ghosh, Siddhartha; Patra, Abhijeet; Annamalai, Meenakshi; Motapothula, Mallikarjuna Rao; Sarkar, Soumya; Tan, Sherman J R; Zhunan, Jia; Loh, Kian Ping; Venkatesan, T

    2018-02-15

    Herein, we report a systematic study of water contact angle (WCA) of rare-earth oxide thin-films. These ultra-smooth and epitaxial thin-films were grown using pulsed laser deposition and then characterized using X-Ray diffraction (XRD), Rutherford backscattering spectroscopy (RBS), and atomic force microscopy (AFM). Through both the traditional sessile drop and the novel f-d method, we found that the films were intrinsically hydrophilic (WCA < 10°) just after being removed from the growth chamber, but their WCAs evolved with an exposure to the atmosphere with time to reach their eventual saturation values near 90° (but always stay 'technically' hydrophilic). X-Ray photoelectron spectroscopy analysis was used to further investigate qualitatively the nature of hydrocarbon contamination on the freshly prepared as well as the environmentally exposed REO thin-film samples as a function of the exposure time after they were removed from the deposition chamber. A clear correlation between the carbon coverage of the surface and the increase in WCA was observed for all of the rare-earth films, indicating the extrinsic nature of the surface wetting properties of these films and having no relation to the electronic configuration of the rare-earth atoms as proposed by Azimi et al.

  12. Synthesis and electronic properties of Ruddlesden-Popper strontium iridate epitaxial thin films stabilized by control of growth kinetics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Xiaoran; Cao, Yanwei; Pal, B.

    2017-12-01

    We report on the selective fabrication of high-quality Sr2IrO4 and SrIrO3 epitaxial thin films from a single polycrystalline Sr2IrO4 target by pulsed laser deposition. Using a combination of x-ray diffraction and photoemission spectroscopy characterizations, we discover that within a relatively narrow range of substrate temperature, the oxygen partial pressure plays a critical role in the cation stoichiometric ratio of the films, and triggers the stabilization of different Ruddlesden-Popper (RP) phases. Resonant x-ray absorption spectroscopy measurements taken at the Ir L edge and the O K edge demonstrate the presence of strong spin-orbit coupling, and reveal the electronic and orbital structuresmore » of both compounds. These results suggest that in addition to the conventional thermodynamics consideration, higher members of the Srn+1IrnO3n+1 series can possibly be achieved by kinetic control away from the thermodynamic limit. These findings offer an approach to the synthesis of ultrathin films of the RP series of iridates and can be extended to other complex oxides with layered structure.« less

  13. Methods for making thin layers of crystalline materials

    DOEpatents

    Lagally, Max G; Paskiewicz, Deborah M; Tanto, Boy

    2013-07-23

    Methods for making growth templates for the epitaxial growth of compound semiconductors and other materials are provided. The growth templates are thin layers of single-crystalline materials that are themselves grown epitaxially on a substrate that includes a thin layer of sacrificial material. The thin layer of sacrificial material, which creates a coherent strain in the single-crystalline material as it is grown thereon, includes one or more suspended sections and one or more supported sections.

  14. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  15. Performance study of thin epitaxial silicon PIN detectors for thermal neutron measurements with reduced γ sensitivity

    NASA Astrophysics Data System (ADS)

    Singh, Arvind; Desai, Shraddha; Kumar, Arvind; Topkar, Anita

    2018-05-01

    A novel approach of using thin epitaxial silicon PIN detectors for thermal neutron measurements with reduced γ sensitivity has been presented. Monte Carlo simulations showed that there is a significant reduction in the gamma sensitivity for thin detectors with the thickness of 10- 25 μm compared to a detector of thickness of 300 μm. Epitaxial PIN silicon detectors with the thickness of 10 μm, 15 μm and 25 μm were fabricated using a custom process. The detectors exhibited low leakage currents of a few nano-amperes. The gamma sensitivity of the detectors was experimentally studied using a 33 μCi, 662 keV, 137Cs source. Considering the count rates, compared to a 300 μm thick detector, the gamma sensitivity of the 10 μm, 15 μm and 25 μm thick detectors was reduced by factors of 1874, 187 and 18 respectively. The detector performance for thermal neutrons was subsequently investigated with a thermal neutron beam using an enriched 10B film as a neutron converter layer. The thermal neutron spectra for all three detectors exhibited three distinct regions corresponding to the 4He and 7Li charge products released in the 10B-n reaction. With a 10B converter, the count rates were 1466 cps, 3170 cps and 2980 cps for the detectors of thicknesses of 10 μm, 25 μm and 300 μm respectively. The thermal neutron response of thin detectors with 10 μm and 25 μm thickness showed significant reduction in the gamma sensitivity compared to that observed for the 300 μm thick detector. Considering the total count rate obtained for thermal neutrons with a 10B converter film, the count rate without the converter layer were about 4%, 7% and 36% for detectors with thicknesses of 10 μm, 25 μm and 300 μm respectively. The detector with 10 μm thickness showed negligible gamma sensitivity of 4 cps, but higher electronic noise and reduced pulse heights. The detector with 25 μm thickness demonstrated the best performance with respect to electronic noise, thermal neutron response and

  16. Applying CLIPS to control of molecular beam epitaxy processing

    NASA Technical Reports Server (NTRS)

    Rabeau, Arthur A.; Bensaoula, Abdelhak; Jamison, Keith D.; Horton, Charles; Ignatiev, Alex; Glover, John R.

    1990-01-01

    A key element of U.S. industrial competitiveness in the 1990's will be the exploitation of advanced technologies which involve low-volume, high-profit manufacturing. The demands of such manufacture limit participation to a few major entities in the U.S. and elsewhere, and offset the lower manufacturing costs of other countries which have, for example, captured much of the consumer electronics market. One such technology is thin-film epitaxy, a technology which encompasses several techniques such as Molecular Beam Epitaxy (MBE), Chemical Beam Epitaxy (CBE), and Vapor-Phase Epitaxy (VPE). Molecular Beam Epitaxy (MBE) is a technology for creating a variety of electronic and electro-optical materials. Compared to standard microelectronic production techniques (including gaseous diffusion, ion implantation, and chemical vapor deposition), MBE is much more exact, though much slower. Although newer than the standard technologies, MBE is the technology of choice for fabrication of ultraprecise materials for cutting-edge microelectronic devices and for research into the properties of new materials.

  17. Band gap tuning of epitaxial SrTiO{sub 3-δ}/Si(001) thin films through strain engineering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cottier, Ryan J.; Steinle, Nathan A.; Currie, Daniel A.

    2015-11-30

    We investigate the effect of strain and oxygen vacancies (V{sub O}) on the crystal and optical properties of oxygen deficient, ultra-thin (4–30 nm) films of SrTiO{sub 3-δ} (STO) grown heteroepitaxially on p-Si(001) substrates by molecular beam epitaxy. We demonstrate that STO band gap tuning can be achieved through strain engineering and show that the energy shift of the direct energy gap transition of SrTiO{sub 3-δ}/Si films has a quantifiable dimensional and doping dependence that correlates well with the changes in crystal structure.

  18. Perspective: Rapid synthesis of complex oxides by combinatorial molecular beam epitaxy

    DOE PAGES

    A. T. Bollinger; Wu, J.; Bozovic, I.

    2016-03-15

    In this study, the molecular beam epitaxy(MBE) technique is well known for producing atomically smooth thin films as well as impeccable interfaces in multilayers of many different materials. In particular, molecular beam epitaxy is well suited to the growth of complex oxides, materials that hold promise for many applications. Rapid synthesis and high throughput characterization techniques are needed to tap into that potential most efficiently. We discuss our approach to doing that, leaving behind the traditional one-growth-one-compound scheme and instead implementing combinatorial oxide molecular beam epitaxy in a custom built system.

  19. The structure and magnetic properties of β-(Ga0.96Mn0.04)2O3 thin film

    NASA Astrophysics Data System (ADS)

    Huang, Yuanqi; Chen, Zhengwei; Zhang, Xiao; Wang, Xiaolong; Zhi, Yusong; Wu, Zhenping; Tang, Weihua

    2018-05-01

    High quality epitaxial single phase (Ga0.96Mn0.04)2O3 and Ga2O3 thin films have been prepared on sapphire substrates by using laser molecular beam epitaxy (L-MBE). X-ray diffraction results indicate that the thin films have the monoclinic structure with a ≤ft( {\\bar 201} \\right) preferable orientation. Room temperature (RT) ferromagnetism appears and the magnetic properties of β-(Ga0.96Mn0.04)2O3 thin film are enhanced compared with our previous works. Experiments as well as the first principle method are used to explain the role of Mn dopant on the structure and magnetic properties of the thin films. The ferromagnetic properties are explained based on the concentration of transition element and the defects in the thin films. Project supported by the National Natural Science Foundation of China (Nos. 11404029, 51572033, 51172208) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (BUPT).

  20. Superconductivity and tunneling-junctions in epitaxial Nb2N/AlN/GaN heterojunctions

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Han, Yimo; Khalsa, Guru; Vishwanath, Suresh; Katzer, Scott; Nepal, Neeraj; Downey, Brian; Muller, David; Meyer, David; Xing, Grace; Jena, Debdeep; ECE Collaboration; AEP Collaboration; MSE Collaboration; NRL Collaboration

    We have discovered that ultrathin highly crystalline Nb2N layers grown epitaxially (by MBE) on SiC and integrated with AlN and GaN heterostructures are high-quality superconductors with transition temperatures from 9-13 K. The out-of-plane critical magnetic fields are found to be 14 Tesla range, and the critical current density is 4*1E5 A/cm2 at 5 K. Preliminary in-plane magnetotransport measurements on 4 nm thin films indicate a significantly high critical magnetic field exceeding 40 T. Since Nb2N superconducting layers can be epitaxially integrated with GaN, AlN, and AlGaN, we also demonstrate Nb2N superconductivity in a layer located beneath an N-polar GaN high-electron-mobility transistor (HEMT) heterostructure that uses a 2DEG channel as a microwave amplifier; such a demonstration illustrates the potential emergence of a new paradigm where an all-epitaxial III-N/Nb2N platform could serve as the basis for microwave qubits to power quantum computation as well as quantum communications.

  1. Depth resolved lattice-charge coupling in epitaxial BiFeO3 thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Hyeon Jun; Lee, Sung Su; Kwak, Jeong Hun

    2016-12-01

    For epitaxial films, a critical thickness (t c) can create a phenomenological interface between a strained bottom layer and a relaxed top layer. Here, we present an experimental report of how the tc in BiFeO 3 thin films acts as a boundary to determine the crystalline phase, ferroelectricity, and piezoelectricity in 60 nm thick BiFeO 3/SrRuO 3/SrTiO 3 substrate. We found larger Fe cation displacement of the relaxed layer than that of strained layer. In the time-resolved X-ray microdiffraction analyses, the piezoelectric response of the BiFeO 3 film was resolved into a strained layer with an extremely low piezoelectric coefficientmore » of 2.4 pm/V and a relaxed layer with a piezoelectric coefficient of 32 pm/V. The difference in the Fe displacements between the strained and relaxed layers is in good agreement with the differences in the piezoelectric coefficient due to the electromechanical coupling.« less

  2. Depth resolved lattice-charge coupling in epitaxial BiFeO3 thin film

    PubMed Central

    Lee, Hyeon Jun; Lee, Sung Su; Kwak, Jeong Hun; Kim, Young-Min; Jeong, Hu Young; Borisevich, Albina Y.; Lee, Su Yong; Noh, Do Young; Kwon, Owoong; Kim, Yunseok; Jo, Ji Young

    2016-01-01

    For epitaxial films, a critical thickness (tc) can create a phenomenological interface between a strained bottom layer and a relaxed top layer. Here, we present an experimental report of how the tc in BiFeO3 thin films acts as a boundary to determine the crystalline phase, ferroelectricity, and piezoelectricity in 60 nm thick BiFeO3/SrRuO3/SrTiO3 substrate. We found larger Fe cation displacement of the relaxed layer than that of strained layer. In the time-resolved X-ray microdiffraction analyses, the piezoelectric response of the BiFeO3 film was resolved into a strained layer with an extremely low piezoelectric coefficient of 2.4 pm/V and a relaxed layer with a piezoelectric coefficient of 32 pm/V. The difference in the Fe displacements between the strained and relaxed layers is in good agreement with the differences in the piezoelectric coefficient due to the electromechanical coupling. PMID:27929103

  3. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    PubMed

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  4. Spin-resolved photoemission study of epitaxially grown MoSe 2 and WSe 2 thin films

    DOE PAGES

    Mo, Sung-Kwan; Hwang, Choongyu; Zhang, Yi; ...

    2016-09-12

    Few-layer thick MoSe 2 and WSe 2 possess non-trivial spin textures with sizable spin splitting due to the inversion symmetry breaking embedded in the crystal structure and strong spin–orbit coupling. Here, we report a spin-resolved photoemission study of MoSe 2 and WSe 2 thin film samples epitaxially grown on a bilayer graphene substrate. Furthermore, we only found spin polarization in the single- and trilayer samples—not in the bilayer sample—mostly along the out-of-plane direction of the sample surface. The measured spin polarization is found to be strongly dependent on the light polarization as well as the measurement geometry, which reveals intricatemore » coupling between the spin and orbital degrees of freedom in this class of material.« less

  5. Y1Ba2Cu3O(7-delta) thin film dc SQUIDs (superconducting quantum interference device)

    NASA Astrophysics Data System (ADS)

    Racah, Daniel

    1991-03-01

    Direct current superconducting quantum interferometers (SQUIDs) based on HTSC thin films have been measured and characterized. The thin films used were of different quality: (1) Granular films on Sapphire substrates, prepared either by e-gun evaporation, by laser ablation or by MOCVD (metal oxide chemical vapor deposition), (2) Epitaxial films on MgO substrates. Modulations of the voltage on the SQUIDs as a function of the applied flux have been observed in a wide range of temperatures. The nature of the modulation was found to be strongly dependent on the morphology of the film and on its critical current. The SQUIDs based on granular films were relatively noisy, hysteretic and with a complicated V-phi shape. Those devices based on low quality (lowIc) granular films could be measured only at low temperatures (much lower than 77 K). While those of higher quality (granular films with high Ic) could be measured near to the superconductive transition. The SQUID based on high quality epitaxial film was measured near Tc and showed an anomalous, time dependent behavior.

  6. Epitaxial effects in thin films of high-Tc cuprates with the K2NiF4 structure

    NASA Astrophysics Data System (ADS)

    Naito, Michio; Sato, Hisashi; Tsukada, Akio; Yamamoto, Hideki

    2018-03-01

    La2-xSrxCuO4 (LSCO) and La2-xBaxCuO4 (LBCO) have been recognized as the archetype materials of "hole-doped" high-Tc superconductors. Their crystal structures are relatively simple with a small number of constituent cation elements. In addition, the doping level can be varied by the chemical substitution over a wide range enough to obtain the full spectrum of doping-dependent electronic and magnetic properties. These attractive features have dedicated many researchers to thin-film growth of LSCO and LBCO. The critical temperature (Tc) of LSCO and LBCO is sensitive to strain as manifested by a positive pressure coefficient of Tc in bulk samples. In general, films are strained if they are grown on lattice-mismatched substrates (epitaxial strain). Early attempts (before 1997) at the growth of LSCO and LBCO films resulted in depressed Tc below 30 K as they were grown on a commonly used SrTiO3 substrate (in-plane lattice parameter asub = 3.905 Å): the in-plane lattice parameters of LSCO and LBCO are ≤3.80 Å, and hence tensile epitaxial strain is introduced. The situation was changed by the use of LaSrAlO4 substrates with a slightly shorter in-plane lattice constant (asub = 3.756 Å). On LaSrAlO4 substrates, the Tc reaches 45 K in La1.85Sr0.15CuO4, 47 K in La1.85Ba0.15CuO4, and 56 K in ozone-oxidized La2CuO4+δ films, substantially higher than the Tc's of the bulk compounds. The Tc increase in La1.85Sr0.15CuO4 films on LaSrAlO4 and decrease on SrTiO3 are semi-quantitatively in accord with the phenomenological estimations based on the anisotropic strain coefficients of Tc (dTc/dεi). In this review article, we describe the growth and properties of films of cuprates having the K2NiF4 structure, mainly focusing on the increase/decrease of Tc by epitaxial strain and quasi-stable phase formation by epitaxial stabilization. We further extract the structural and/or physical parameters controlling Tc toward microscopic understanding of the variation of Tc by epitaxial strain.

  7. Influence of the growth parameters on the electronic and magnetic properties of La0.67Sr0.33MnO3 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Annese, E.; Mori, T. J. A.; Schio, P.; Rache Salles, B.; Cezar, J. C.

    2018-04-01

    The implementation of La0.67Sr0.33MnO3 thin films in multilayered structures in organic and inorganic spintronics devices requires the optimization of their electronic and magnetic properties. In this work we report the structural, morphological, electronic and magnetic characterizations of La0.67Sr0.33MnO3 epitaxial thin films on SrTiO3 substrates, grown by pulsed laser deposition under different growing conditions. We show that the fluence of laser shots and in situ post-annealing conditions are important parameters to control the tetragonality (c/a) of the thin films. The distortion of the structure has a remarkable impact on both surface and bulk magnetism, allowing the tunability of the materials properties for use in different applications.

  8. Oxygen content modulation by nanoscale chemical and electrical patterning in epitaxial SrCoO3-δ (0 < δ ≤ 0.5) thin films.

    PubMed

    Hu, S; Seidel, J

    2016-08-12

    Fast controllable redox reactions in solid materials at room temperature are a promising strategy for enhancing the overall performance and lifetime of many energy technology materials and devices. Easy control of oxygen content is a key concept for the realisation of fast catalysis and bulk diffusion at room temperature. Here, high quality epitaxial brownmillerite SrCoO2.5 thin films have been oxidised to perovskite (P) SrCoO3 with NaClO. X-ray diffraction, scanning probe microscopy and x-ray photoelectron spectroscopy measurements were performed to investigate the structural and electronic changes of the material. The oxidised thin films were found to exhibit distinct morphological changes from an atomically flat terrace structure to forming small nanosized islands with boundaries preferentially in [100] or [010] directions all over the surface, relaxing the in-plane strain imposed by the substrate. The conductivity, or oxygen content, of each single island is confined by these textures, which can be locally patterned even further with electric poling. The high charging level at the island boundaries indicates a magnified electric capacity of SCO thin films, which could be exploited in future device geometries. This finding represents a new way of oxygen modulation with associated self-assembled charge confinement to nanoscale boundaries, offering interesting prospects in nanotechnology applications.

  9. The Selective Epitaxy of Silicon at Low Temperatures.

    NASA Astrophysics Data System (ADS)

    Lou, Jen-Chung

    1991-01-01

    This dissertation has developed a process for the selective epitaxial growth (SEG) of silicon at low temperatures using a dichlorosilane-hydrogen mixture in a hot-wall low pressure chemical vapor deposition (LPCVD) reactor. Some basic issues concerning the quality of epilayers --substrate preparation, ex-situ and in-situ cleaning, and deposition cycle, have been studied. We find it necessary to use a plasma etch to open epitaxial windows for the SEG of Si. A cycled plasma etch, a thin sacrificial oxide growth, and an oxide etching step can completely remove plasma-etch-induced surface damage and contaminants, which result in high quality epilayers. A practical wafer cleaning step is developed for low temperature Si epitaxial growth. An ex-situ HF vapor treatment can completely remove chemical oxide from the silicon surface and retard the reoxidation of the silicon surface. An in-situ low-concentration DCS cycle can aid in decomposition of surface oxide during a 900 ^circC H_2 prebake step. An HF vapor treatment combined with a low-concentration of DCS cycle consistently achieves defect-free epilayers at 850^circC and lower temperatures. We also show that a BF_sp{2}{+ } or F^+ ion implantation is a potential ex-situ wafer cleaning process for SEG of Si at low temperatures. The mechanism for the formation of surface features on Si epilayers is also discussed. Based on O ^+ ion implantation, we showed that the oxygen incorporation in silicon epilayers suppresses the Si growth rate. Therefore, we attribute the formation of surface features to the local reduction of the Si growth rate due to the dissolution of oxide islands at the epi/substrate interface. Finally, with this developed process for the SEG of silicon, defect-free overgrown epilayers are also obtained. This achievement demonstrates the feasibility for the future silicon-on-oxide (SOI) manufacturing technology.

  10. Damage evolution of ion irradiated defected-fluorite La 2 Zr 2 O 7 epitaxial thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaspar, Tiffany C.; Gigax, Jonathan G.; Shao, Lin

    2017-05-01

    Pyrochlore-structure oxides, A2B2O7, may exhibit remarkable radiation tolerance due to the ease with which they can accommodate disorder by transitioning to a defected fluorite structure. The mechanism of defect formation was explored by evaluating the radiation damage behavior of high quality epitaxial La2Zr2O7 thin films with the defected fluorite structure, irradiated with 1 MeV Zr+ at doses up to 10 displacements per atom (dpa). The level of film damage was evaluated as a function of dose by Rutherford backscattering spectrometry in the channeling geometry (RBS/c) and scanning transmission electron microscopy (STEM). At lower doses, the surface of the La2Zr2O7 filmmore » amorphized, and the amorphous fraction as a function of dose fit well to a stimulated amorphization model. As the dose increased, the surface amorphization slowed, and amorphization appeared at the interface. Even at a dose of 10 dpa, the core of the film remained crystalline, despite the prediction of amorphization from the model. To inform future ab initio simulations of La2Zr2O7, the bandgap of a thick La2Zr2O7 film was measured to be indirect at 4.96 eV, with a direct transition at 5.60 eV.« less

  11. Damage evolution of ion irradiated defected-fluorite La 2 Zr 2 O 7 epitaxial thin films

    DOE PAGES

    Kaspar, Tiffany C.; Gigax, Jonathan G.; Shao, Lin; ...

    2017-05-01

    Pyrochlore-structure oxides, A 2B 2O 7, may exhibit remarkable radiation tolerance due to the ease with which they can accommodate disorder by transitioning to a defected fluorite structure. In this paper, the mechanism of defect formation was explored by evaluating the radiation damage behavior of high quality epitaxial La 2Zr 2O 7 thin films with the defected fluorite structure, irradiated with 1 MeV Zr + at doses up to 10 displacements per atom (dpa). The level of film damage was evaluated as a function of dose by Rutherford backscattering spectrometry in the channeling geometry (RBS/c) and scanning transmission electron microscopymore » (STEM). At lower doses, the surface of the La 2Zr 2O 7 film amorphized, and the amorphous fraction as a function of dose fit well to a stimulated amorphization model. As the dose increased, the surface amorphization slowed, and amorphization appeared at the interface. Even at a dose of 10 dpa, the core of the film remained crystalline, despite the prediction of amorphization from the model. To inform future ab initio simulations of La 2Zr 2O 7, the bandgap of a thick La 2Zr 2O 7 film was measured to be indirect at 4.96 eV, with a direct transition at 5.60 eV.« less

  12. Adhesion Measurements of Epitaxially Lifted MBE-Grown ZnSe

    NASA Astrophysics Data System (ADS)

    Mavridi, N.; Zhu, J.; Eldose, N. M.; Prior, K. A.; Moug, R. T.

    2018-05-01

    ZnSe layers grown by molecular beam epitaxy (MBE), after processing by epitaxial lift-off, have been analyzed using fracture mechanics and thin-film interference to determine their adhesion properties on two different substrates, viz. ZnSe and glass, yielding adhesion energy of 270 ± 60 mJ m-2 and 34 ± 4 mJ m-2, respectively. These values are considerably larger than if only van der Waals forces were present and imply that adhesion arises from chemical bonding.

  13. Process for growing a film epitaxially upon a MgO surface

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1997-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  14. Direct growth of hexagonal boron nitride/graphene heterostructures on cobalt foil substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Zhongguang; Khanaki, Alireza; Tian, Hao

    2016-07-25

    Graphene/hexagonal boron nitride (G/h-BN) heterostructures have attracted a great deal of attention because of their exceptional properties and wide variety of potential applications in nanoelectronics. However, direct growth of large-area, high-quality, and stacked structures in a controllable and scalable way remains challenging. In this work, we demonstrate the synthesis of h-BN/graphene (h-BN/G) heterostructures on cobalt (Co) foil by sequential deposition of graphene and h-BN layers using plasma-assisted molecular beam epitaxy. It is found that the coverage of h-BN layers can be readily controlled on the epitaxial graphene by growth time. Large-area, uniform-quality, and multi-layer h-BN films on thin graphite layersmore » were achieved. Based on an h-BN (5–6 nm)/G (26–27 nm) heterostructure, capacitor devices with Co(foil)/G/h-BN/Co(contact) configuration were fabricated to evaluate the dielectric properties of h-BN. The measured breakdown electric field showed a high value of ∼2.5–3.2 MV/cm. Both I-V and C-V characteristics indicate that the epitaxial h-BN film has good insulating characteristics.« less

  15. Thermal stability and relaxation mechanisms in compressively strained Ge{sub 0.94}Sn{sub 0.06} thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fleischmann, C.; Lieten, R. R.; Shimura, Y.

    Strained Ge{sub 1-x}Sn{sub x} thin films have recently attracted a lot of attention as promising high mobility or light emitting materials for future micro- and optoelectronic devices. While they can be grown nowadays with high crystal quality, the mechanism by which strain energy is relieved upon thermal treatments remains speculative. To this end, we investigated the evolution (and the interplay) of composition, strain, and morphology of strained Ge{sub 0.94}Sn{sub 0.06} films with temperature. We observed a diffusion-driven formation of Sn-enriched islands (and their self-organization) as well as surface depressions (pits), resulting in phase separation and (local) reduction in strain energy,more » respectively. Remarkably, these compositional and morphological instabilities were found to be the dominating mechanisms to relieve energy, implying that the relaxation via misfit generation and propagation is not intrinsic to compressively strained Ge{sub 0.94}Sn{sub 0.06} films grown by molecular beam epitaxy.« less

  16. Suppression of spin-state transition in epitaxially strained LaCoO3

    NASA Astrophysics Data System (ADS)

    Pinta, C.; Fuchs, D.; Merz, M.; Wissinger, M.; Arac, E.; v. Löhneysen, H.; Samartsev, A.; Nagel, P.; Schuppler, S.

    2008-11-01

    Epitaxial thin films of LaCoO3 (e-LCO) exhibit ferromagnetic order with a transition temperature TC=85K while polycrystalline thin LaCoO3 films (p-LCO) remain paramagnetic. The temperature-dependent spin-state structure for both e-LCO and p-LCO was studied by x-ray absorption spectroscopy at the CoL2,3 and OK edges. Considerable spectral redistributions over temperature are observed for p-LCO . The spectra for e-LCO , on the other hand, do not show any significant changes for temperatures between 30 and 450 K at both edges, indicating that the spin state remains constant and that the epitaxial strain inhibits any population of the low-spin (S=0) state with decreasing temperature. This observation identifies an important prerequisite for ferromagnetism in e-LCO thin films.

  17. Growth of epitaxial orthorhombic YO{sub 1.5}-substituted HfO{sub 2} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori

    YO{sub 1.5}-substituted HfO{sub 2} thin films with various substitution amounts were grown on (100) YSZ substrates by the pulsed laser deposition method directly from the vapor phase. The epitaxial growth of film with different YO{sub 1.5} amounts was confirmed by the X-ray diffraction method. Wide-area reciprocal lattice mapping measurements were performed to clarify the crystal symmetry of films. The formed phases changed from low-symmetry monoclinic baddeleyite to high-symmetry tetragonal/cubic fluorite phases through an orthorhombic phase as the YO{sub 1.5} amount increased from 0 to 0.15. The additional annular bright-field scanning transmission electron microscopy indicates that the orthorhombic phase has polarmore » structure. This means that the direct growth by vapor is of polar orthorhombic HfO{sub 2}-based film. Moreover, high-temperature X-ray diffraction measurements showed that the film with a YO{sub 1.5} amount of 0.07 with orthorhombic structure at room temperature only exhibited a structural phase transition to tetragonal phase above 450 °C. This temperature is much higher than the reported maximum temperature of 200 °C to obtain ferroelectricity as well as the expected temperature for real device application. The growth of epitaxial orthorhombic HfO{sub 2}-based film helps clarify the nature of ferroelectricity in HfO{sub 2}-based films (186 words/200 words)« less

  18. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  19. Epitaxial Deposition Of Germanium Doped With Gallium

    NASA Technical Reports Server (NTRS)

    Huffman, James E.

    1994-01-01

    Epitaxial layers of germanium doped with gallium made by chemical vapor deposition. Method involves combination of techniques and materials used in chemical vapor deposition with GeH4 or GeCl4 as source of germanium and GaCl3 as source of gallium. Resulting epitaxial layers of germanium doped with gallium expected to be highly pure, with high crystalline quality. High-quality material useful in infrared sensors.

  20. Epitaxial nickel disilicide with low resistivity and excellent reliability.

    PubMed

    Hsin, Cheng-Lun; Deng, Shiu-Sheng

    2016-02-12

    Ultra-thin epitaxial NiSi2 was formed, and its structure was examined by electron microscopy and x-ray diffraction. Compared with previous reports, the measured resistivity of the epitaxial NiSi2 was unprecedentedly low, reaching 7 μΩ cm in the experimental results and up to 14.93 μΩ cm after modification. The reliability, which was investigated under different temperatures and current densities to understand its electronic characteristics, was 1.5 times better than that of the conventional polycrystalline counterpart. Black's equation and the measured mean-time-to-failure (MTTF) were used to obtain the reliability characteristics of epitaxial and poly-NiSi2. Confidence intervals at 95% for each MTTF confirmed the single failure mode. The electromigration phenomenon was observed to be the failure mechanism. Our results provide evidence that epitaxial NiSi2 is a promising contact material for future electronics.

  1. Epitaxial nickel disilicide with low resistivity and excellent reliability

    NASA Astrophysics Data System (ADS)

    Hsin, Cheng-Lun; Deng, Shiu-Sheng

    2016-02-01

    Ultra-thin epitaxial NiSi2 was formed, and its structure was examined by electron microscopy and x-ray diffraction. Compared with previous reports, the measured resistivity of the epitaxial NiSi2 was unprecedentedly low, reaching 7 μΩ cm in the experimental results and up to 14.93 μΩ cm after modification. The reliability, which was investigated under different temperatures and current densities to understand its electronic characteristics, was 1.5 times better than that of the conventional polycrystalline counterpart. Black’s equation and the measured mean-time-to-failure (MTTF) were used to obtain the reliability characteristics of epitaxial and poly-NiSi2. Confidence intervals at 95% for each MTTF confirmed the single failure mode. The electromigration phenomenon was observed to be the failure mechanism. Our results provide evidence that epitaxial NiSi2 is a promising contact material for future electronics.

  2. Single-crystal-like, c-axis oriented BaTiO3 thin films with high-performance on flexible metal templates for ferroelectric applications

    NASA Astrophysics Data System (ADS)

    Shin, Junsoo; Goyal, Amit; Jesse, Stephen; Kim, Dae Ho

    2009-06-01

    Epitaxial, c-axis oriented BaTiO3 thin films were deposited using pulsed laser ablation on flexible, polycrystalline Ni alloy tape with biaxially textured oxide buffer multilayers. The high quality of epitaxial BaTiO3 thin films with P4mm group symmetry was confirmed by x-ray diffraction. The microscopic ferroelectric domain structure and the piezoelectric domain switching in these films were confirmed via spatially resolved piezoresponse mapping and local hysteresis loops. Macroscopic measurements demonstrate that the films have well-saturated hysteresis loops with a high remanent polarization of ˜11.5 μC/cm2. Such high-quality, single-crystal-like BaTiO3 films on low-cost, polycrystalline, flexible Ni alloy substrates are attractive for applications in flexible lead-free ferroelectric devices.

  3. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  4. Characterization of high-quality kerfless epitaxial silicon for solar cells: Defect sources and impact on minority-carrier lifetime

    NASA Astrophysics Data System (ADS)

    Kivambe, Maulid M.; Powell, Douglas M.; Castellanos, Sergio; Jensen, Mallory Ann; Morishige, Ashley E.; Lai, Barry; Hao, Ruiying; Ravi, T. S.; Buonassisi, Tonio

    2018-02-01

    We investigate the types and origins of structural defects in thin (<100 μm) kerfless epitaxial single crystal silicon grown on top of reorganized porous silicon layers. Although the structural defect density is low (has average defect density < 104 cm-2), localized areas with a defect density > 105 cm-2 are observed. Cross-sectional and systematic plan-view defect etching and microscopy reveals that the majority of stacking faults and dislocations originate at the interface between the porous silicon layer and the epitaxial wafer. Localised dislocation clusters are observed in regions of collapsed/deformed porous silicon and at decorated stacking faults. In localized regions of high extended defect density, increased minority-carrier recombination activity is observed. Evidence for impurity segregation to the extended defects (internal gettering), which is known to exacerbate carrier recombination is demonstrated. The impact of the defects on material performance and substrate re-use is also discussed.

  5. High quality factor whispering gallery modes from self-assembled hexagonal GaN rods grown by metal-organic vapor phase epitaxy.

    PubMed

    Tessarek, C; Sarau, G; Kiometzis, M; Christiansen, S

    2013-02-11

    Self-assembled GaN rods were grown on sapphire by metal-organic vapor phase epitaxy using a simple two-step method that relies first on a nitridation step followed by GaN epitaxy. The mask-free rods formed without any additional catalyst. Most of the vertically aligned rods exhibit a regular hexagonal shape with sharp edges and smooth sidewall facets. Cathodo- and microphotoluminescence investigations were carried out on single GaN rods. Whispering gallery modes with quality factors greater than 4000 were measured demonstrating the high morphological and optical quality of the self-assembled GaN rods.

  6. Nanosecond laser switching of surface wettability and epitaxial integration of c-axis ZnO thin films with Si(111) substrates.

    PubMed

    Molaei, R; Bayati, M R; Alipour, H M; Estrich, N A; Narayan, J

    2014-01-08

    We have achieved integration of polar ZnO[0001] epitaxial thin films with Si(111) substrates where cubic yttria-stabilized zirconia (c-YSZ) was used as a template on a Si(111) substrate. Using XRD (θ-2θ and φ scans) and HRTEM techniques, the epitaxial relationship between the ZnO and the c-YSZ layers was shown to be [0001]ZnO || [111]YSZ and [21¯1¯0]ZnO || [1¯01](c-YSZ), where the [21¯1¯0] direction lies in the (0001) plane, and the [1¯01] direction lies in the (111) plane. Similar studies on the c-YSZ/Si interface revealed epitaxy as (111)YSZ || (111)Si and in-plane (110)YSZ || (110)Si. HRTEM micrographs revealed atomically sharp and crystallographically continuous interfaces. The ZnO epilayers were subsequently laser annealed by a single pulse of a nanosecond excimer KrF laser. It was shown that the hydrophobic behavior of the pristine sample became hydrophilic after laser treatment. XPS was employed to study the effect of laser treatment on surface stoichiometry of the ZnO epilayers. The results revealed the formation of oxygen vacancies, which are envisaged to control the observed hydrophilic behavior. Our AFM studies showed surface smoothing due to the coupling of the high energy laser beam with the surface. The importance of integration of c-axis ZnO with Si(111) substrates is emphasized using the paradigm of domain matching epitaxy on the c-YSZ[111] buffer platform along with their out-of-plane orientation, which leads to improvement of the performance of the solid-state devices. The observed ultrafast response and switching in photochemical characteristics provide new opportunities for application of ZnO in smart catalysts, sensors, membranes, DNA self-assembly and multifunctional devices.

  7. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  8. Epitaxial growth of YBa2Cu3O7 - delta films on oxidized silicon with yttria- and zirconia-based buffer layers

    NASA Astrophysics Data System (ADS)

    Pechen, E. V.; Schoenberger, R.; Brunner, B.; Ritzinger, S.; Renk, K. F.; Sidorov, M. V.; Oktyabrsky, S. R.

    1993-09-01

    A study of epitaxial growth of YBa2Cu3O7-δ films on oxidized Si with yttria- and zirconia-based buffer layers is reported. Using substrates with either SiO2 free or naturally oxidized (100) surfaces of Si it was found that a thin SiO2 layer on top of the Si favors high-quality superconducting film formation. Compared to yttria-stabilized ZrO2 (YSZ) single layers, YSZY2O3 double and YSZ/Y2O3YSZ triple layers allows the deposition of thin YBa2Cu3O7-δ films with improved properties including reduced aging effects. In epitaxial YBa2Cu3O7-δ films grown on the double buffer layers a critical temperature Tc(R=0)=89.5 K and critical current densities of 3.5×106 A/cm2 at 77 K and 1×107 A/cm2 at 66 K were reached.

  9. Epitaxial growth of single-orientation high-quality MoS2 monolayers

    NASA Astrophysics Data System (ADS)

    Bana, Harsh; Travaglia, Elisabetta; Bignardi, Luca; Lacovig, Paolo; Sanders, Charlotte E.; Dendzik, Maciej; Michiardi, Matteo; Bianchi, Marco; Lizzit, Daniel; Presel, Francesco; De Angelis, Dario; Apostol, Nicoleta; Das, Pranab Kumar; Fujii, Jun; Vobornik, Ivana; Larciprete, Rosanna; Baraldi, Alessandro; Hofmann, Philip; Lizzit, Silvano

    2018-07-01

    We present a study on the growth and characterization of high-quality single-layer MoS2 with a single orientation, i.e. without the presence of mirror domains. This single orientation of the MoS2 layer is established by means of x-ray photoelectron diffraction. The high quality is evidenced by combining scanning tunneling microscopy with x-ray photoelectron spectroscopy measurements. Spin- and angle-resolved photoemission experiments performed on the sample revealed complete spin-polarization of the valence band states near the K and -K points of the Brillouin zone. These findings open up the possibility to exploit the spin and valley degrees of freedom for encoding and processing information in devices that are based on epitaxially grown materials.

  10. Electric field-tunable Ba{sub x}Sr{sub 1-x}TiO{sub 3} films with high figures of merit grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mikheev, Evgeny; Kajdos, Adam P.; Hauser, Adam J.

    2012-12-17

    We report on the dielectric properties of Ba{sub x}Sr{sub 1-x}TiO{sub 3} (BST) films grown by molecular beam epitaxy on epitaxial Pt bottom electrodes. Paraelectric films (x Less-Than-Or-Equivalent-To 0.5) exhibit dielectric losses that are similar to those of BST single crystals and ceramics. Films with device quality factors greater than 1000 and electric field tunabilities exceeding 1:5 are demonstrated. The results provide evidence for the importance of stoichiometry control and the use of a non-energetic deposition technique for achieving high figures of merit of tunable devices with BST thin films.

  11. Large linear magnetoresistance in heavily-doped Nb:SrTiO3 epitaxial thin films

    PubMed Central

    Jin, Hyunwoo; Lee, Keundong; Baek, Seung-Hyub; Kim, Jin-Sang; Cheong, Byung-ki; Park, Bae Ho; Yoon, Sungwon; Suh, B. J.; Kim, Changyoung; Seo, S. S. A.; Lee, Suyoun

    2016-01-01

    Interaction between electrons has long been a focused topic in condensed-matter physics since it has led to the discoveries of astonishing phenomena, for example, high-Tc superconductivity and colossal magnetoresistance (CMR) in strongly-correlated materials. In the study of strongly-correlated perovskite oxides, Nb-doped SrTiO3 (Nb:SrTiO3) has been a workhorse not only as a conducting substrate, but also as a host possessing high carrier mobility. In this work, we report the observations of large linear magnetoresistance (LMR) and the metal-to-insulator transition (MIT) induced by magnetic field in heavily-doped Nb:STO (SrNb0.2Ti0.8O3) epitaxial thin films. These phenomena are associated with the interplay between the large classical MR due to high carrier mobility and the electronic localization effect due to strong spin-orbit coupling, implying that heavily Nb-doped Sr(Nb0.2Ti0.8)O3 is promising for the application in spintronic devices. PMID:27703222

  12. Magnetic properties of epitaxial β-Nb2N thin film on SiC substrate

    NASA Astrophysics Data System (ADS)

    Yang, Zihao; Myers, Roberto; Katzer, D. Scott; Nepal, Neeraj; Meyer, David J.

    Previously superconductivity in Nb2N was studied in thin films synthesized by reactive magnetron sputtering or pulsed laser deposition. Recently, Nb2N was synthesized by molecular beam epitaxy (MBE). Here, we report on the magnetic properties of MBE grown Nb2N measured by SQUID magnetometry. The single hexagonal β phase Nb2N is grown on a semi-insulating Si-face 4H SiC (0001) substrate in nitrogen rich conditions at a substrate temperature of 850 °C. In-plane magnetization as a function of magnetic field measured at 5 K shows type-II superconductivity with critical fields Hc1 and Hc2 of 300 Oe and 10 kOe, respectively. In-plane field-cooled and zero-field-cooled a critical temperature (Tc) of 11.5 K, higher than in sputtered Nb2N films. This work was supported by Army Research Office and the Office of Naval Research.

  13. Controlling plasmonic properties of epitaxial thin films of indium tin oxide in the near-infrared region

    NASA Astrophysics Data System (ADS)

    Kamakura, R.; Fujita, K.; Murai, S.; Tanaka, K.

    2015-06-01

    Epitaxial thin films of indium tin oxide (ITO) were grown on yttria-stabilized zirconia single-crystal substrates by using a pulsed laser deposition to examine their plasmonic properties. The dielectric function of ITO was characterized by spectroscopic ellipsometry. Through the concentration of SnO2 in the target, the carrier concentration in the films was modified, which directly leads to the tuning of the dielectric function in the near-infrared region. Variable-angle reflectance spectroscopy in the Kretschmann geometry shows the dip in the reflection spectrum of p-polarized light corresponding to the excitation of surface plasmon polaritions (SPPs) in the near-infrared region. The excitation wavelength of the SPPs was shifted with changing the dielectric functions of ITO, which is reproduced by the calculation using transfer matrix method.

  14. Nanodomain induced anomalous magnetic and electronic transport properties of LaBaCo{sub 2}O{sub 5.5+δ} highly epitaxial thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ruiz-Zepeda, F.; Ma, C.; Bahena Uribe, D.

    2014-01-14

    A giant magnetoresistance effect (∼46% at 20 K under 7 T) and anomalous magnetic properties were found in a highly epitaxial double perovskite LaBaCo{sub 2}O{sub 5.5+δ} (LBCO) thin film on (001) MgO. Aberration-corrected Electron Microscopy and related analytical techniques were employed to understand the nature of these unusual physical properties. The as-grown film is epitaxial with the c-axis of the LBCO structure lying in the film plane and with an interface relationship given by (100){sub LBCO} || (001){sub MgO} and [001]{sub LBCO} || [100]{sub MgO} or [010]{sub MgO}. Orderly oxygen vacancies were observed by line profile electron energy loss spectroscopy and bymore » atomic resolution imaging. Especially, oxygen vacancy and nanodomain structures were found to have a crucial effect on the electronic transport and magnetic properties.« less

  15. Coexisting nanoscale inverse spinel and rock salt crystallographic phases in NiCo2O4 epitaxial thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Sharona, H.; Loukya, B.; Bhat, U.; Sahu, R.; Vishal, B.; Silwal, P.; Gupta, A.; Datta, R.

    2017-12-01

    The origin of alternating wavy dark-bright stripe-like contrast in strain contrast transmission electron microscopy images of NiCo2O4 (NCO) epitaxial thin films grown by pulsed laser deposition has been investigated. The nanoscale stripe-like pattern is determined to be associated with coexisting rock salt (RS) and inverse spinel crystal phases. The presence of two different phases, not addressed in previous reports, is experimentally confirmed by both electron diffraction and high resolution transmission electron microscopy imaging. First principles based calculations, together with compressive strain present in the films, support the formation of such coexisting crystallographic phases in NCO. Similar microstructural patterns and RS structure are not observed in epitaxial films of two other oxides of the spinel family, namely, NiFe2O4 and CoFe2O4. A correlation between the coexisting structures and the macroscopic physical properties of NCO is discussed.

  16. Characterization of high-quality kerfless epitaxial silicon for solar cells: Defect sources and impact on minority-carrier lifetime

    DOE PAGES

    Kivambe, Maulid M.; Powell, Douglas M.; Castellanos, Sergio; ...

    2017-11-14

    We investigate the types and origins of structural defects in thin (<100 μm) kerfless epitaxial single crystal silicon grown on top of reorganized porous silicon layers. Although the structural defect density is low (has average defect density < 10 4 cm -2), localized areas with a defect density > 10 5 cm -2 are observed. Cross-sectional and systematic plan-view defect etching and microscopy reveals that the majority of stacking faults and dislocations originate at the interface between the porous silicon layer and the epitaxial wafer. Localised dislocation clusters are observed in regions of collapsed/deformed porous silicon and at decorated stackingmore » faults. In localized regions of high extended defect density, increased minority-carrier recombination activity is observed. Evidence for impurity segregation to the extended defects (internal gettering), which is known to exacerbate carrier recombination is demonstrated. In conclusion, the impact of the defects on material performance and substrate re-use is also discussed.« less

  17. Characterization of high-quality kerfless epitaxial silicon for solar cells: Defect sources and impact on minority-carrier lifetime

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kivambe, Maulid M.; Powell, Douglas M.; Castellanos, Sergio

    We investigate the types and origins of structural defects in thin (<100 μm) kerfless epitaxial single crystal silicon grown on top of reorganized porous silicon layers. Although the structural defect density is low (has average defect density < 10 4 cm -2), localized areas with a defect density > 10 5 cm -2 are observed. Cross-sectional and systematic plan-view defect etching and microscopy reveals that the majority of stacking faults and dislocations originate at the interface between the porous silicon layer and the epitaxial wafer. Localised dislocation clusters are observed in regions of collapsed/deformed porous silicon and at decorated stackingmore » faults. In localized regions of high extended defect density, increased minority-carrier recombination activity is observed. Evidence for impurity segregation to the extended defects (internal gettering), which is known to exacerbate carrier recombination is demonstrated. In conclusion, the impact of the defects on material performance and substrate re-use is also discussed.« less

  18. Low-temperature technique of thin silicon ion implanted epitaxial detectors

    NASA Astrophysics Data System (ADS)

    Kordyasz, A. J.; Le Neindre, N.; Parlog, M.; Casini, G.; Bougault, R.; Poggi, G.; Bednarek, A.; Kowalczyk, M.; Lopez, O.; Merrer, Y.; Vient, E.; Frankland, J. D.; Bonnet, E.; Chbihi, A.; Gruyer, D.; Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M. F.; Salomon, F.; Bini, M.; Valdré, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S.; Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E.; Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M.; Alba, R.; Santonocito, D.; Maiolino, C.; Cinausero, M.; Gramegna, F.; Marchi, T.; Kozik, T.; Kulig, P.; Twaróg, T.; Sosin, Z.; Gaşior, K.; Grzeszczuk, A.; Zipper, W.; Sarnecki, J.; Lipiński, D.; Wodzińska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyżak, K.; Tarasiuk, K. J.; Khabanowa, Z.; Kordyasz, Ł.

    2015-02-01

    A new technique of large-area thin ion implanted silicon detectors has been developed within the R&D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B+ ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from 241Am (< E α > = 5.5 MeV). Preliminary tests on the first thin detector (area ≈ 20 × 20 mm2) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction 84Kr ( E = 35 A MeV) + 112Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge.

  19. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  20. Epitaxial growth of thermally stable cobalt films on Au(111)

    NASA Astrophysics Data System (ADS)

    Haag, N.; Laux, M.; Stöckl, J.; Kollamana, J.; Seidel, J.; Großmann, N.; Fetzer, R.; Kelly, L. L.; Wei, Z.; Stadtmüller, B.; Cinchetti, M.; Aeschlimann, M.

    2016-10-01

    Ferromagnetic thin films play a fundamental role in spintronic applications as a source for spin polarized carriers and in fundamental studies as ferromagnetic substrates. However, it is challenging to produce such metallic films with high structural quality and chemical purity on single crystalline substrates since the diffusion barrier across the metal-metal interface is usually smaller than the thermal activation energy necessary for smooth surface morphologies. Here, we introduce epitaxial thin Co films grown on an Au(111) single crystal surface as a thermally stable ferromagnetic thin film. Our structural investigations reveal an identical growth of thin Co/Au(111) films compared to Co bulk single crystals with large monoatomic Co terraces with an average width of 500 Å, formed after thermal annealing at 575 K. Combining our results from photoemission and Auger electron spectroscopy, we provide evidence that no significant diffusion of Au into the near surface region of the Co film takes place for this temperature and that no Au capping layer is formed on top of Co films. Furthermore, we show that the electronic valence band is dominated by a strong spectral contribution from a Co 3d band and a Co derived surface resonance in the minority band. Both states lead to an overall negative spin polarization at the Fermi energy.

  1. Effect of Zn and Te beam intensity upon the film quality of ZnTe layers on severely lattice mismatched sapphire substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Nakasu, Taizo; Sun, W.; Kobayashi, M.; Asahi, T.

    2017-06-01

    Zinc telluride layers were grown on highly-lattice-mismatched sapphire substrates by molecular beam epitaxy, and their crystallographic properties were studied by means of X-ray diffraction pole figures. The crystal quality of the ZnTe thin film was further studied by scanning electron microscopy, X-ray rocking curves and low-temperature photoluminescence measurements. These methods show that high-crystallinity (111)-oriented single domain ZnTe layers with the flat surface and good optical properties are realized when the beam intensity ratio of Zn and Te beams is adjusted. The migration of Zn and Te was inhibited by excess surface material and cracks were appeared. In particular, excess Te inhibited the formation of a high-crystallinity ZnTe film. The optical properties of the ZnTe layer revealed that the exciton-related features were dominant, and therefore the film quality was reasonably high even though the lattice constants and the crystal structures were severely mismatched.

  2. Nanoscale self-templating for oxide epitaxy with large symmetry mismatch

    DOE PAGES

    Gao, Xiang; Lee, Shinbuhm; Nichols, John A.; ...

    2016-12-02

    Direct observations using scanning transmission electron microscopy unveil an intriguing interfacial bi-layer that enables epitaxial growth of a strain-free, monoclinic, bronze-phase VO 2(B) thin film on a perovskite SrTiO 3 (STO) substrate. For this study, we observe an ultrathin (2–3 unit cells) interlayer best described as highly strained VO 2(B) nanodomains combined with an extra (Ti,V)O 2 layer on the TiO 2 terminated STO (001) surface. By forming a fully coherent interface with the STO substrate and a semi-coherent interface with the strain-free epitaxial VO 2(B) film above, the interfacial bi-layer enables the epitaxial connection of the two materials despitemore » their large symmetry and lattice mismatch.« less

  3. Epitaxial CoSi2 on MOS devices

    DOEpatents

    Lim, Chong Wee; Shin, Chan Soo; Petrov, Ivan Georgiev; Greene, Joseph E.

    2005-01-25

    An Si.sub.x N.sub.y or SiO.sub.x N.sub.y liner is formed on a MOS device. Cobalt is then deposited and reacts to form an epitaxial CoSi.sub.2 layer underneath the liner. The CoSi.sub.2 layer may be formed through a solid phase epitaxy or reactive deposition epitaxy salicide process. In addition to high quality epitaxial CoSi.sub.2 layers, the liner formed during the invention can protect device portions during etching processes used to form device contacts. The liner can act as an etch stop layer to prevent excessive removal of the shallow trench isolation, and protect against excessive loss of the CoSi.sub.2 layer.

  4. The magnetic, electrical transport and magnetoresistance properties of epitaxial La0.7Sr0.3Mn1- xFexO3 (x = 0-0.20) thin films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Huang, Q.; Li, Z. W.; Li, J.; Ong, C. K.

    2001-05-01

    High-quality epitaxial La0.7Sr0.3Mn1- xFexO3 (LSMFO) thin films have been successfully prepared on SrTiO3 single-crystal substrates by pulsed laser deposition. No structural changes were observed for x≤0.12. For x = 0.2, an elongation in the a-axis direction was identified. An antiferromagnetic arrangement of Fe and Mn ions over the whole Fe-doping region and a canted spin structure at x≥0.12 were observed. Unlike the case for the bulks, only one resistivity peak was observed for the epitaxial films. This shows that one of the two resistivity peaks for polycrystalline LSMFO bulks has its origin in grain boundaries. The effect of Fe doping can be attributed to a combination of doping disorder, Fe-Mn superexchange interactions and a site-percolation mechanism, which suppress the metallic conduction and ferromagnetism. In epitaxial LSMFO thin films, extrinsic magnetoresistance (MR) related to grain boundary effects was excluded. The intrinsic MR is gradually enhanced with increasing Fe concentration. For the film with x = 0.12, a fairly large MR = 12% was observed in a small field of 4 kOe at 145 K. For those films, the resistivity above Tc (the ferromagnetic Curie temperature) follows the Emin-Holstein model for small polarons. The polaron activation energy is enhanced due to weakening of the local double-exchange ferromagnetism by Fe doping. The fitting results indicate that the lattice polarons are magnetic in nature and that non-nearest-neighbour polaron hopping exists. The resistivity below Tp (the resistivity peak temperature) follows an empirical relation, ρ(T,H) = ρ0 + ρ2(H)T2 + ρ7.5(H)T7.5. It is found that the MR arises mainly from the suppression of T7.5-terms. The enhanced MR can be attributed to the suppression of the enhanced magnetic scattering and polaron scattering under an external field.

  5. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  6. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    DOEpatents

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  7. Pulsed laser deposition of epitaxial yttrium iron garnet films with low Gilbert damping and bulk-like magnetization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Onbasli, M. C., E-mail: onbasli@mit.edu; Kim, D. H.; Ross, C. A.

    2014-10-01

    Yttrium iron garnet (YIG, Y {sub 3}Fe{sub 5}O{sub 12}) films have been epitaxially grown on Gadolinium Gallium Garnet (GGG, Gd{sub 3}Ga{sub 5}O{sub 12}) substrates with (100) orientation using pulsed laser deposition. The films were single-phase, epitaxial with the GGG substrate, and the root-mean-square surface roughness varied between 0.14 nm and 0.2 nm. Films with thicknesses ranging from 17 to 200 nm exhibited low coercivity (<2 Oe), near-bulk room temperature saturation moments (∼135 emu cm{sup −3}), in-plane easy axis, and damping parameters as low as 2.2 × 10{sup −4}. These high quality YIG thin films are useful in the investigation ofmore » the origins of novel magnetic phenomena and magnetization dynamics.« less

  8. Enhancement of photocurrent in epitaxial lift-off thin-film GaInNAsSb solar cells due to light-confinement structure

    NASA Astrophysics Data System (ADS)

    Miyashita, Naoya; Behaghel, Benoît; Guillemoles, Jean-François; Okada, Yoshitaka

    2018-07-01

    This work focuses on the characterization of GaInNAsSb solar cells whose substrates are removed via the epitaxial lift-off (ELO) technique. As a result of the substrate removal, increases in the photocurrent and the interference feature were clearly observed. This is clear evidence of the light-confinement effect, whereby some of the unabsorbed photons at the rear metal contact were reflected back towards the front side of the ELO thin-film cell. We successfully demonstrated that the ELO technique can be applied for the GaInNAsSb cell, and the light management should add flexibility in designing the cell structures.

  9. Epitaxial Bi2 FeCrO6 Multiferroic Thin Film as a New Visible Light Absorbing Photocathode Material.

    PubMed

    Li, Shun; AlOtaibi, Bandar; Huang, Wei; Mi, Zetian; Serpone, Nick; Nechache, Riad; Rosei, Federico

    2015-08-26

    Ferroelectric materials have been studied increasingly for solar energy conversion technologies due to the efficient charge separation driven by the polarization induced internal electric field. However, their insufficient conversion efficiency is still a major challenge. Here, a photocathode material of epitaxial double perovskite Bi(2) FeCrO(6) multiferroic thin film is reported with a suitable conduction band position and small bandgap (1.9-2.1 eV), for visible-light-driven reduction of water to hydrogen. Photoelectrochemical measurements show that the highest photocurrent density up to -1.02 mA cm(-2) at a potential of -0.97 V versus reversible hydrogen electrode is obtained in p-type Bi(2) FeCrO(6) thin film photocathode grown on SrTiO(3) substrate under AM 1.5G simulated sunlight. In addition, a twofold enhancement of photocurrent density is obtained after negatively poling the Bi(2) FeCrO(6) thin film, as a result of modulation of the band structure by suitable control of the internal electric field gradient originating from the ferroelectric polarization in the Bi(2) FeCrO(6) films. The findings validate the use of multiferroic Bi(2) FeCrO(6) thin films as photocathode materials, and also prove that the manipulation of internal fields through polarization in ferroelectric materials is a promising strategy for the design of improved photoelectrodes and smart devices for solar energy conversion. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. High-Performance Flexible Thin-Film Transistors Based on Single-Crystal-like Silicon Epitaxially Grown on Metal Tape by Roll-to-Roll Continuous Deposition Process.

    PubMed

    Gao, Ying; Asadirad, Mojtaba; Yao, Yao; Dutta, Pavel; Galstyan, Eduard; Shervin, Shahab; Lee, Keon-Hwa; Pouladi, Sara; Sun, Sicong; Li, Yongkuan; Rathi, Monika; Ryou, Jae-Hyun; Selvamanickam, Venkat

    2016-11-02

    Single-crystal-like silicon (Si) thin films on bendable and scalable substrates via direct deposition are a promising material platform for high-performance and cost-effective devices of flexible electronics. However, due to the thick and unintentionally highly doped semiconductor layer, the operation of transistors has been hampered. We report the first demonstration of high-performance flexible thin-film transistors (TFTs) using single-crystal-like Si thin films with a field-effect mobility of ∼200 cm 2 /V·s and saturation current, I/l W > 50 μA/μm, which are orders-of-magnitude higher than the device characteristics of conventional flexible TFTs. The Si thin films with a (001) plane grown on a metal tape by a "seed and epitaxy" technique show nearly single-crystalline properties characterized by X-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction, and transmission electron microscopy. The realization of flexible and high-performance Si TFTs can establish a new pathway for extended applications of flexible electronics such as amplification and digital circuits, more than currently dominant display switches.

  11. Effects of external mechanical loading on phase diagrams and dielectric properties in epitaxial ferroelectric thin films with anisotropic in-plane misfit strains

    NASA Astrophysics Data System (ADS)

    Qiu, J. H.; Jiang, Q.

    2007-02-01

    A phenomenological Landau-Devonshine theory is used to describe the effects of external mechanical loading on equilibrium polarization states and dielectric properties in epitaxial ferroelectric thin films grown on dissimilar orthorhombic substrates which induce anisotropic misfit strains in the film plane. The calculation focuses on single-domain perovskite BaTiO3 and PbTiO3 thin films on the assumption that um1=-um2. Compared with the phase diagrams without external loading, the characteristic features of "misfit strain-misfit strain" phase diagrams at room temperature are the presence of paraelectric phase and the strain-induced ferroelectric to paraelectric phase transition. Due to the external loading, the "misfit strain-stress" and "stress-temperature" phase diagrams also have drastic changes, especially for the vanishing of paraelectric phase in "misfit strain-stress" phase map and the appearance of possible ferroelectric phases. We also investigate the dielectric properties and the tunability of both BaTiO3 and PbTiO3 thin films. We find that the external stress dependence of phase diagrams and dielectric properties largely depends on strain anisotropy as well.

  12. Antisite disorder induced spin glass and exchange bias effect in Nd2NiMnO6 epitaxial thin film

    NASA Astrophysics Data System (ADS)

    Singh, Amit Kumar; Chauhan, Samta; Chandra, Ramesh

    2017-03-01

    We report the observation of the exchange bias effect and spin glass behaviour at low temperature in a ferromagnetic Nd2NiMnO6 epitaxial thin film. Along with the ferromagnetic transition at ˜194 K, an additional transition is observed at lower temperature (˜55 K) as seen from M-T curves of the sample. A shift in the ac susceptibility peak with frequency has been observed at low temperature, which is a signature of a glassy phase within the sample. The detailed investigation of the memory effect and time dependent magnetic relaxation measurements reveals the presence of a spin glass phase in the Nd2NiMnO6 thin film. The exchange bias effect observed at low temperature in the sample has been associated with an antisite disorder induced spin glass phase, which results in a ferromagnetic/spin glass interface at low temperature. The exchange bias behaviour has been further confirmed by performing cooling field and temperature dependence of exchange bias along with training effect measurements.

  13. Structure and chemistry of epitaxial ceria thin films on yttria-stabilized zirconia substrates, studied by high resolution electron microscopy

    DOE PAGES

    Sinclair, Robert; Lee, Sang Chul; Shi, Yezhou; ...

    2017-03-18

    Here, we have applied aberration-corrected transmission electron microscopy (TEM) imaging and electron energy loss spectroscopy (EELS) to study the structure and chemistry of epitaxial ceria thin films, grown by pulsed laser deposition onto (001) yttria-stabilized zirconia (YSZ) substrates. There are few observable defects apart from the expected mismatch interfacial dislocations and so the films would be expected to have good potential for applications. Under high electron beam dose rate (above about 6000 e-/Å 2s) domains of an ordered structure appear and these are interpreted as being created by oxygen vacancy ordering. The ordered structure does not appear at lower losemore » rates (ca. 2600 e-/Å 2s) and can be removed by imaging under 1 mbar oxygen gas in an environmental TEM. EELS confirms that there is both oxygen deficiency and the associated increase in Ce 3+ versus Ce 4+ cations in the ordered domains. In situ high resolution TEM recordings show the formation of the ordered domains as well as atomic migration along the ceria thin film (001) surface.« less

  14. Structure and chemistry of epitaxial ceria thin films on yttria-stabilized zirconia substrates, studied by high resolution electron microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sinclair, Robert; Lee, Sang Chul; Shi, Yezhou

    Here, we have applied aberration-corrected transmission electron microscopy (TEM) imaging and electron energy loss spectroscopy (EELS) to study the structure and chemistry of epitaxial ceria thin films, grown by pulsed laser deposition onto (001) yttria-stabilized zirconia (YSZ) substrates. There are few observable defects apart from the expected mismatch interfacial dislocations and so the films would be expected to have good potential for applications. Under high electron beam dose rate (above about 6000 e-/Å 2s) domains of an ordered structure appear and these are interpreted as being created by oxygen vacancy ordering. The ordered structure does not appear at lower losemore » rates (ca. 2600 e-/Å 2s) and can be removed by imaging under 1 mbar oxygen gas in an environmental TEM. EELS confirms that there is both oxygen deficiency and the associated increase in Ce 3+ versus Ce 4+ cations in the ordered domains. In situ high resolution TEM recordings show the formation of the ordered domains as well as atomic migration along the ceria thin film (001) surface.« less

  15. Far-infrared transmission in GaN, AlN, and AlGaN thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ibanez, J.; Hernandez, S.; Alarcon-Llado, E.

    2008-08-01

    We present a far-infrared transmission study on group-III nitride thin films. Cubic GaN and AlN layers and c-oriented wurtzite GaN, AlN, and Al{sub x}Ga{sub 1-x}N (x<0.3) layers were grown by molecular beam epitaxy on GaAs and Si(111) substrates, respectively. The Berreman effect allows us to observe simultaneously the transverse optic and the longitudinal optic phonons of both the cubic and the hexagonal films as transmission minima in the infrared spectra acquired with obliquely incident radiation. We discuss our results in terms of the relevant electromagnetic theory of infrared transmission in cubic and wurtzite thin films. We compare the infrared resultsmore » with visible Raman-scattering measurements. In the case of films with low scattering volumes and/or low Raman efficiencies and also when the Raman signal of the substrate material obscures the weaker peaks from the nitride films, we find that the Berreman technique is particularly useful to complement Raman spectroscopy.« less

  16. Epitaxial growth of VO2 by periodic annealing

    NASA Astrophysics Data System (ADS)

    Tashman, J. W.; Lee, J. H.; Paik, H.; Moyer, J. A.; Misra, R.; Mundy, J. A.; Spila, T.; Merz, T. A.; Schubert, J.; Muller, D. A.; Schiffer, P.; Schlom, D. G.

    2014-02-01

    We report the growth of ultrathin VO2 films on rutile TiO2 (001) substrates via reactive molecular-beam epitaxy. The films were formed by the cyclical deposition of amorphous vanadium and its subsequent oxidation and transformation to VO2 via solid-phase epitaxy. Significant metal-insulator transitions were observed in films as thin as 2.3 nm, where a resistance change ΔR/R of 25 was measured. Low angle annular dark field scanning transmission electron microscopy was used in conjunction with electron energy loss spectroscopy to study the film/substrate interface and revealed the vanadium to be tetravalent and the titanium interdiffusion to be limited to 1.6 nm.

  17. Exploration and engineering of physical properties in high-quality Sr2CrReO6 epitaxial films

    NASA Astrophysics Data System (ADS)

    Lucy, Jeremy Matthew

    Double perovskites have proven to be highly interesting materials, particularly in the past two decades, with many materials in this family exhibiting strong correlations. These materials are some of many novel complex oxides with potential spintronics application. Sr2CrReO6, in particular, is a double perovskite with one of the highest Curie temperatures of its class (> 620 K in bulk and ~510-600 K in thin films), as well as high spin polarization, ferrimagnetic behavior, and semiconducting properties. This dissertation covers recent work in exploring and tuning physical properties in epitaxial films of Sr2CrReO6. It starts by providing a background for the field of spintronics and double perovskites, bulk and thin film synthesis of Sr2CrReO6, and standard and specialized characterization techniques utilized in both university and national laboratories, and then provides reports of work on Sr2CrReO6 epitaxial films. Examples of exploration and engineering of properties of Sr2CrReO 6 include: (1) tuning of electrical resistivity, such as at T= 7 K by a factor of 18,000%, via control of oxygen partial pressure during film growth; (2) enhancement of interfacial double perovskite ordering, demonstrated with high-angle annular dark-field scanning transmission electron microscopy, via the use of double perovskite buffer layer substrates; (3) measurement of magnetization suppression near film/substrate interfaces via polarized neutron reflectometry, which reveals a reduction of thickness (from 5.6 nm to 3.6 nm) of the magnetically suppressed interface region due to buffer layer enhancement; (4) strain tunability of atomic spin and orbital moments of Cr, Re, and O atoms probed with x-ray magnetic circular dichroism, which demonstrates ferrimagnetic behavior and reveals important magnetic contributions of the oxygen sites (~0.02 muB/site); (5) strain tunability of large magnetocrystalline anisotropy via applied epitaxial strain, revealing anisotropy fields of up to 10s of

  18. The processes of formation and epitaxial alignment of SrTiO3 thin films prepared by metallo-organic decomposition

    NASA Astrophysics Data System (ADS)

    Braunstein, G.; Paz-Pujalt, G. R.; Mason, M. G.; Blanton, T.; Barnes, C. L.; Margevich, D.

    1993-01-01

    The processes of formation and crystallization of thin films of SrTiO3 prepared by the method of metallo-organic decomposition have been studied with particular emphasis on the relationship between the thermal decomposition of the metallo-organic precursors and the eventual epitaxial alignment of the crystallized films. The films are deposited by spin coating onto single-crystalline silicon and SrTiO3 substrates, pyrolyzed on a hot plate at temperatures ranging from 200 to 450 °C, and subsequently heat treated in a quartz tube furnace at temperatures ranging from 300 to 1200 °C. Heat treatment at temperatures up to 450-500 °C results in the evaporation of solvents and other organic addenda, thermal decomposition of the metallo-organic (primarily metal-carboxylates) precursors, and formation of a carbonate species. This carbonate appears to be an intermediate phase in the reaction of SrCO3 and TiO2 to form SrTiO3. Relevant to this work is the fact that the carbonate species exhibits diffraction lines, indicating the formation of grains that can serve as seeds for the nucleation and growth of randomly oriented SrTiO3 crystallites, thereby leading to a polycrystalline film. Deposition on silicon substrates indeed results in the formation of polycrystalline SrTiO3. However, when the precursor solution is deposited on single-crystalline SrTiO3 substrates, the crystallization process involves a competition between two mechanisms: the random nucleation and growth of crystallites just described, and layer-by-layer solid phase epitaxy. Epitaxial alignment on SrTiO3 substrates can be achieved when the samples are heat treated at temperatures of 1100-1200 °C or at temperatures as low as 600-650 °C when the substrate is heated to about 1100 °C before spin coating.

  19. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-12-01

    As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic-inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thickness of each layer was controlled on molecular layer scale by programming the evaporation IR laser pulse number, length, or power. The layer thickness was monitored with an in situ quartz crystal microbalance and calibrated against ex situ stylus profilometer measurements. A computer-controlled movable mask system enabled the deposition of combinatorial thin film libraries, where each library contains a vertically homogeneous film with spatially programmable A- and B-layer thicknesses. On the composition gradient film, a hole transport Spiro-OMeTAD layer was spin-coated and dried followed by the vacuum evaporation of Ag electrodes to form the solar cell. The preliminary cell performance was evaluated by measuring I-V characteristics at seven different positions on the 12.5 mm × 12.5 mm combinatorial library sample with seven 2 mm × 4 mm slits under a solar simulator irradiation. The combinatorial solar cell library clearly demonstrated that the energy conversion efficiency sharply changes from nearly zero to 10.2% as a function of the illumination area in the library. The exploration of deposition parameters for obtaining optimum performance could thus be greatly accelerated. Since the thickness ratio of PbI2 and CH3NH3I can be freely chosen along the shadow mask movement, these experiments show the potential of this system for high-throughput screening of optimum chemical composition in the binary film library and application to halide perovskite solar cell.

  20. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    PubMed Central

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic–inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thickness of each layer was controlled on molecular layer scale by programming the evaporation IR laser pulse number, length, or power. The layer thickness was monitored with an in situ quartz crystal microbalance and calibrated against ex situ stylus profilometer measurements. A computer-controlled movable mask system enabled the deposition of combinatorial thin film libraries, where each library contains a vertically homogeneous film with spatially programmable A- and B-layer thicknesses. On the composition gradient film, a hole transport Spiro-OMeTAD layer was spin-coated and dried followed by the vacuum evaporation of Ag electrodes to form the solar cell. The preliminary cell performance was evaluated by measuring I-V characteristics at seven different positions on the 12.5 mm × 12.5 mm combinatorial library sample with seven 2 mm × 4 mm slits under a solar simulator irradiation. The combinatorial solar cell library clearly demonstrated that the energy conversion efficiency sharply changes from nearly zero to 10.2% as a function of the illumination area in the library. The exploration of deposition parameters for obtaining optimum performance could thus be greatly accelerated. Since the thickness ratio of PbI2 and CH3NH3I can be freely chosen along the shadow mask movement, these experiments show the potential of this system for high-throughput screening of optimum chemical composition in the binary film library and application to halide perovskite solar cell. PMID

  1. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy.

    PubMed

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic-inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH 3 NH 3 I) and inorganic halide (B-site: PbI 2 ) powder targets to deposit repeated A/B bilayer films where the thickness of each layer was controlled on molecular layer scale by programming the evaporation IR laser pulse number, length, or power. The layer thickness was monitored with an in situ quartz crystal microbalance and calibrated against ex situ stylus profilometer measurements. A computer-controlled movable mask system enabled the deposition of combinatorial thin film libraries, where each library contains a vertically homogeneous film with spatially programmable A- and B-layer thicknesses. On the composition gradient film, a hole transport Spiro-OMeTAD layer was spin-coated and dried followed by the vacuum evaporation of Ag electrodes to form the solar cell. The preliminary cell performance was evaluated by measuring I - V characteristics at seven different positions on the 12.5 mm × 12.5 mm combinatorial library sample with seven 2 mm × 4 mm slits under a solar simulator irradiation. The combinatorial solar cell library clearly demonstrated that the energy conversion efficiency sharply changes from nearly zero to 10.2% as a function of the illumination area in the library. The exploration of deposition parameters for obtaining optimum performance could thus be greatly accelerated. Since the thickness ratio of PbI 2 and CH 3 NH 3 I can be freely chosen along the shadow mask movement, these experiments show the potential of this system for high-throughput screening of optimum chemical composition in the binary film library and application to halide perovskite solar cell.

  2. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Huaping, E-mail: wuhuaping@gmail.com, E-mail: hpwu@zjut.edu.cn; State Key Laboratory of Structural Analysis for Industrial Equipment, Dalian University of Technology, Dalian 116024; Ma, Xuefu

    2016-01-15

    The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110) orientation leads to a lower symmetry and more complicated phase transition than the (111) orientation in BaTiO{sub 3} films. The increase of compressive strain will dramatically enhance the Curie temperature T{sub C} of (110)-oriented BaTiO{sub 3} films, which matches well with previous experimental data. The polarizationmore » components experience a great change across the boundaries of different phases at room temperature in both (110)- and (111)-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.« less

  3. Nanoscale monoclinic domains in epitaxial SrRuO{sub 3} thin films deposited by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ghica, C., E-mail: cghica@infim.ro; Negrea, R. F.; Nistor, L. C.

    2014-07-14

    In this paper, we analyze the structural distortions observed by transmission electron microscopy in thin epitaxial SrRuO{sub 3} layers used as bottom electrodes in multiferroic coatings onto SrTiO{sub 3} substrates for future multiferroic devices. Regardless of the nature and architecture of the multilayer oxides deposited on the top of the SrRuO{sub 3} thin films, selected area electron diffraction patterns systematically revealed the presence of faint diffraction spots appearing in forbidden positions for the SrRuO{sub 3} orthorhombic structure. High-resolution transmission electron microscopy (HRTEM) combined with Geometric Phase Analysis (GPA) evidenced the origin of these forbidden diffraction spots in the presence ofmore » structurally disordered nanometric domains in the SrRuO{sub 3} bottom layers, resulting from a strain-driven phase transformation. The local high compressive strain (−4% ÷ −5%) measured by GPA in the HRTEM images induces a local orthorhombic to monoclinic phase transition by a cooperative rotation of the RuO{sub 6} octahedra. A further confirmation of the origin of the forbidden diffraction spots comes from the simulated diffraction patterns obtained from a monoclinic disordered SrRuO{sub 3} structure.« less

  4. Broadening of resistive transition and irreversibility line for epitaxial YBa2Cu3O7-δ thin film

    NASA Astrophysics Data System (ADS)

    Xiao-jun, Xu; Ke-bin, Li; Jun, Fang; Zhi-he, Wang; Xiao-wen, Cao

    1996-04-01

    The broadening of resistive transition of c axis oriented epitaxial YBCO thin film has been measured for three configurations: (1) Hparc and H ⊥ I; (2) Hparab plane and H ⊥ I; (3) Hparab plane and HparI in magnetic field up to 8 Tesla(T), and for different angle θ of magnetic field relative to the ab plane with H = 4T. The results obtained indicate that the broadening of resistive transition is mainly determined by the angle θ, but is hardly related to the angle α made between magnetic field and tran sport current in ab plane. This means that the broadening of resistive transition is not determined by flux motion drived by apparent Lorentz force. An expression of angular dependence of irreversibility line has been given.

  5. On the dielectric and optical properties of surface-anchored metal-organic frameworks: A study on epitaxially grown thin films

    NASA Astrophysics Data System (ADS)

    Redel, Engelbert; Wang, Zhengbang; Walheim, Stefan; Liu, Jinxuan; Gliemann, Hartmut; Wöll, Christof

    2013-08-01

    We determine the optical constants of two highly porous, crystalline metal-organic frameworks (MOFs). Since it is problematic to determine the optical constants for the standard powder modification of these porous solids, we instead use surface-anchored metal-organic frameworks (SURMOFs). These MOF thin films are grown using liquid phase epitaxy (LPE) on modified silicon substrates. The produced SURMOF thin films exhibit good optical properties; these porous coatings are smooth as well as crack-free, they do not scatter visible light, and they have a homogenous interference color over the entire sample. Therefore, spectroscopic ellipsometry (SE) can be used in a straightforward fashion to determine the corresponding SURMOF optical properties. After careful removal of the solvent molecules used in the fabrication process as well as the residual water adsorbed in the voids of this highly porous solid, we determine an optical constant of n = 1.39 at a wavelength of 750 nm for HKUST-1 (stands for Hong Kong University of Science and Technology-1; and was first discovered there) or [Cu3(BTC)2]. After exposing these SURMOF thin films to moisture/EtOH atmosphere, the refractive index (n) increases to n = 1.55-1.6. This dependence of the optical properties on water/EtOH adsorption demonstrates the potential of such SURMOF materials for optical sensing.

  6. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  7. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  8. Development of High Quality 4H-SiC Thick Epitaxy for Reliable High Power Electronics Using Halogenated Precursors

    DTIC Science & Technology

    2016-08-02

    epitaxy platform, it is essential that malignant defects, such as in-grown stacking faults (IGSFs) and basal plane dislocations (BPDs), be...crystal quality. (5) Even though the inlet C/Si ratio is kept fixed , the C/Si ratio at the growth surface varies depending on the different gas...morphology, and quality (generation of additional defects). Two CVD reactor types, a chimney reactor and an inverted chimney reactor, are assembled; the

  9. Epitaxial growth of highly strained antimonene on Ag(111)

    NASA Astrophysics Data System (ADS)

    Mao, Ya-Hui; Zhang, Li-Fu; Wang, Hui-Li; Shan, Huan; Zhai, Xiao-Fang; Hu, Zhen-Peng; Zhao, Ai-Di; Wang, Bing

    2018-06-01

    The synthesis of antimonene, which is a promising group-V 2D material for both fundamental studies and technological applications, remains highly challenging. Thus far, it has been synthesized only by exfoliation or growth on a few substrates. In this study, we show that thin layers of antimonene can be grown on Ag(111) by molecular beam epitaxy. High-resolution scanning tunneling microscopy combined with theoretical calculations revealed that the submonolayer Sb deposited on a Ag(111) surface forms a layer of AgSb2 surface alloy upon annealing. Further deposition of Sb on the AgSb2 surface alloy causes an epitaxial layer of Sb to form, which is identified as antimonene with a buckled honeycomb structure. More interestingly, the lattice constant of the epitaxial antimonene (5 Å) is much larger than that of freestanding antimonene, indicating a high tensile strain of more than 20%. This kind of large strain is expected to make the antimonene a highly promising candidate for roomtemperature quantum spin Hall material.

  10. Strain induced ferromagnetism and large magnetoresistance of epitaxial La1.5Sr0.5CoMnO6 thin films

    NASA Astrophysics Data System (ADS)

    Krishna Murthy, J.; Jyotsna, G.; N, Nileena; Anil Kumar, P. S.

    2017-08-01

    In this study, the structural, magnetic, and magneto-transport properties of La1.5Sr0.5CoMnO6 (LSCMO) thin films deposited on a SrTiO3 (001) substrate were investigated. A normal θ/2θ x-ray diffraction, rocking curve, ϕ-scan, and reciprocal space mapping data showed that prepared LSCMO thin films are single phase and highly strained with epitaxial nature. Temperature vs. magnetization of LSCMO films exhibits strain-induced ferromagnetic ordering with TC ˜ 165 K. In contrast to the bulk samples, there was no exchange bias and canted type antiferromagnetic and spin glass behavior in films having thickness (t) ≤ 26 nm. Temperature dependent resistivity data were explained using Schnakenberg's model and the polaron hopping conduction process. The slope change in resistivity and magnetoresistance maximum (˜65%) around TC indicates the existence of a weak double exchange mechanism between the mixed valence states of transition metal ions. Suppression of spin dependent scattering with the magnetic field is attributed for the large negative magnetoresistance in LSCMO films.

  11. Single-crystalline BaTiO3 films grown by gas-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Matsubara, Yuya; Takahashi, Kei S.; Tokura, Yoshinori; Kawasaki, Masashi

    2014-12-01

    Thin BaTiO3 films were grown on GdScO3 (110) substrates by metalorganic gas-source molecular beam epitaxy. Titanium tetra-isopropoxide (TTIP) was used as a volatile precursor that provides a wide growth window of the supplied TTIP/Ba ratio for automatic adjustment of the film composition. Within the growth window, compressively strained films can be grown with excellent crystalline quality, whereas films grown outside of the growth window are relaxed with inferior crystallinity. This growth method will provide a way to study the intrinsic properties of ferroelectric BaTiO3 films and their heterostructures by precise control of the stoichiometry, structure, and purity.

  12. Strain relaxation of thin Si{sub 0.6}Ge{sub 0.4} grown with low-temperature buffers by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, M.; Hansson, G. V.; Ni, W.-X.

    A double-low-temperature-buffer variable-temperature growth scheme was studied for fabrication of strain-relaxed thin Si{sub 0.6}Ge{sub 0.4} layer on Si(001) by using molecular beam epitaxy (MBE), with particular focuses on the influence of growth temperature of individual low-temperature-buffer layers on the relaxation process and final structural qualities. The low-temperature buffers consisted of a 40 nm Si layer grown at an optimized temperature of {approx}400 deg. C, followed by a 20 nm Si{sub 0.6}Ge{sub 0.4} layer grown at temperatures ranging from 50 to 550 deg. C. A significant relaxation increase together with a surface roughness decrease both by a factor of {approx}2, accompaniedmore » with the cross-hatch/cross-hatch-free surface morphology transition, took place for the sample containing a low-temperature Si{sub 0.6}Ge{sub 0.4} layer that was grown at {approx}200 deg. C. This dramatic change was explained by the association with a certain onset stage of the ordered/disordered growth transition during the low-temperature MBE, where the high density of misfit dislocation segments generated near surface cusps largely facilitated the strain relaxation of the top Si{sub 0.6}Ge{sub 0.4} layer.« less

  13. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    PubMed Central

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  14. Ferroelectric domain structure of anisotropically strained NaNbO3 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Schwarzkopf, J.; Braun, D.; Schmidbauer, M.; Duk, A.; Wördenweber, R.

    2014-05-01

    NaNbO3 thin films have been grown under anisotropic biaxial strain on several oxide substrates by liquid-delivery spin metalorganic chemical vapor deposition. Compressive lattice strain of different magnitude, induced by the deposition of NaNbO3 films with varying film thickness on NdGaO3 single crystalline substrates, leads to modifications of film orientation and phase symmetry, which are similar to the phase transitions in Pb-containing oxides near the morphotropic phase boundary. Piezoresponse force microscopy measurements exhibit large out-of-plane polarization components, but no distinctive domain structure, while C-V measurements indicate relaxor properties in these films. When tensile strain is provoked by the epitaxial growth on DyScO3, TbScO3, and GdScO3 single crystalline substrates, NaNbO3 films behave rather like a normal ferroelectric. The application of these rare-earth scandate substrates yields well-ordered ferroelectric stripe domains of the type a1/a2 with coherent domain walls aligned along the [001] substrate direction as long as the films are fully strained. With increasing plastic lattice relaxation, initially, a 2D domain pattern with still exclusively in-plane electric polarization, and finally, domains with in-plane and out-of-plane polar components evolve.

  15. Coherent, atomically thin transition-metal dichalcogenide superlattices with engineered strain

    NASA Astrophysics Data System (ADS)

    Xie, Saien; Tu, Lijie; Han, Yimo; Huang, Lujie; Kang, Kibum; Lao, Ka Un; Poddar, Preeti; Park, Chibeom; Muller, David A.; DiStasio, Robert A.; Park, Jiwoong

    2018-03-01

    Epitaxy forms the basis of modern electronics and optoelectronics. We report coherent atomically thin superlattices in which different transition metal dichalcogenide monolayers—despite large lattice mismatches—are repeated and laterally integrated without dislocations within the monolayer plane. Grown by an omnidirectional epitaxy, these superlattices display fully matched lattice constants across heterointerfaces while maintaining an isotropic lattice structure and triangular symmetry. This strong epitaxial strain is precisely engineered via the nanoscale supercell dimensions, thereby enabling broad tuning of the optical properties and producing photoluminescence peak shifts as large as 250 millielectron volts. We present theoretical models to explain this coherent growth and the energetic interplay governing the ripple formation in these strained monolayers. Such coherent superlattices provide building blocks with targeted functionalities at the atomically thin limit.

  16. Two-dimensional La2/3Sr4/3MnO4 Manganite Films Probed by Epitaxial Strain and Cation Ordering

    NASA Astrophysics Data System (ADS)

    Nelson-Cheeseman, Brittany; Santos, Tiffany; Bhattacharya, Anand

    2010-03-01

    Dimensionality is known to play a central role in the properties of strongly correlated systems. Here we investigate magnetism and transport in thin films of the Ruddlesden-Popper n=1 phase, La1-xSr1+xMnO4. Within this material, the MnO6-octahedra form two-dimensional perovskite sheets separated by an extra rocksalt layer. By fabricating high quality thin films with ozone-assisted molecular beam epitaxy, we study how the effects of epitaxial strain and intentional cation ordering, known as digital synthesis, influence the properties of this 2-dimensional manganite. For example, at the same Mn^3+:Mn^4+ ratio (2:1) as its fully spin-polarized 3D manganite counterpart, this two dimensional analog at x=1/3 only displays a spin glass phase below 20K in bulk. This is believed to result from a competition between superexchange and double exchange, as well as disordered Jahn-Teller distortions. However, in our films we find weak ferromagnetic order up to much higher temperatures in addition to a low temperature spin glass phase. We will discuss how strain and cation order effect the presence of this weak ferromagnetism.

  17. Molecular beam epitaxial growth of high-quality InSb on InP and GaAs substrates

    NASA Technical Reports Server (NTRS)

    Oh, J. E.; Bhattacharya, P. K.; Chen, Y. C.; Tsukamoto, S.

    1989-01-01

    Epitaxial layers of InSb were grown on InP and GaAs substrates by molecular beam epitaxy. The dependence of the epilayer quality on flux ratio, J sub Sb4/J sub In, was studied. Deviation from an optimum value of J sub Sb4/J sub In (approx. 2) during growth led to deterioration in the surface morphology and the electrical and crystalline qualities of the films. Room temperature electron mobilities as high as 70,000 and 53,000 sq cm /V-s were measured in InSb layers grown on InP and GaAs substrates, respectively. Unlike the previous results, the conductivity in these films is n-type even at T = 13 K, and no degradation of the electron mobility due to the high density of dislocations was observed. The measured electron mobilities (and carrier concentrations) at 77 K in InSb layers grown on InP and GaAs substrates are 110,000 sq cm/V-s (3 x 10(15) cm(-3)) and 55,000 sq cm/V-s (4.95 x 10(15) cm(-3)), respectively, suggesting their application to electronic devices at cryogenic temperatures.

  18. Exposing high-energy surfaces by rapid-anneal solid phase epitaxy

    DOE PAGES

    Wang, Y.; Song, Y.; Peng, R.; ...

    2017-08-08

    The functional design of nanoscale transition metal oxide heterostructures depends critically on the growth of atomically flat epitaxial thin films. Much of the time, improved functionality is expected for heterostructures and surfaces with orientations that do not have the lowest surface free energy. For example, crystal faces with a high surface free energy, such as rutile (001) planes, frequently exhibit higher catalytic activities but are correspondingly harder to synthesize due to energy-lowering faceting transitions. We propose a broadly applicable rapid-anneal solid phase epitaxial synthesis approach for the creation of atomically flat, high surface free energy oxide heterostructures. We also demonstratemore » its efficacy via the synthesis of atomically flat, epitaxial RuO 2(001) films with a superior oxygen evolution activity, quantified by their lower onset potential and higher current density, relative to that of more common RuO 2(110) films.« less

  19. Ferroelectric and piezoelectric responses of (110) and (001)-oriented epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thin films on all-oxide layers buffered silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vu, Hien Thu; Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn; Inorganic Materials Science

    2015-12-15

    Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectricmore » properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity

  20. Effect of band filling on anomalous Hall conductivity and magneto-crystalline anisotropy in NiFe epitaxial thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, Zhong; Jiang, Hang-Yu; Zhou, Shi-Ming, E-mail: shiming@tongji.edu.cn

    2016-01-15

    The anomalous Hall effect (AHE) and magneto-crystalline anisotropy (MCA) are investigated in epitaxial Ni{sub x}Fe{sub 1−x} thin films grown on MgO (001) substrates. The scattering independent term b of anomalous Hall conductivity shows obvious correlation with cubic magneto-crystalline anisotropy K{sub 1}. When nickel content x decreasing, both b and K{sub 1} vary continuously from negative to positive, changing sign at about x = 0.85. Ab initio calculations indicate Ni{sub x}Fe{sub 1−x} has more abundant band structures than pure Ni due to the tuning of valence electrons (band fillings), resulting in the increased b and K{sub 1}. This remarkable correlation betweenmore » b and K{sub 1} can be attributed to the effect of band filling near the Fermi surface.« less

  1. Process for growing a film epitaxially upon a MGO surface and structures formed with the process

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  2. Angle Resolved Photoelectron and Auger Electron Diffraction as a Structural Probe for Surfaces, Interfaces, and Epitaxial Films.

    NASA Astrophysics Data System (ADS)

    Li, Hong

    The recently developed techniques of angle-resolved photoelectron and Auger electron diffraction (ARXPD/AED) have shown promise in identifying the structures of epitaxial films. This is due to the realization that electrons scattered by other atoms are enhanced along the forward direction. In this dissertation research, we have further investigated the capabilities of the ARXPD/AED technique. First, the complete polar angle distribution of the Auger electron intensity from Cu(001) was measured from the (100) to the (110) azimuth. The presentation of the ARAED in the form of a contour map clearly shows the relationship of the constructive and destructive interference of electron scattering to the crystallographic index of the crystal. Secondly, the angular distributions of electron emissions with initial states of 3p, 3d, 4d, and the Auger emission with electron kinetic energies ranging from 348 eV to 1477 eV were measured for single crystal Ag(001). The results show that all of these electron emissions have similar electron forward scattering enhancements along the directions of nearest and next nearest neighbour atoms in the crystal. The forward scattering enhancements do not shift as the electron kinectic energy changes. The ARXPD/AED combined with low energy electron diffraction (LEED) has been demonstrated to be a very powerful technique in probing both the long range order and the short range order of the epitaxial films. The epitaxial films studied include Co on Cu(001), Fe on Ag(001), Co on Ag(001), and Co on an ultra-thin film of Fe(001), which was epitaxially grown on Ag(001). We find that up to 20 ML thickness of high quality metastable fcc Co can be stabilized on Cu(001) at room temperature. We have directly verified that the Fe on Ag(001) is bcc. The Co on Ag(001) is neither bcc nor fcc for coverages of less than 3 ML. Thick films of Co on Ag(001) are disordered, of which a very small portion has a local structure of bcc. The bcc Co phases has been

  3. Superconductivity in epitaxial InN thin films with large critical fields

    NASA Astrophysics Data System (ADS)

    Pal, Buddhadeb; Joshi, Bhanu P.; Chakraborti, Himadri; Jain, Aditya K.; Barick, Barun K.; Ghosh, Kankat; Laha, Apurba; Dhar, Subhabrata; Gupta, Kantimay Das

    2018-04-01

    We report superconductivity in Chemical Vapor Deposition (CVD) and Plasma-Assisted Molecular Beam Epitaxy (PA-MBE) grown epitaxial InN films having carrier density ˜ 1019 - 1020cm-3. The superconducting phase transition starts at temperatures around Tc,onset˜3 K and the resistance goes to zero completely at Tc0 ˜ 1.6 K. The temperature dependence of the critical field HC2(T) does not obey a two fluid Casimir-Gorter (C-G) model rather it is well explained by the 2-D Tinkham model. The extrapolated value of the zero-temperature perpendicular critical field HC2(0) is found to be between 0.25 - 0.9 T, which is ten times greater than that of Indium metal. It may indicate the intrinsic nature of superconductivity in InN films. The angle dependence of critical field is well described by Lawrence-Doniach (L-D) model, which suggest the existence of quasi-2D superconducting layers.

  4. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  5. Micro-opto-mechanical devices and systems using epitaxial lift off

    NASA Technical Reports Server (NTRS)

    Camperi-Ginestet, C.; Kim, Young W.; Wilkinson, S.; Allen, M.; Jokerst, N. M.

    1993-01-01

    The integration of high quality, single crystal thin film gallium arsenide (GaAs) and indium phosphide (InP) based photonic and electronic materials and devices with host microstructures fabricated from materials such as silicon (Si), glass, and polymers will enable the fabrication of the next generation of micro-opto-mechanical systems (MOMS) and optoelectronic integrated circuits. Thin film semiconductor devices deposited onto arbitrary host substrates and structures create hybrid (more than one material) near-monolithic integrated systems which can be interconnected electrically using standard inexpensive microfabrication techniques such as vacuum metallization and photolithography. These integrated systems take advantage of the optical and electronic properties of compound semiconductor devices while still using host substrate materials such as silicon, polysilicon, glass and polymers in the microstructures. This type of materials optimization for specific tasks creates higher performance systems than those systems which must use trade-offs in device performance to integrate all of the function in a single material system. The low weight of these thin film devices also makes them attractive for integration with micromechanical devices which may have difficulty supporting and translating the full weight of a standard device. These thin film devices and integrated systems will be attractive for applications, however, only when the development of low cost, high yield fabrication and integration techniques makes their use economically feasible. In this paper, we discuss methods for alignment, selective deposition, and interconnection of thin film epitaxial GaAs and InP based devices onto host substrates and host microstructures.

  6. Epitaxial growth of iridate pyrochlore Nd 2Ir 2O 7 films

    DOE PAGES

    Gallagher, J. C.; Esser, B. D.; Morrow, R.; ...

    2016-02-29

    Epitaxial films of the pyrochlore Nd 2Ir 2O 7 have been grown on (111)-oriented yttria-stabilized zirconia (YSZ) substrates by off-axis sputtering followed by post-growth annealing. X-ray diffraction (XRD) results demonstrate phase-pure epitaxial growth of the pyrochlore films on YSZ. Scanning transmission electron microscopy (STEM) investigation of an Nd 2Ir 2O 7 film with a short post-annealing provides insight into the mechanism for crystallization of Nd 2Ir 2O 7 during the post-annealing process. STEM images reveal clear pyrochlore ordering of Nd and Ir in the films. As a result, the epitaxial relationship between the YSZ and Nd 2Ir 2O 7 ismore » observed clearly while some interfacial regions show a thin region with polycrystalline Ir nanocrystals.« less

  7. Crystal orientation dependence of the dielectric properties for epitaxial BaZr0.15Ti0.85O3 thin films

    NASA Astrophysics Data System (ADS)

    Miao, J.; Yuan, J.; Wu, H.; Yang, S. B.; Xu, B.; Cao, L. X.; Zhao, B. R.

    2007-01-01

    Epitaxial Ba0.15Zr0.85TiO3 (BZT) ferroelectric thin films with (001), (011), and (111) orientations were, respectively, grown on La0.67Sr0.33MnO3 (LSMO) buffered LaAlO3 substrates by pulsed laser deposition method. The dc electric-field dependence of permittivity and dielectric loss of (001)-, (011)-, and (111)-oriented BZT/LSMO heterostructures obeys the Johnson formula, and the ac electric-field dependence of that obeys the Rayleigh law under the subswitching field region. The anisotropic dielectric properties are attributed to the higher mobility of the charge carriers, the concentration of mobile interfacial domain walls, and boundaries in the (111)-oriental films than in the (110)- and (100)-oriented films.

  8. Ferromagnetism in tetragonally distorted LaCoO3 thin films

    NASA Astrophysics Data System (ADS)

    Mehta, Virat Vasav; Liberati, Marco; Wong, Franklin J.; Chopdekar, Rajesh Vilas; Arenholz, Elke; Suzuki, Yuri

    2009-04-01

    Thin films of epitaxial LaCoO3 were synthesized on SrTiO3 and (La ,Sr)(Al,Ta)O3 substrates, varying the oxygen background pressure in order to evaluate the impact of epitaxial growth as well as oxygen vacancies on the long range magnetic order. The epitaxial constraints from the substrate impose a tetragonal distortion compared to the bulk form. X-ray absorption and x-ray magnetic circular dichroism measurements confirmed that the ferromagnetism arises from the Co ions and persists through the entire thickness of the film. It was found that for the thin films to show ferromagnetic order they have to be grown under the higher oxygen pressures. A correlation of the structure and magnetism suggests that the tetragonal distortions induce the ferromagnetism.

  9. Molecular-beam epitaxy of (Zn,Mn)Se on Si(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Slobodskyy, T.; Ruester, C.; Fiederling, R.

    2004-12-20

    We have investigated the growth by molecular-beam epitaxy of the II-VI diluted magnetic semiconductor (Zn,Mn)Se on As-passivated Si(100) substrates. The growth start has been optimized by using low-temperature epitaxy. Surface properties were assessed by Nomarski and scanning electron microscopy. Optical properties of (Zn,Mn)Se have been studied by photoluminescence and a giant Zeeman splitting of up to 30 meV has been observed. Our observations indicate a high crystalline quality of the epitaxial films.

  10. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  11. Anisotropic strain relaxation in (Ba0.6Sr0.4)TiO3 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Simon, W. K.; Akdogan, E. K.; Safari, A.

    2005-05-01

    We have studied the evolution of anisotropic epitaxial strains in ⟨110⟩-oriented (Ba0.60Sr0.40)TiO3 paraelectric (m3m) thin films grown on orthorhombic (mm2) ⟨100⟩-oriented NdGaO3 by high-resolution x-ray diffractometry. All the six independent components of the three-dimensional strain tensor were measured in films with 25-1200-nm thickness, from which the principal stresses and strains were obtained. Pole figure analysis indicated that the epitaxial relations are [001]m3m‖[001]mm2 and [1¯10]m3m‖[010]mm2 in the plane of the film, and [110]m3m‖[100]mm2 along the growth direction. The dislocation system responsible for strain relief along [001] has been determined to be ∣b ∣(001)=3/4∣b∣. Strain relief along the [1¯10] direction, on the other hand, has been determined to be due to a coupled mechanism given by ∣b∣(1¯10)=∣b∣ and ∣b∣(1¯10)=√3 /4∣b∣. Critical thicknesses, as determined from nonlinear regression using the Matthews-Blakeslee equation, for misfit dislocation formation along [001] and [1¯10] direction were found to be 5 and 7 nm, respectively. The residual strain energy density was calculated as ˜2.9×106J/m3 at 25 nm, which was found to relax an order of magnitude by 200 nm. At 200 nm, the linear dislocation density along [001] and [1¯10] are ˜6.5×105 and ˜6×105cm-1, respectively. For films thicker than 600 nm, additional strain relief occurred through surface undulations, indicating that this secondary strain-relief mechanism is a volume effect that sets in upon cooling from the growth temperature.

  12. Thermal stability of epitaxial SrRuO3 films as a function of oxygen pressure

    NASA Astrophysics Data System (ADS)

    Lee, Ho Nyung; Christen, Hans M.; Chisholm, Matthew F.; Rouleau, Christopher M.; Lowndes, Douglas H.

    2004-05-01

    The thermal stability of electrically conducting SrRuO3 thin films grown by pulsed-laser deposition on (001) SrTiO3 substrates has been investigated by atomic force microscopy and reflection high-energy electron diffraction (RHEED) under reducing conditions (25-800 °C in 10-7-10-2 Torr O2). The as-grown SrRuO3 epitaxial films exhibit atomically flat surfaces with single unit-cell steps, even after exposure to air at room temperature. The films remain stable at temperatures as high as 720 °C in moderate oxygen ambients (>1 mTorr), but higher temperature anneals at lower pressures result in the formation of islands and pits due to the decomposition of SrRuO3. Using in situ RHEED, a temperature and oxygen pressure stability map was determined, consistent with a thermally activated decomposition process having an activation energy of 88 kJ/mol. The results can be used to determine the proper conditions for growth of additional epitaxial oxide layers on high quality electrically conducting SrRuO3.

  13. Electronic and transport properties of Li-doped NiO epitaxial thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, J. Y.; Li, W. W.; Hoye, R. L. Z.

    NiO is a p-type wide bandgap semiconductor of use in various electronic devices ranging from solar cells to transparent transistors. Understanding and improving its optical and transport properties have been of considerable interest. In this work, we have investigated the effect of Li doping on the electronic, optical and transport properties of NiO epitaxial thin films grown by pulsed laser deposition. We show that Li doping significantly increases the p-type conductivity of NiO, but all the films have relatively low room-temperature mobilities (<0.05 cm2 V -1s -1). The conduction mechanism is better described by small-polaron hoping model in the temperaturemore » range of 200 K < T <330 K, and variable range hopping at T <200 K. A combination of x-ray photoemission and O K-edge x-ray absorption spectroscopic investigations reveal that the Fermi level gradually shifts toward the valence band maximum (VBM) and a new hole state develops with Li doping. Both the VBM and hole states are composed of primarily Zhang-Rice bound states, which accounts for the small polaron character (low mobility) of hole conduction. Our work provides guidelines for the search for p-type oxide materials and device optimization.NiO is a p-type wide bandgap semiconductor of use in various electronic devices ranging from solar cells to transparent transistors. This work reports the controlling of conductivity and increase of work functions by Li doping.« less

  14. Fluorescence XAS using Ge PAD: Application to High-Temperature Superconducting Thin Film Single Crystals

    NASA Astrophysics Data System (ADS)

    Oyanagi, H.; Tsukada, A.; Naito, M.; Saini, N. L.; Zhang, C.

    2007-02-01

    A Ge pixel array detector (PAD) with 100 segments was used in fluorescence x-ray absorption spectroscopy (XAS) study, probing local structure of high temperature superconducting thin film single crystals. Independent monitoring of individual pixel outputs allows real-time inspection of interference of substrates which has long been a major source of systematic error. By optimizing grazing-incidence angle and azimuthal orientation, smooth extended x-ray absorption fine structure (EXAFS) oscillations were obtained, demonstrating that strain effects can be studied using high-quality data for thin film single crystals grown by molecular beam epitaxy (MBE). The results of (La,Sr)2CuO4 thin film single crystals under strain are related to the strain dependence of the critical temperature of superconductivity.

  15. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Rui; University of Chinese Academy of Sciences, Beijing 100049; Makise, Kazumasa

    We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{supmore » 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.« less

  16. Effects of crystalline quality and electrode material on fatigue in Pb(Zr,Ti)O3 thin film capacitors

    NASA Astrophysics Data System (ADS)

    Lee, J.; Johnson, L.; Safari, A.; Ramesh, R.; Sands, T.; Gilchrist, H.; Keramidas, V. G.

    1993-07-01

    Pb(Zr(0.52)Ti(0.48))O3 (PZT)/Y1Ba2Cu3O(x) (YBCO) heterostructures were grown by pulsed laser deposition, in which PZT films were epitaxial, highly oriented, or polycrystalline. These PZT films were obtained by varying the deposition temperature from 550 to 760 C or by using various substrates such as SrTiO3 (100), MgO (100), and r-plane sapphire. PZT films with Pt top electrodes exhibited large fatigue with 35-50 percent loss of the remanent polarization after 10 exp 9 cycles, depending on the crystalline quality. Polycrystalline films showed better fatigue resistance than epitaxial or highly oriented films. However, PZT films with both top and bottom YBCO electrodes had significantly improved fatigue resistance for both epitaxial and polycrystalline films. Electrode material seems to be a more important parameter in fatigue than the crystalline quality of the PZT films.

  17. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  18. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  19. Wafer bonded epitaxial templates for silicon heterostructures

    DOEpatents

    Atwater, Jr., Harry A.; Zahler, James M [Pasadena, CA; Morral, Anna Fontcubera I [Paris, FR

    2008-03-11

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  20. Wafer bonded epitaxial templates for silicon heterostructures

    NASA Technical Reports Server (NTRS)

    Atwater, Harry A., Jr. (Inventor); Zahler, James M. (Inventor); Morral, Anna Fontcubera I (Inventor)

    2008-01-01

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  1. Role of associated defects in oxygen ion conduction and surface exchange reaction for epitaxial samaria-doped ceria thin films as catalytic coatings

    DOE PAGES

    Yang, Nan; Shi, Yanuo; Schweiger, Sebastian; ...

    2016-05-18

    Samaria-doped ceria (SDC) thin films are particularly important for energy and electronic applications such as micro-solid oxide fuel cells, electrolysers, sensors and memristors. In this paper we report a comparative study investigating ionic conductivity and surface reactions for well-grown epitaxial SDC films varying the samaria doping concentration. With increasing doping above 20 mol% of samaria, an enhancement in the defect association was observed by Raman spectroscopy. The role of such defect associates on the films` oxygen ion transport and exchange was investigated by electrochemical impedance spectroscopy and electrochemical strain microscopy (ESM). The measurements reveal that the ionic transport has amore » sharp maximum in ionic conductivity and drop in its activation energy down to 0.6 eV for 20 mol% doping. Increasing the doping concentration further up to 40 mol%, raises the activation energy substantially by a factor of two. We ascribe the sluggish transport kinetics to the "bulk" ionic-near ordering in case of the heavily doped epitaxial films. Analysis of the ESM first order reversal curve measurements indicate that these associated defects may have a beneficial role by lowering the activation of the oxygen exchange "surface" reaction for heavily doped 40 mol% of samaria. We reveal in a model experiment through a solid solution series of samaria doped ceria epitaxial films that the occurrence of associate defects in the bulk affects the surface charging state of the films to increase the exchange rates. Lastly, the implication of these findings are the design of coatings with tuned oxygen surface exchange by control of bulk associate clusters for future electro-catalytic applications.« less

  2. Strain effect in epitaxial VO2 thin films grown on sapphire substrates using SnO2 buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, Heungsoo; Bingham, Nicholas S.; Charipar, Nicholas A.; Piqué, Alberto

    2017-10-01

    Epitaxial VO2/SnO2 thin film heterostructures were deposited on m-cut sapphire substrates via pulsed laser deposition. By adjusting SnO2 (150 nm) growth conditions, we are able to control the interfacial strain between the VO2 film and SnO2 buffer layer such that the semiconductor-to-metal transition temperature (TC) of VO2 films can be tuned without diminishing the magnitude of the transition. It is shown that in-plane tensile strain and out-of-plane compressive strain of the VO2 film leads to a decrease of Tc. Interestingly, VO2 films on SnO2 buffer layers exhibit a structural phase transition from tetragonal-like VO2 to tetragonal-VO2 during the semiconductor-to-metal transition. These results suggest that the strain generated by SnO2 buffer provides an effective way for tuning the TC of VO2 films.

  3. Theoretical investigation about secondary deposition of thin-film formation by molecular dynamics simulation

    NASA Astrophysics Data System (ADS)

    Chen, Huawei; Hagiwara, Ichiro; Kiet Tieu, A.; Kishimoto, Kikuo; Liu, Qiang

    2007-05-01

    The thin-film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin-film in short time as gas fluids through surface of substrate. Such growth mechanism has been mainly investigated on the basis of experiment. Due to immense cost of the experimental equipment and low level of current measurement technology, the comprehension about authentic effect of formation condition on properties of nanomaterial is limited in qualitative manner. Three quantitative items: flatness of primary deposition, adhesion between cluster and substrate, and degree of epitaxial growth were proposed to evaluate the property of thin-film. In this simulation, three different cluster sizes of 203, 653, and 1563 atoms with different velocities (0, 10, 100, 1000, and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. To increase initial velocity not only enhanced the speed of epitaxial growth, adhesion between clusters and substrate, but also increased the degree of epitaxy for primary deposition and secondary deposition. Exfoliation pattern of thin-film was profoundly dependent on initial velocity through comparison between adhesion of primary and secondary deposition. Moreover, the epitaxial growth became well as the temperature of substrate was raised, and the degree of epitaxy of small cluster was larger than that of larger cluster, no matter of primary and secondary deposition.

  4. Thermal stability of MBE-grown epitaxial MoSe2 and WSe2 thin films

    NASA Astrophysics Data System (ADS)

    Chang, Young Jun; Choy, Byoung Ki; Phark, Soo-Hyon; Kim, Minu

    Layered transition metal dichalcogenides (TMDs) draw much attention, because of its unique optical properties and band structures depending on the layer thicknesses. However, MBE growth of epitaxial films demands information about thermal stability of stoichiometry and related electronic structure for high temperature range. We grow epitaxial MoSe2 and WSe2 ultrathin films by using molecular beam epitaxy (MBE). We characterize stoichiometry of films grown at various growth temperature by using various methods, XPS, EDX, and TOF-MEIS. We further test high temperature stability of electronic structure for those films by utilizing in-situ ellipsometry attached to UHV chamber. We discuss threshold temperatures up to 700~1000oC, at which electronic phases changes from semiconductor to metal due to selenium deficiency. This information can be useful for potential application of TMDs for fabrication of Van der Waals multilayers and related devices. This research was supported by Nano.Material Technology Development Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science, ICT and Future Planning. (2009-0082580), NRF-2014R1A1A1002868.

  5. Self-assembly of vertically aligned quantum ring-dot structure by Multiple Droplet Epitaxy

    NASA Astrophysics Data System (ADS)

    Elborg, Martin; Noda, Takeshi; Mano, Takaaki; Kuroda, Takashi; Yao, Yuanzhao; Sakuma, Yoshiki; Sakoda, Kazuaki

    2017-11-01

    We successfully grow vertically aligned quantum ring-dot structures by Multiple Droplet Epitaxy technique. The growth is achieved by depositing GaAs quantum rings in a first droplet epitaxy process which are subsequently covered by a thin AlGaAs barrier. In a second droplet epitaxy process, Ga droplets preferentially position in the center indentation of the ring as well as attached to the edge of the ring in [ 1 1 bar 0 ] direction. By designing the ring geometry, full selectivity for the center position of the ring is achieved where we crystallize the droplets into quantum dots. The geometry of the ring and dot as well as barrier layer can be controlled in separate growth steps. This technique offers great potential for creating complex quantum molecules for novel quantum information technologies.

  6. Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers

    DOEpatents

    Tansu, Nelson; Chan, Helen M; Vinci, Richard P; Ee, Yik-Khoon; Biser, Jeffrey

    2013-09-24

    The use of an abbreviated GaN growth mode on nano-patterned AGOG sapphire substrates, which utilizes a process of using 15 nm low temperature GaN buffer and bypassing etch-back and recovery processes during epitaxy, enables the growth of high-quality GaN template on nano-patterned AGOG sapphire. The GaN template grown on nano-patterned AGOG sapphire by employing abbreviated growth mode has two orders of magnitude lower threading dislocation density than that of conventional GaN template grown on planar sapphire. The use of abbreviated growth mode also leads to significant reduction in cost of the epitaxy. The growths and characteristics of InGaN quantum wells (QWs) light emitting diodes (LEDs) on both templates were compared. The InGaN QWs LEDs grown on the nano-patterned AGOG sapphire demonstrated at least a 24% enhancement of output power enhancement over that of LEDs grown on conventional GaN templates.

  7. Ultrahigh vacuum dc magnetron sputter-deposition of epitaxial Pd(111)/Al2O3(0001) thin films.

    PubMed

    Aleman, Angel; Li, Chao; Zaid, Hicham; Kindlund, Hanna; Fankhauser, Joshua; Prikhodko, Sergey V; Goorsky, Mark S; Kodambaka, Suneel

    2018-05-01

    Pd(111) thin films, ∼245 nm thick, are deposited on Al 2 O 3 (0001) substrates at ≈0.5 T m , where T m is the Pd melting point, by ultrahigh vacuum dc magnetron sputtering of Pd target in pure Ar discharges. Auger electron spectra and low-energy electron diffraction patterns acquired in situ from the as-deposited samples reveal that the surfaces are compositionally pure 111-oriented Pd. Double-axis x-ray diffraction (XRD) ω-2θ scans show only the set of Pd 111 peaks from the film. In triple-axis high-resolution XRD, the full width at half maximum intensity Γ ω of the Pd 111 ω-rocking curve is 630 arc sec. XRD 111 pole figure obtained from the sample revealed six peaks 60°-apart at a tilt angles corresponding to Pd 111 reflections. XRD ϕ scans show six 60°-rotated 111 peaks of Pd at the same ϕ angles for 11[Formula: see text]3 of Al 2 O 3 based on which the epitaxial crystallographic relationships between the film and the substrate are determined as [Formula: see text]ǁ[Formula: see text] with two in-plane orientations of [Formula: see text]ǁ[Formula: see text] and [Formula: see text]ǁ[Formula: see text]. Using triple axis symmetric and asymmetric reciprocal space maps, interplanar spacings of out-of-plane (111) and in-plane (11[Formula: see text]) are found to be 0.2242 ± 0.0003 and 0.1591 ± 0.0003 nm, respectively. These values are 0.18% lower than 0.2246 nm for (111) and the same, within the measurement uncertainties, as 0.1588 nm for (11[Formula: see text]) calculated from the bulk Pd lattice parameter, suggesting a small out-of-plane compressive strain and an in-plane tensile strain related to the thermal strain upon cooling the sample from the deposition temperature to room temperature. High-resolution cross-sectional transmission electron microscopy coupled with energy dispersive x-ray spectra obtained from the Pd(111)/Al 2 O 3 (0001) samples indicate that the Pd-Al 2 O 3 interfaces are essentially atomically abrupt and

  8. Tuning metal-insulator transitions in epitaxial V2O3 thin films

    NASA Astrophysics Data System (ADS)

    Thorsteinsson, Einar B.; Shayestehaminzadeh, Seyedmohammad; Arnalds, Unnar B.

    2018-04-01

    We present a study of the synthesis of epitaxial V2O3 films on c-plane Al2O3 substrates by reactive dc-magnetron sputtering. The results reveal a temperature window, at substantially lower values than previously reported, wherein epitaxial films can be obtained when deposited on [0001] oriented surfaces. The films display a metal-insulator transition with a change in the resistance of up to four orders of magnitude, strongly dependent on the O2 partial pressure during deposition. While the electronic properties of the films show sensitivity to the amount of O2 present during deposition of the films, their crystallographic structure and surface morphology of atomically flat terraced structures with up to micrometer dimensions are maintained. The transition temperature, as well as the scale of the metal-insulator transition, is correlated with the stoichiometry and local strain in the films controllable by the deposition parameters.

  9. Epitaxial layers of 2122 BCSCO superconductor thin films having single crystalline structure

    NASA Technical Reports Server (NTRS)

    Pandey, Raghvendra K. (Inventor); Raina, Kanwal K. (Inventor); Solayappan, Narayanan (Inventor)

    1995-01-01

    A substantially single phase, single crystalline, highly epitaxial film of Bi.sub.2 CaSr.sub.2 Cu.sub.2 O.sub.8 superconductor which has a T.sub.c (zero resistance) of 83K is provided on a lattice-matched substrate with no intergrowth. This film is produced by a Liquid Phase Epitaxy method which includes the steps of forming a dilute supercooled molten solution of a single phase superconducting mixture of oxides of Bi, Ca, Sr, and Cu having an atomic ratio of about 2:1:2:2 in a nonreactive flux such as KCl, introducing the substrate, e.g., NdGaO.sub.3, into the molten solution at 850.degree. C., cooling the solution from 850.degree. C. to 830.degree. C. to grow the film and rapidly cooling the substrate to room temperature to maintain the desired single phase, single crystalline film structure.

  10. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  11. Epitaxial Fe/Y2O3 interfaces as a model system for oxide-dispersion-strengthened ferritic alloys

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaspar, Tiffany C.; Bowden, Mark E.; Wang, Chong M.

    2015-02-01

    The fundamental mechanisms underlying the superior radiation tolerance properties of oxide-dispersion-strengthened ferritic steels and nanostructured ferritic alloys are poorly understood. Thin film heterostructures of Fe/Y2O3 can serve as a model system for fundamental studies of radiation damage. Epitaxial thin films of Y2O3 were deposited by pulsed laser deposition on 8% Y:ZrO2 (YSZ) substrates with (100), (110), and (111) orientation. Metallic Fe was subsequently deposited by molecular beam epitaxy. Characterization by x-ray diffraction and Rutherford backscattering spectrometry in the channeling geometry revealed a degree of epitaxial or axiotaxial ntation for Fe(211) deposited on Y2O3(110)/YSZ(110). In contrast, Fe on Y2O3(111)/YSZ(111) was fullymore » polycrystalline, and Fe on Y2O3(100)/YSZ(100) exhibited out-of-plane texture in the [110] direction with little or no preferential in-plane orientation. Scanning transmission electron microscopy imaging of Fe(211)/Y2O3(110)/YSZ(110) revealed a strongly islanded morphology for the Fe film, with no epitaxial grains visible in the cross-sectional sample. Well-ordered Fe grains with no orientation to the underlying Y2O3 were observed. Well-ordered crystallites of Fe with both epitaxial and non-epitaxial orientations on Y2O3 are a promising model system for fundamental studies of radiation damage phenomena. This is illustrated with preliminary results of He bubble formation following implantation with a helium ion microscope. He bubble formation is shown to preferentially occur at the Fe/Y2O3 interface.« less

  12. Thermoelectric La-doped SrTiO3 epitaxial layers with single-crystal quality: from nano to micrometers.

    PubMed

    Apreutesei, Mihai; Debord, Régis; Bouras, Mohamed; Regreny, Philippe; Botella, Claude; Benamrouche, Aziz; Carretero-Genevrier, Adrian; Gazquez, Jaume; Grenet, Geneviève; Pailhès, Stéphane; Saint-Girons, Guillaume; Bachelet, Romain

    2017-01-01

    High-quality thermoelectric La 0.2 Sr 0.8 TiO 3 (LSTO) films, with thicknesses ranging from 20 nm to 0.7 μm, have been epitaxially grown on SrTiO 3 (001) substrates by enhanced solid-source oxide molecular-beam epitaxy. All films are atomically flat (with rms roughness < 0.2 nm), with low mosaicity (<0.1°), and present very low electrical resistivity (<5 × 10 -4 Ω cm at room temperature), one order of magnitude lower than standard commercial Nb-doped SrTiO 3 single-crystalline substrate. The conservation of transport properties within this thickness range has been confirmed by thermoelectric measurements where Seebeck coefficients of approximately -60 μV/K have been recorded for all films. These LSTO films can be integrated on Si for non-volatile memory structures or opto-microelectronic devices, functioning as transparent conductors or thermoelectric elements.

  13. Thermoelectric La-doped SrTiO3 epitaxial layers with single-crystal quality: from nano to micrometers

    NASA Astrophysics Data System (ADS)

    Apreutesei, Mihai; Debord, Régis; Bouras, Mohamed; Regreny, Philippe; Botella, Claude; Benamrouche, Aziz; Carretero-Genevrier, Adrian; Gazquez, Jaume; Grenet, Geneviève; Pailhès, Stéphane; Saint-Girons, Guillaume; Bachelet, Romain

    2017-12-01

    High-quality thermoelectric La0.2Sr0.8TiO3 (LSTO) films, with thicknesses ranging from 20 nm to 0.7 μm, have been epitaxially grown on SrTiO3(001) substrates by enhanced solid-source oxide molecular-beam epitaxy. All films are atomically flat (with rms roughness < 0.2 nm), with low mosaicity (<0.1°), and present very low electrical resistivity (<5 × 10-4 Ω cm at room temperature), one order of magnitude lower than standard commercial Nb-doped SrTiO3 single-crystalline substrate. The conservation of transport properties within this thickness range has been confirmed by thermoelectric measurements where Seebeck coefficients of approximately -60 μV/K have been recorded for all films. These LSTO films can be integrated on Si for non-volatile memory structures or opto-microelectronic devices, functioning as transparent conductors or thermoelectric elements.

  14. Epitaxial strain-mediated spin-state transitions: can we switch off magnetism?

    NASA Astrophysics Data System (ADS)

    Rondinelli, James; Spaldin, Nicola

    2008-03-01

    We use first-principles density functional theory calculations to explore spin-state transitions in epitaxially strained LaCoO3. While high-spin to low-spin state transitions in minerals are common in geophysics, where pressures can reach over 200 GPa, we explore whether heteroepitaxial strain can achieve similar transitions with moderate strain in thin films. LaCoO3 is known to undergo a low-spin (S=0, t2g^6eg^0) to intermediate-spin (S=1, t2g^5eg^1) or high-spin (S=2, t2g^4eg^2) state transition with increasing temperature, and thus makes it a promising candidate material for strain-mediated spin transitions. Here we discuss the physics of the low-spin transition and changes in the electronic structure of LaCoO3, most notably, the metal-insulator transition that accompanies the spin-state transitions with epitaxial strain. As thin film growth techniques continue to reach atomic-level precision, we suggest this is another approach for controlling magnetism in complex oxide heterostructures.

  15. NO-assisted molecular-beam epitaxial growth of nitrogen substituted EuO

    NASA Astrophysics Data System (ADS)

    Wicks, R.; Altendorf, S. G.; Caspers, C.; Kierspel, H.; Sutarto, R.; Tjeng, L. H.; Damascelli, A.

    2012-04-01

    We have investigated a method for substituting oxygen with nitrogen in EuO thin films, which is based on molecular beam epitaxy distillation with NO gas as the oxidizer. By varying the NO gas pressure, we produce crystalline, epitaxial EuO1 -xNx films with good control over the films' nitrogen concentration. In situ x-ray photoemission spectroscopy reveals that nitrogen substitution is connected to the formation Eu3+4f6 and a corresponding decrease in the number of Eu2+4f7, indicating that nitrogen is being incorporated in its 3- oxidation state. While small amounts of Eu3+ in over-oxidized Eu1-δO thin films lead to a drastic suppression of the ferromagnetism, the formation of Eu3+ in EuO1-xNx still allows the ferromagnetic phase to exist with an unaffected Tc, thus providing an ideal model system to study the interplay between the magnetic f7 (J = 7/2) and the non-magnetic f6 (J = 0) states close to the Fermi level.

  16. Crystallinity of the epitaxial heterojunction of C60 on single crystal pentacene

    NASA Astrophysics Data System (ADS)

    Tsuruta, Ryohei; Mizuno, Yuta; Hosokai, Takuya; Koganezawa, Tomoyuki; Ishii, Hisao; Nakayama, Yasuo

    2017-06-01

    The structure of pn heterojunctions is an important subject in the field of organic semiconductor devices. In this work, the crystallinity of an epitaxial pn heterojunction of C60 on single crystal pentacene is investigated by non-contact mode atomic force microscopy and high-resolution grazing incidence x-ray diffraction. Analysis shows that the C60 molecules assemble into grains consisting of single crystallites on the pentacene single crystal surface. The in-plane mean crystallite size exceeds 0.1 μm, which is at least five time larger than the size of crystallites deposited onto polycrystalline pentacene thin films grown on SiO2. The results indicate that improvement in the crystal quality of the underlying molecular substrate leads to drastic promotion of the crystallinity at the organic semiconductor heterojunction.

  17. Epitaxial Fe(1-x)Gax/GaAs structures via electrochemistry for spintronics applications

    NASA Astrophysics Data System (ADS)

    Reddy, K. Sai Madhukar; Maqableh, Mazin M.; Stadler, Bethanie J. H.

    2012-04-01

    In this study, thin films of Fe83Ga17 (a giant magnetostrictive alloy) were grown on single-crystalline n-GaAs (001) and polycrystalline brass substrates via electrochemical synthesis from ferrous and gallium sulfate electrolytes. Extensive structural characterization using microdiffraction, high-resolution ω - 2θ, and rocking-curve analysis revealed that the films grown on GaAs(001) are highly textured with ⟨001⟩ orientation along the substrate normal, and the texture improved further upon annealing at 300 °C for 2 h in N2 environment. On the contrary, films grown on brass substrates exhibited ⟨011⟩ preferred orientation. Rocking-curve analysis done on Fe83Ga17/GaAs structures further confirmed that the ⟨001⟩ texture in the Fe83Ga17 thin film is a result of epitaxial nucleation and growth. The non-linear current-voltage plot obtained for the Fe-Ga/GaAs Schottky contacts was characteristic of tunneling injection, and showed improved behavior with annealing. Thus, this study demonstrates the feasibility of fabricating spintronic devices that incorporate highly magnetostrictive Fe(1-x)Gax thin films grown epitaxially via electrochemistry.

  18. Molecular beam epitaxy and characterization of stannic oxide

    NASA Astrophysics Data System (ADS)

    White, Mark Earl

    Wide bandgap oxides such as tin-doped indium oxide (ITO), zinc oxide (ZnO), and tin oxide (SnO2) are currently used in a variety of technologically important applications, including gas sensors and transparent conducting films for devices such as flat panel displays and photovoltaics. Due to the focus on industrial applications, prior research did not investigate the basic material properties of SnO2 films due to unoptimized growth methods such as RF sputtering and pulsed laser deposition which produced low resistance, polycrystalline films. Beyond these applications, few attempts to enhance and control the fundamental SnO2 properties for semiconducting applications have been reported. This work develops the heteroepitaxy of SnO2 thin films on r-plane Al2O3 by plasma-assisted molecular beam epitaxy (PA-MBE) and demonstrates control of the electrical transport of those films. Phase-pure, epitaxial single crystalline films were controllably and reproducibly grown. X-ray diffraction measurements indicated that these films exhibited the highest structural quality reported. Depending on the epitaxial conditions, tin- and oxygen-rich growth regimes were observed. An unexpected growth rate decrease in the tin-rich regime was determined to be caused by volatile suboxide formation. Excellent transport properties for naturally n-type SnO2 were achieved: the electron mobility, mu, was 103 cm2/V s at a concentration, n, of 2.7 x 1017 cm-3. To control the bulk electron density, antimony was used as an intentional n-type dopant. Antimony-doped film properties showed the highest reported mobilities for doped films (mu = 36 cm2/V s for n = 2.8 x 10 20 cm-3). Films doped with indium had resistivities over five orders-of-magnitude greater than undoped films. These highly resistive films provided a method to control the electrical transport properties. Further research will facilitate detailed studies of the fundamental properties of SnO2 and its development as an oxide with full

  19. Observation of longitudinal spin-Seebeck effect in cobalt-ferrite epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Niizeki, Tomohiko; Kikkawa, Takashi; Uchida, Ken-ichi; Oka, Mineto; Suzuki, Kazuya Z.; Yanagihara, Hideto; Kita, Eiji; Saitoh, Eiji

    2015-05-01

    The longitudinal spin-Seebeck effect (LSSE) has been investigated in cobalt ferrite (CFO), an exceptionally hard magnetic spinel ferrite. A bilayer of a polycrystalline Pt and an epitaxially-strained CFO(110) exhibiting an in-plane uniaxial anisotropy was prepared by reactive rf sputtering technique. Thermally generated spin voltage in the CFO layer was measured via the inverse spin-Hall effect in the Pt layer. External-magnetic-field (H) dependence of the LSSE voltage (VLSSE) in the Pt/CFO(110) sample with H ∥ [001] was found to exhibit a hysteresis loop with a high squareness ratio and high coercivity, while that with H ∥ [ 1 1 ¯ 0 ] shows a nearly closed loop, reflecting the different anisotropies induced by the epitaxial strain. The magnitude of VLSSE has a linear relationship with the temperature difference (ΔT), giving the relatively large VLSSE /ΔT of about 3 μV/K for CFO(110) which was kept even at zero external field.

  20. Epitaxial growth of mixed conducting layered Ruddlesden–Popper La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) phases by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J., E-mail: s.skinner@imperial.ac.uk

    2013-10-15

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO{sub 3} and NdGaO{sub 3} substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) have been epitaxially grown on SrTiO{sub 3} (0 0 1) or NdGaO{sub 3} (1 1 0) singlemore » crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time.« less

  1. In-plane dielectric properties of epitaxial Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown on GaAs for tunable device application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang Zhibin; Hao Jianhua

    2012-09-01

    We have epitaxially deposited ferroelectric Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) thin films grown on GaAs substrate via SrTiO{sub 3} buffer layer by laser molecular beam epitaxy. Structural characteristics of the heterostructure were measured by various techniques. The in-plane dielectric properties of the heteroepitaxial structure under different applying frequency were investigated from -190 to 90 Degree-Sign C, indicating Curie temperature of the BST film to be around 52 Degree-Sign C. At room temperature, the dielectric constant of the heterostructure under moderate dc bias field can be tuned by more than 30% and K factor used for frequency agile materials is foundmore » to be close to 8. Our results offer the possibility to combine frequency agile electronics of ferroelectric titanate with the high-performance microwave capabilities of GaAs for room temperature tunable device application.« less

  2. Stand quality management of a water oak plantation in Louisiana: preliminary results following thinning

    Treesearch

    James S. Meadows; Daniel A., Jr. Skojac

    2010-01-01

    Stand quality management is a new guiding principle in which thinning prescriptions are based on tree quality rather than on residual stand density. We recently initiated a series of hardwood thinning studies to determine the effects of four stand quality management thinning prescriptions on both stand-level and individual-tree-level growth, quality, and value: (1) no...

  3. Electron transport in ultra-thin films and ballistic electron emission microscopy

    NASA Astrophysics Data System (ADS)

    Claveau, Y.; Di Matteo, S.; de Andres, P. L.; Flores, F.

    2017-03-01

    We have developed a calculation scheme for the elastic electron current in ultra-thin epitaxial heterostructures. Our model uses a Keldysh’s non-equilibrium Green’s function formalism and a layer-by-layer construction of the epitaxial film. Such an approach is appropriate to describe the current in a ballistic electron emission microscope (BEEM) where the metal base layer is ultra-thin and generalizes a previous one based on a decimation technique appropriated for thick slabs. This formalism allows a full quantum mechanical description of the transmission across the epitaxial heterostructure interface, including multiple scattering via the Dyson equation, which is deemed a crucial ingredient to describe interfaces of ultra-thin layers properly in the future. We introduce a theoretical formulation needed for ultra-thin layers and we compare with results obtained for thick Au(1 1 1) metal layers. An interesting effect takes place for a width of about ten layers: a BEEM current can propagate via the center of the reciprocal space (\\overlineΓ ) along the Au(1 1 1) direction. We associate this current to a coherent interference finite-width effect that cannot be found using a decimation technique. Finally, we have tested the validity of the handy semiclassical formalism to describe the BEEM current.

  4. Oriented Y-type hexagonal ferrite thin films prepared by chemical solution deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Buršík, J., E-mail: bursik@iic.cas.cz; Kužel, R.; Knížek, K.

    2013-07-15

    Thin films of Ba{sub 2}Zn{sub 2}Fe{sub 12}O{sub 22} (Y) hexaferrite were prepared through the chemical solution deposition method on SrTiO{sub 3}(1 1 1) (ST) single crystal substrates using epitaxial SrFe{sub 12}O{sub 19} (M) hexaferrite thin layer as a seed template layer. The process of crystallization was mainly investigated by means of X-ray diffraction and atomic force microscopy. A detailed inspection revealed that growth of seed layer starts through the break-up of initially continuous film into isolated grains with expressive shape anisotropy and hexagonal habit. The vital parameters of the seed layer, i.e. thickness, substrate coverage, crystallization conditions and temperature rampmore » were optimized with the aim to obtain epitaxially crystallized Y phase. X-ray diffraction Pole figure measurements and Φ scans reveal perfect parallel in-plane alignment of SrTiO{sub 3} substrate and both hexaferrite phases. - Graphical abstract: XRD pole figure and AFM patterns of Ba{sub 2}Zn{sub 2}Fe{sub 12}O{sub 22} thin film epitaxially grown on SrTiO{sub 3}(1 1 1) single crystal using seeding layer templating. - Highlights: • Single phase Y-type hexagonal ferrite thin films were prepared by CSD method. • Seed M layer breaks into isolated single crystal islands and serves as a template. • Large seed grains grow by consuming the grains within the bulk of recoated film. • We explained the observed orientation relation of epitaxial domains. • Epitaxial growth on SrTiO{sub 3}(1 1 1) with relation (0 0 1){sub M,Y}//(1 1 1){sub ST}+[1 0 0]{sub M,Y}//[2 −1 −1]{sub ST}.« less

  5. The effects of strain relaxation on the dielectric properties of epitaxial ferroelectric Pb(Zr0.2Ti0.8)TiO3 thin films

    NASA Astrophysics Data System (ADS)

    Khan, Asif Islam; Yu, Pu; Trassin, Morgan; Lee, Michelle J.; You, Long; Salahuddin, Sayeef

    2014-07-01

    We study the effects of strain relaxation on the dielectric properties of epitaxial 40 nm Pb(Zr0.2Ti0.8)TiO3 (PZT) films. A significant increase in the defect and dislocation density due to strain relaxation is observed in PZT films with tetragonality c/a < 1.07 grown on SrTiO3 (001) substrates, which results in significant frequency dispersion of the dielectric constant and strong Rayleigh type behavior in those samples. This combined structural-electrical study provides a framework for investigating strain relaxation in thin films and can provide useful insights into the mechanisms of fatigue in ferroelectric materials.

  6. Lattice distortion and strain relaxation in epitaxial thin films of multiferroic TbMnO3 probed by X-ray diffractometry and micro-Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Hu, Y.; Stender, D.; Medarde, M.; Lippert, T.; Wokaun, A.; Schneider, C. W.

    2013-08-01

    A detailed structural XRD analysis of (1 1 0)-oriented TbMnO3 thin films grown on (1 1 0)-YAlO3 substrates shows the co-existence of a strained and relaxed "sublayer" within the films due to strain relaxation during epitaxial growth by pulsed laser deposition. The substrate-film lattice mismatch yields a compressive strain anisotropy along the two in-plane directions, i.e. [1 -1 0] and [0 0 1] and a monoclinic distortion. A further manifestation of the growth-induced strain is the hardening of Raman active modes as a result of changed atomic motions along the [1 -1 0] and [0 0 1] directions.

  7. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Demaurex, Bénédicte, E-mail: benedicte.demaurex@epfl.ch; Bartlome, Richard; Seif, Johannes P.

    2014-08-07

    Low-temperature (≤200 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-enhanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only frommore » the growth conditions but also from unintentional contamination of the reactor. Based on our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  8. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE PAGES

    Demaurex, Bénédicte; Bartlome, Richard; Seif, Johannes P.; ...

    2014-08-05

    Low-temperature (≤ 180 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-ehanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems notmore » only from the growth conditions but also from unintentional contamination of the reactor. As a result of our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  9. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaspar, Tiffany C.; Hong, Seungbum; Bowden, Mark E.

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200°C due to the low Curie temperature of the piezoelectric material. High temperature piezoelectric materials such as La2Ti2O7 (LTO) would facilitate the development of high-temperature sensors if the piezoelectric coupling coefficient could be maximized. We have deposited epitaxial LTO films on SrTiO3(001), SrTiO3(110), and rutile TiO2(110) substrates by pulsed laser deposition, and show that the crystalline orientation of the LTO film, and thus its piezoelectric coupling direction, can be controlled by epitaxial matching to the substrate. The structure and phase purity of the films were investigated by x-raymore » diffraction and scanning transmission electron microscopy. To characterize the piezoelectric properties, piezoresponse force microscopy was used to measure the in-plane and out-of-plane piezoelectric coupling in the films. We find that the strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric crystalline direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO2(110) results in epitaxial La2/3TiO3, an orthorhombic perovskite of interest as a microwave dielectric material. La2/3TiO3 can be difficult to stabilize in bulk form, and epitaxial deposition has not been previously reported. These results confirm that control of the crystalline orientation of LTO-based materials can increase the out-of-plane strength of its piezoelectric coupling, which can be exploited in piezoelectric devices.« less

  10. High-efficiency thin-film GaAs solar cells, phase2

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.

    1981-01-01

    Thin GaAs epi-layers with good crystallographic quality were grown using a (100) Si-substrate on which a thin Ge epi-interlayer was grown by CVD from germane. Both antireflection-coated metal oxide semiconductor (AMOS) and n(+)/p homojunction structures were studied. The AMOS cells were fabricated on undoped-GaAs epi-layers deposited on bulk poly-Ge substrates using organo-metallic CVD film-growth, with the best achieved AM1 conversion efficiency being 9.1%. Both p-type and n(+)-type GaAs growth were optimized using 50 ppm dimethyl zinc and 1% hydrogen sulfide, respectively. A direct GaAs deposition method in fabricating ultra-thin top layer, epitaxial n(+)/p shallow homojunction solar cells on (100) GaAs substrates (without anodic thinning) was developed to produce large area (1 sq/cm) cells, with 19.4% AM1 conversion efficiency achieved. Additionally, an AM1 conversion efficiency of 18.4% (17.5% with 5% grid coverage) was achieved for a single crystal GaAs n(+)/p cell grown by OM-CVD on a Ge wafer.

  11. Decoupling interface effect on the phase stability of CdS thin films by van der Waals heteroepitaxy

    NASA Astrophysics Data System (ADS)

    Sun, Xin; Wang, Yiping; Seewald, Lucas J.; Chen, Zhizhong; Shi, Jian; Washington, Morris A.; Lu, Toh-Ming

    2017-01-01

    Wurtzite (W) and zinc-blende (ZB) polytypism has long been observed in epitaxial CdS thin films. The present work, based on van der Waals epitaxial CdS thin films, is an attempt to explain which crystal modification, W or ZB, is favored under different growth conditions. In this van der Waals epitaxy system where the substrate influence is considered weak, it is found that the substrate temperature plays a crucial role in determining the crystal modification of CdS, that is, W and ZB CdS are more stable at low and high ends of substrate temperature, respectively. We attribute this temperature effect to the entropy difference (SW < SZB), a conclusion well supported by the thermodynamic hard sphere model formulation of the entropy difference between hexagonal close-packed and face-centered cubic structures. By summarizing other works, we find that the entropy difference model can also be applied to large mismatched (≳3%) CdS-substrate chemical epitaxy systems but not for small mismatched (≲3%) ones. In the latter case, the energy benefit in terms of high density of bonding contributed by the substrate-film interface is believed to be too overwhelming for the intrinsic entropy difference to overcome. Furthermore, the deposition rate is found to affect the crystalline quality and strain level in CdS films but not the crystal modification of the CdS films. Last, Raman and photoluminescence spectroscopies reveal the strain behaviors in the films. The phase change from W to ZB CdS is well-correlated with the observed peak shifts in Raman and photoluminescence spectroscopies.

  12. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  13. Thermoelectric La-doped SrTiO3 epitaxial layers with single-crystal quality: from nano to micrometers

    PubMed Central

    Apreutesei, Mihai; Debord, Régis; Bouras, Mohamed; Regreny, Philippe; Botella, Claude; Benamrouche, Aziz; Carretero-Genevrier, Adrian; Gazquez, Jaume; Grenet, Geneviève; Pailhès, Stéphane; Saint-Girons, Guillaume; Bachelet, Romain

    2017-01-01

    Abstract High-quality thermoelectric La0.2Sr0.8TiO3 (LSTO) films, with thicknesses ranging from 20 nm to 0.7 μm, have been epitaxially grown on SrTiO3(001) substrates by enhanced solid-source oxide molecular-beam epitaxy. All films are atomically flat (with rms roughness < 0.2 nm), with low mosaicity (<0.1°), and present very low electrical resistivity (<5 × 10−4 Ω cm at room temperature), one order of magnitude lower than standard commercial Nb-doped SrTiO3 single-crystalline substrate. The conservation of transport properties within this thickness range has been confirmed by thermoelectric measurements where Seebeck coefficients of approximately –60 μV/K have been recorded for all films. These LSTO films can be integrated on Si for non-volatile memory structures or opto-microelectronic devices, functioning as transparent conductors or thermoelectric elements. PMID:28740558

  14. Choice of Substrate Material for Epitaxial CdTe Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Tao; Kanevce, Ana; Sites, James R.

    2015-06-14

    Epitaxial CdTe with high quality, low defect density, and high carrier concentration should in principle yield high-efficiency photovoltaic devices. However, insufficient effort has been given to explore the choice of substrate for high-efficiency epitaxial CdTe solar cells. In this paper, we use numerical simulations to investigate three crystalline substrates: silicon (Si), InSb, and CdTe each substrate material are generally discussed.

  15. Growth of Ca{sub 2}MnO{sub 4} Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lacotte, M.; David, A.; Pravarthana, D.

    2014-12-28

    The local epitaxial growth of pulsed laser deposited Ca{sub 2}MnO{sub 4} films on polycrystalline spark plasma sintered Sr{sub 2}TiO{sub 4} substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew inmore » a grain-over-grain pattern such that each Ca{sub 2}MnO{sub 4} grain had a single OR with the Sr{sub 2}TiO{sub 4} grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001]{sub film}||[100][001]{sub sub}. The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides.« less

  16. Liquid-Phase Epitaxial Growth of ZnS, ZnSe and Their Mixed Compounds Using Te as Solvent

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroshi; Aoki, Masaharu

    1981-01-01

    Epitaxial layers of ZnS, ZnSe and their mixed compounds were grown on ZnS substrates by the liquid-phase epitaxial growth (LPE) method using Te as the solvent. The open-tube slide-boat technique was used, and a suitable starting temperature for growth was found to be 850°C for ZnS and 700-800°C for ZnSe. The ZnS epitaxial layers grown on {111}A and {111}B oriented ZnS substrates were thin (˜1 μm) and smooth, had low, uniform Te concentrations (˜0.1 at.%) and were highly luminescent. The ZnSe epitaxial layers were relatively thick (10-30 μm) and had fairly high Te concentrations (a few at.%). Various mixed compound ZnS1-xSex were also grown on ZnS substrates.

  17. Epitaxial titanium diboride films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Zhai, H. Y.; Christen, H. M.; Cantoni, C.; Goyal, A.; Lowndes, D. H.

    2002-03-01

    Epitaxial, smooth, and low-resistivity titanium diboride (TiB2) films have been grown on SiC substrates using pulsed-laser deposition. Combined studies from ex situ x-ray diffraction and in situ reflection high-energy electron diffraction indicate the crystallographic alignment between TiB2 and SiC both parallel and normal to the substrate. Atomic force microscopy and scanning electron microscopy studies show that these epitaxial films have a smooth surface, and the resistivity of these films is comparable to that of single-crystal TiB2. Growth of these films is motivated by this material's structural and chemical similarity and lattice match to the newly discovered superconductor MgB2, both to gain further insight into the physical mechanisms of diborides in general and, more specifically, as a component of MgB2-based thin-film heterostructures.

  18. Tensile stress effect on epitaxial BiFeO 3 thin film grown on KTaO 3

    DOE PAGES

    Bae, In-Tae; Ichinose, Tomohiro; Han, Myung-Geun; ...

    2018-01-17

    Comprehensive crystal structural study is performed for BiFeO 3 (BFO) film grown on KTaO 3 (KTO) substrate using transmission electron microscopy (TEM) and x-ray diffraction (XRD). Nano beam electron diffraction (NBED) combined with structure factor calculation and high resolution TEM images clearly reveal that the crystal structure within BFO thin film is rhombohedral BFO, i.e., bulk BFO phase. Epitaxial relationship found by NBED indicates the BFO film grows in a manner that minimizes lattice mismatch with KTO. It further suggests BFO film is under slight biaxial tensile stress (~0.35%) along in-plane direction. XRD reveals BFO lattice is under compressive stressmore » (~1.6%), along out-of-plane direction as a result of the biaxial tensile stress applied along in-plane direction. This leads to Poisson’s ratio of ~0.68. In addition, we demonstrate (1) why hexagonal notation rather than pseudocubic one is required for accurate BFO phase evaluation and (2) a new XRD method that shows how rhombohedral BFO can readily be identified among other phases by measuring a rhombohedral specific Bragg’s peak.« less

  19. Tensile stress effect on epitaxial BiFeO 3 thin film grown on KTaO 3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bae, In-Tae; Ichinose, Tomohiro; Han, Myung-Geun

    Comprehensive crystal structural study is performed for BiFeO 3 (BFO) film grown on KTaO 3 (KTO) substrate using transmission electron microscopy (TEM) and x-ray diffraction (XRD). Nano beam electron diffraction (NBED) combined with structure factor calculation and high resolution TEM images clearly reveal that the crystal structure within BFO thin film is rhombohedral BFO, i.e., bulk BFO phase. Epitaxial relationship found by NBED indicates the BFO film grows in a manner that minimizes lattice mismatch with KTO. It further suggests BFO film is under slight biaxial tensile stress (~0.35%) along in-plane direction. XRD reveals BFO lattice is under compressive stressmore » (~1.6%), along out-of-plane direction as a result of the biaxial tensile stress applied along in-plane direction. This leads to Poisson’s ratio of ~0.68. In addition, we demonstrate (1) why hexagonal notation rather than pseudocubic one is required for accurate BFO phase evaluation and (2) a new XRD method that shows how rhombohedral BFO can readily be identified among other phases by measuring a rhombohedral specific Bragg’s peak.« less

  20. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    NASA Astrophysics Data System (ADS)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  1. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  2. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  3. Metal-Insulator Transition in Epitaxial Pyrochlore Iridates Bi2Ir2O7 thin Films

    NASA Astrophysics Data System (ADS)

    Chu, Jiun-Haw; Liu, Jian; Yi, Di; Rayan-Serrao, C.; Suresha, S.; Marti, Xavi; Riggs, Scott; Shapiro, Max; Ian, Fisher; Ramesh, R.

    2013-03-01

    Recently there is a surge of interest in searching for topological order in correlated electronic systems such as transition metal oxides. The strong spin-orbit interaction of 5d electrons and the geometric frustration in the crystal lattice make the pyrochlore iridate(A2Ir2O7) an ideal candidate to achieve this goal. Pioneering experiments on bulk polycrystalline and single crystal samples revealed a temperature dependent metal-insulator transition coupled to a long range magnetic order, and the transition temperature can be tuned by either A-site ionic radius or an external pressure. In this talk we present our efforts to understand and control the metal-insulator transition and the underlying electronic structure of pyrochlore iridates via epitaxial Bi2Ir2O7 thin films. Bulk Bi2Ir2O7 is located at the metallic side of the phase diagram. However as the film's thickness decreases the transport evolves from a metallic to a strongly localized character. Resonant X-ray spectroscopy suggests that the density of states near Fermi level is dominated by the Ir Je ff =1/2 states. Intriguingly, the magnetoresistance shows a linear field dependence over a wide range of fields at low temperatures, which is possibly consistent with the existence of Dirac nodes.

  4. Intrinsic pinning and the critical current scaling of clean epitaxial Fe(Se,Te) thin films

    NASA Astrophysics Data System (ADS)

    Iida, Kazumasa; Hänisch, Jens; Reich, Elke; Kurth, Fritz; Hühne, Ruben; Schultz, Ludwig; Holzapfel, Bernhard; Ichinose, Ataru; Hanawa, Masafumi; Tsukada, Ichiro; Schulze, Michael; Aswartham, Saicharan; Wurmehl, Sabine; Büchner, Bernd

    2013-03-01

    We report on the transport properties of clean, epitaxial Fe(Se,Te) thin films prepared on Fe-buffered MgO (001) single crystalline substrates by pulsed laser deposition. Near Tc a steep slope of the upper critical field for H||ab was observed (74.1 T/K), leading to a very short out-of-plane coherence length, ξc(0), of 0.2 nm, yielding 2ξc(0)≈0.4nm. This value is shorter than the interlayer distance (0.605 nm) between the Fe-Se(Te) planes, indicative of modulation of the superconducting order parameter along the c axis. An inverse correlation between the power law exponent N of the electric field-current density(E-J) curve and the critical current density Jc has been observed at 4 K, when the orientation of H was close to the ab plane. These results prove the presence of intrinsic pinning in Fe(Se,Te). A successful scaling of the angular dependent Jc and the corresponding exponent N can be realized by the anisotropic Ginzburg Landau approach with appropriate Γ values 2˜3.5. The temperature dependence of Γ behaves almost identically to that of the penetration depth anisotropy.

  5. Homoepitaxial growth of β-Ga{sub 2}O{sub 3} thin films by low pressure chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rafique, Subrina; Han, Lu; Zhao, Hongping, E-mail: hongping.zhao@case.edu

    2016-05-02

    This paper presents the homoepitaxial growth of phase pure (010) β-Ga{sub 2}O{sub 3} thin films on (010) β-Ga{sub 2}O{sub 3} substrate by low pressure chemical vapor deposition. The effects of growth temperature on the surface morphology and crystal quality of the thin films were systematically investigated. The thin films were synthesized using high purity metallic gallium (Ga) and oxygen (O{sub 2}) as precursors for gallium and oxygen, respectively. The surface morphology and structural properties of the thin films were characterized by atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. Material characterization indicates the growth temperature played anmore » important role in controlling both surface morphology and crystal quality of the β-Ga{sub 2}O{sub 3} thin films. The smallest root-mean-square surface roughness of ∼7 nm was for thin films grown at a temperature of 950 °C, whereas the highest growth rate (∼1.3 μm/h) with a fixed oxygen flow rate was obtained for the epitaxial layers grown at 850 °C.« less

  6. Epitaxy of Ferroelectric P(VDF-TrFE) Films via Removable PTFE Templates and Its Application in Semiconducting/Ferroelectric Blend Resistive Memory.

    PubMed

    Xia, Wei; Peter, Christian; Weng, Junhui; Zhang, Jian; Kliem, Herbert; Jiang, Yulong; Zhu, Guodong

    2017-04-05

    Ferroelectric polymer based devices exhibit great potentials in low-cost and flexible electronics. To meet the requirements of both low voltage operation and low energy consumption, thickness of ferroelectric polymer films is usually required to be less than, for example, 100 nm. However, decrease of film thickness is also accompanied by the degradation of both crystallinity and ferroelectricity and also the increase of current leakage, which surely degrades device performance. Here we report one epitaxy method based on removable poly(tetrafluoroethylene) (PTFE) templates for high-quality fabrication of ordered ferroelectric polymer thin films. Experimental results indicate that such epitaxially grown ferroelectric polymer films exhibit well improved crystallinity, reduced current leakage and good resistance to electrical breakdown, implying their applications in high-performance and low voltage operated ferroelectric devices. On the basis of this removable PTFE template method, we fabricated organic semiconducting/ferroelectric blend resistive films which presented record electrical performance with operation voltage as low as 5 V and ON/OFF ratio up to 10 5 .

  7. Epitaxial corundum-VTiO 3 thin films grown on c-cut sapphire

    DOE PAGES

    Kramer, Alan; Sutter, Eli; Su, Dong; ...

    2017-04-12

    Corundum structured VTiO 3 has been grown as epitaxial films on c-cut sapphire by laser molecular beam epitaxy. The properties of the film were characterized by reflection high energy electron diffraction, x-ray diffraction, transmission electron microscopy, and photoemission spectroscopy. All the structural probes clearly indicate the corundum structure of the film. X-ray photoemission spectroscopy (XPS) indicates that V is in a 3+ charge state implying that Ti also needs to adopt a 3+ charge state in order for the corundum structure to form. However, the Ti-2p XPS, while clearly broadened to the lower binding energy side compared to TiO 2,more » also exhibits a pronounced Ti 4+ component. This is tentatively assigned to a final state effect in XPS measurements and not as the true cation state. In conclusion, the valence band spectra show occupation of 3d metal states that resemble more closely those of Ti 2O 3 than for V 2O 3, suggesting that only the a1g molecular states are occupied.« less

  8. Structural and magnetic characterization of mixed valence Co(II, III)xZn1-xO epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Negi, D. S.; Loukya, B.; Dileep, K.; Sahu, R.; Shetty, S.; Kumar, N.; Ghatak, J.; Pachauri, N.; Gupta, A.; Datta, R.

    2014-03-01

    In this article, we report on the Co atom incorporation, secondary phase formation and composition-dependent magnetic and optical properties of mixed valence Co(II, III)xZn1-xO epitaxial thin films grown by pulsed laser deposition. The intended total Co concentration is varied between ~6-60 at.% with relatively higher concentration of +3 over +2 charge state. Mixed valence Co(II, III) shows high solubility in ZnO (up to 38 at.%) and ferromagnetism is observed in samples with total Co incorporation of ~29 and 38 at.%. Electron diffraction pattern and high resolution transmission electron microscopy images reveal single crystalline nature of the thin films with wurtzite structure. Co oxide interlayer, with both rock salt and spinel structure, are observed to be formed between the substrate and wurtzite film for total Co concentration at ~17 at.% and above. Magnetization shows composition dependence with a saturation moment value of ~93 emu cm-3 and a coercive field of ~285 Oe observed for ~38 at.% Co:ZnO films. Ferromagnetism was not observed for films with Co concentration 17 and 9 at.%. The Co oxide interlayer does not show any ferromagnetism. All the films are n-type with carrier concentration ~1019 cm-3. The observed magnetism is probably resulting from direct antiferromagntic exchange interaction between Co2+ and Co3+ ions favored by heavy Co alloying giving rise to ferrimagnetism in the system.

  9. Effect of oxygen vacancy distribution on the thermoelectric properties of La-doped SrTiO3 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Sarath Kumar, S. R.; Abutaha, A. I.; Hedhili, M. N.; Alshareef, H. N.

    2012-12-01

    A detailed study of the role of oxygen vacancies in determining the effective mass and high temperature (300-1000 K) thermoelectric properties of La-doped epitaxial SrTiO3 thin films is presented. It is observed that at intermediate temperatures, a transition from degenerate to non-degenerate behavior is observed in the Seebeck coefficient, but not electrical conductivity, which is attributed to heterogeneous oxygen non-stoichiometry. Heikes formula is found to be invalid for the films with oxygen vacancies. By fitting the spectroscopic ellipsometry (SE) data, obtained in the range 300-2100 nm, using a Drude-Lorentz dispersion relation with two Lorentz oscillators, the electrical and optical properties of the films are extracted. Using the excellent agreement between the transport properties extracted from SE modeling and direct electrical measurements, we demonstrate that an increase in concentration of oxygen vacancies results in a simultaneous increase of both carrier concentration and electron effective mass, resulting in a higher power factor.

  10. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  11. Effect of Annealing on the Density of Defects in Epitaxial CdTe (211)/GaAs

    NASA Astrophysics Data System (ADS)

    Bakali, Emine; Selamet, Yusuf; Tarhan, Enver

    2018-05-01

    CdTe thin films were grown on GaAs (211) wafers by molecular beam epitaxy as the buffer layer for HgCdTe infrared detector applications. We studied the effect of annealing on the density of dislocation of these CdTe thin films under varying annealing parameters such as annealing temperature, annealing duration, and number of cycles. Annealings were carried out using a homemade annealing reactor possessing a special heater element made of a Si wafer for rapid heating. The density of dislocations, which were made observable with a scanning electron microscope after etching with an Everson solution, were calculated by counting the number of dislocations per unit surface area, hence the term etch pit density (EPD). We were able to decrease EPD values by one order of magnitude after annealing. For example, the best EPD value after a 20-min annealing at 400°C was ˜ 2 × 107 cm-2 for a 1.63-μm CdTe thin film which was about 9.5 × 107 cm-2 before annealing. We also employed Raman scattering measurements to see the changes in the structural quality of the samples. From the Raman measurements, we were able to see improvements in the quality of our samples from the annealing by studying the ratio of 2LO/LO phonon mode Raman intensities. We also observed a clear decrease in the intensity of Te precipitations-related modes, indicating a decrease in the size and number of these precipitations.

  12. Summary of in situ epitaxial nucleation and growth measurements. [for semiconducting single crystal PbSe films

    NASA Technical Reports Server (NTRS)

    Poppa, H.; Moorhead, R. D.; Heinemann, K.

    1974-01-01

    In situ nucleation and growth measurements of Ag and Au on single-crystal PbSe thin films were made using a transmission electron microscope. Properties studied were polymorphism, crystalline perfection, and the stoichiometric composition of the initial and the autoepitaxially thickened PbSe substrates. The quantitative nucleation and cluster growth measurements were limited to low-saturation conditions. The epitaxial orientations are discussed, and evidence is presented as to the stage of deposition at which the epitaxial order for Ag is introduced. Strong substrate/overgrowth interaction manifested itself by alloying and interdiffusion.

  13. Electric-field-induced structural modulation of epitaxial BiFeO3 multiferroic thin films as studied using x-ray microdiffraction

    NASA Astrophysics Data System (ADS)

    Bark, Chung W.; Ryu, Sangwoo; Koo, Yang M.; Jang, Hyun M.; Youn, Hwa S.

    2007-01-01

    An in situ method, called synchrotron x-ray microdiffraction, was introduced to examine the electric-field-induced structural modulation of the epitaxially grown pseudotetragonal BiFeO3 thin film. To evaluate the d spacing (d001) from the measured intensity contour in the 2θ-χ space, the peak position in each diffraction profile was determined by applying two-dimensional Lorentzian fitting. By tracing the change of d spacing as a function of the applied electric field and by examining the Landau free energy function for P4mm symmetry, the authors were able to estimate the two important parameters that characterize the field-induced structural modulation. The estimated linear piezoelectric coefficient (d33) at zero-field limit is 15pm /V, and the effective nonlinear electrostrictive coefficient (Qeff) is as low as ˜8.0×10-3m4/C2.

  14. Altering thermal transport by strained-layer epitaxy

    NASA Astrophysics Data System (ADS)

    Majdi, Tahereh; Pal, Souvik; Hafreager, Anders; Murad, Sohail; Sahu, Rakesh P.; Puri, Ishwar K.

    2018-05-01

    Since strain changes the interatomic spacing of matter and alters electron and phonon dispersion, an applied strain can modify the thermal conductivity k of a material. We show how the strain induced by heteroepitaxy is a passive mechanism to change k in a thin film. Molecular dynamics simulations of the deposition and epitaxial growth of ZnTe thin films provide insights into the role of interfacial strain in the conductivity of a deposited film. ZnTe films grow strain-free on lattice-matched ZnTe substrates, but similar thin films grown on a lattice-mismatched CdTe substrate exhibit ˜6% biaxial in-plane tensile strain and ˜7% uniaxial out-of-plane compressive strain. In the T = 700 K-1100 K temperature range, the conductivities of strained ZnTe layers decrease to ˜60% of their unstrained values. The resulting understanding of dk/dT shows that strain engineering can be used to alter the performance of a thermal rectifier and also provides a framework for enhancing thermoelectric devices.

  15. High-performance thin layer chromatography to assess pharmaceutical product quality.

    PubMed

    Kaale, Eliangiringa; Manyanga, Vicky; Makori, Narsis; Jenkins, David; Michael Hope, Samuel; Layloff, Thomas

    2014-06-01

    To assess the sustainability, robustness and economic advantages of high-performance thin layer chromatography (HPTLC) for quality control of pharmaceutical products. We compared three laboratories where three lots of cotrimoxazole tablets were assessed using different techniques for quantifying the active ingredient. The average assay relative standard deviation for the three lots was 1.2 with a range of 0.65-2.0. High-performance thin layer chromatography assessments are yielding valid results suitable for assessing product quality. The local pharmaceutical manufacturer had evolved the capacity to produce very high quality products. © 2014 John Wiley & Sons Ltd.

  16. Primary research efforts on exploring the commercial possibilities of thin film growth and materials purification in space

    NASA Technical Reports Server (NTRS)

    1989-01-01

    The progress made on research programs in the 1987 to 1988 year is reported. The research is aimed at producing thin film semiconductors and superconductor materials in space. Sophisticated vacuum chambers and equipment were attained for the epitaxial thin film growth of semiconductors, metals and superconductors. In order to grow the best possible epitaxial films at the lowest possible temperatures on earth, materials are being isoelectronically doped during growth. It was found that isoelectrically doped film shows the highest mobility in comparison with films grown at optimal temperatures. Success was also attained in growing epitaxial films of InSb on sapphire which show promise for infrared sensitive devices in the III-V semiconductor system.

  17. Long-range ferromagnetic order in LaCoO3 -δ epitaxial films due to the interplay of epitaxial strain and oxygen vacancy ordering

    NASA Astrophysics Data System (ADS)

    Mehta, V. V.; Biskup, N.; Jenkins, C.; Arenholz, E.; Varela, M.; Suzuki, Y.

    2015-04-01

    We demonstrate that a combination of electronic structure modification and oxygen vacancy ordering can stabilize a long-range ferromagnetic ground state in epitaxial LaCoO3 thin films. Highest saturation magnetization values are found in the thin films in tension on SrTiO3 and (La ,Sr )(Al ,Ta )O3 substrates and the lowest values are found in thin films in compression on LaAlO3. Electron microscopy reveals oxygen vacancy ordering to varying degrees in all samples, although samples with the highest magnetization are the most defective. Element-specific x-ray absorption techniques reveal the presence of high spin Co2 + and Co3 + as well as low spin Co3 + in different proportions depending on the strain state. The interactions among the high spin Co ions and the oxygen vacancy superstructure are correlated with the stabilization of the long-range ferromagnetic order.

  18. Strain Relaxation in Si{sub 1-x}Ge{sub x} Thin Films on Si(100) Substrates: Modeling and Comparisons with Experiments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kolluri, K; Zepeda-Ruiz, L A; Murthy, C S

    2005-03-22

    Strained semiconductor thin films grown epitaxially on semiconductor substrates of different composition, such as Si{sub 1-x}Ge{sub x}/Si, are becoming increasingly important in modern microelectronic technologies. In this paper, we report a hierarchical computational approach for analysis of dislocation formation, glide motion, multiplication, and annihilation in Si{sub 1-x}Ge{sub x} epitaxial thin films on Si substrates. Specifically, a condition is developed for determining the critical film thickness with respect to misfit dislocation generation as a function of overall film composition, film compositional grading, and (compliant) substrate thickness. In addition, the kinetics of strain relaxation in the epitaxial film during growth or thermalmore » annealing (including post-implantation annealing) is analyzed using a properly parameterized dislocation mean-field theoretical model, which describes plastic deformation dynamics due to threading dislocation propagation. The theoretical results for Si{sub 1-x}Ge{sub x} epitaxial thin films grown on Si (100) substrates are compared with experimental measurements and are used to discuss film growth and thermal processing protocols toward optimizing the mechanical response of the epitaxial film.« less

  19. Growth and optical property characterization of textured barium titanate thin films for photonic applications

    NASA Astrophysics Data System (ADS)

    Dicken, Matthew J.; Diest, Kenneth; Park, Young-Bae; Atwater, Harry A.

    2007-03-01

    We have investigated the growth of barium titanate thin films on bulk crystalline and amorphous substrates utilizing biaxially oriented template layers. Ion beam-assisted deposition was used to grow thin, biaxially textured, magnesium oxide template layers on amorphous and silicon substrates. Growth of highly oriented barium titanate films on these template layers was achieved by molecular beam epitaxy using a layer-by-layer growth process. Barium titanate thin films were grown in molecular oxygen and in the presence of oxygen radicals produced by a 300 W radio frequency plasma. We used X-ray and in situ reflection high-energy electron diffraction (RHEED) to analyze the structural properties and show the predominantly c-oriented grains in the films. Variable angle spectroscopic ellipsometry was used to analyze and compare the optical properties of the thin films grown with and without oxygen plasma. We have shown that optical quality barium titanate thin films, which show bulk crystal-like properties, can be grown on any substrate through the use of biaxially oriented magnesium oxide template layers.

  20. Epitaxial graphene

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  1. Photoconductivity of ultra-thin Ge(GeSn) layers grown in Si by low-temperature molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Talochkin, A. B., E-mail: tal@isp.nsc.ru; Novosibirsk State University, Novosibirsk 630090; Chistokhin, I. B.

    2016-04-07

    Photoconductivity (PC) spectra of Si/Ge(GeSn)/Si structures with the ultra-thin (1.0–2.3 nm) Ge and GeSn alloy layers grown by the low-temperature (T = 100 °C) molecular beam epitaxy are studied. Photoresponse in the range of 1.2–0.4 eV related to light absorption in the buried Ge(GeSn) layer is observed. It is shown that in case of lateral PC, a simple diffusion model can be used to determine the absorption coefficient of this layer α ∼ 10{sup 5 }cm{sup −1}. This value is 100 times larger than that of a single Ge quantum dot layer and is reached significantly above the band gap of most bulk semiconductors. The observedmore » absorption is caused by optical transitions between electron and hole states localized at the interfaces. The anomalous high value of α can be explained by the unusual state of Ge(GeSn) layer with high concentration of dangling bonds, the optical properties of which have been predicted theoretically by Knief and von Niessen (Phys. Rev. B 59, 12940 (1999)).« less

  2. Ultrathin Lutetium Oxide Film as an Epitaxial Hole-Blocking Layer for Crystalline Bismuth Vanadate Water Splitting Photoanodes

    DOE PAGES

    Zhang, Wenrui; Yan, Danhua; Tong, Xiao; ...

    2018-01-08

    Here a novel ultrathin lutetium oxide (Lu 2O 3) interlayer is integrated with crystalline bismuth vanadate (BiVO4) thin film photoanodes to facilitate carrier transport through atomic-scale interface control. The epitaxial Lu 2O 32O 3

  3. Characterization of Cu buffer layers for growth of L10-FeNi thin films

    NASA Astrophysics Data System (ADS)

    Mizuguchi, M.; Sekiya, S.; Takanashi, K.

    2010-05-01

    A Cu(001) layer was fabricated on a Au(001) layer to investigate the use of Cu as a buffer layer for growing L10-FeNi thin films. The epitaxial growth of a Cu buffer layer was observed using reflection high-energy electron diffraction. The flatness of the layer improved drastically with an increase in the substrate temperature although the layer was an alloy (AuCu3). An FeNi thin film was epitaxially grown on the AuCu3 buffer layer by alternate monatomic layer deposition and the formation of an L10-FeNi ordered alloy was expected. The AuCu3 buffer layer is thus a promising candidate material for the growth of L10-FeNi thin films.

  4. Oxygen-vacancy-mediated dielectric property in perovskite Eu0.5Ba0.5TiO3-δ epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Li, Weiwei; Gu, Junxing; He, Qian; Zhang, Kelvin H. L.; Wang, Chunchang; Jin, Kuijuan; Wang, Yongqiang; Acosta, Matias; Wang, Haiyan; Borisevich, Albina Y.; MacManus-Driscoll, Judith L.; Yang, Hao

    2018-04-01

    Dielectric relaxation in ABO3 perovskite oxides can result from many different charge carrier-related phenomena. Despite a strong understanding of dielectric relaxations, a detailed investigation of the relationship between the content of oxygen vacancies (VO) and dielectric relaxation has not been performed in perovskite oxide films. In this work, we report a systematic investigation of the influence of the VO concentration on the dielectric relaxation of Eu0.5Ba0.5TiO3-δ epitaxial thin films. Nuclear resonance backscattering spectrometry was used to directly measure the oxygen concentration in Eu0.5Ba0.5TiO3-δ films. We found that dipolar defects created by VO interact with the off-centered Ti ions, which results in the dielectric relaxation in Eu0.5Ba0.5TiO3-δ films. Activation energy gradually increases with the increasing content of VO. The present work significantly extends our understanding of relaxation properties in oxide films.

  5. Electronic Structure, Surface Doping, and Optical Response in Epitaxial WSe2 Thin Films.

    PubMed

    Zhang, Yi; Ugeda, Miguel M; Jin, Chenhao; Shi, Su-Fei; Bradley, Aaron J; Martín-Recio, Ana; Ryu, Hyejin; Kim, Jonghwan; Tang, Shujie; Kim, Yeongkwan; Zhou, Bo; Hwang, Choongyu; Chen, Yulin; Wang, Feng; Crommie, Michael F; Hussain, Zahid; Shen, Zhi-Xun; Mo, Sung-Kwan

    2016-04-13

    High quality WSe2 films have been grown on bilayer graphene (BLG) with layer-by-layer control of thickness using molecular beam epitaxy. The combination of angle-resolved photoemission, scanning tunneling microscopy/spectroscopy, and optical absorption measurements reveal the atomic and electronic structures evolution and optical response of WSe2/BLG. We observe that a bilayer of WSe2 is a direct bandgap semiconductor, when integrated in a BLG-based heterostructure, thus shifting the direct-indirect band gap crossover to trilayer WSe2. In the monolayer limit, WSe2 shows a spin-splitting of 475 meV in the valence band at the K point, the largest value observed among all the MX2 (M = Mo, W; X = S, Se) materials. The exciton binding energy of monolayer-WSe2/BLG is found to be 0.21 eV, a value that is orders of magnitude larger than that of conventional three-dimensional semiconductors, yet small as compared to other two-dimensional transition metal dichalcogennides (TMDCs) semiconductors. Finally, our finding regarding the overall modification of the electronic structure by an alkali metal surface electron doping opens a route to further control the electronic properties of TMDCs.

  6. Evaluating effects of thinning on wood quality in southeast Alaska

    Treesearch

    Eini C. Lowell; Dennis P. Dykstra; Robert A. Monserud

    2012-01-01

    We examined the effect of thinning on wood quality of western hemlock (Tsuga heterophylla) and Sitka spruce (Picea sitchensis) located on Prince of Wales and Mitkof Islands in southeast Alaska. Sample trees came from paired plots (thinned versus unthinned) in eight naturally regenerated, mixed stands of young-growth western...

  7. Long Range Ferromagnetic Order in LaCoO 3-δ epitaxial films due to the interplay of epitaxial strain and oxygen vacancy ordering

    DOE PAGES

    Mehta, Virat; Biskup, Nevenko; Arenholz, E; ...

    2015-04-23

    We demonstrate that a combination of electronic structure modification and oxygen vacancy ordering can stabilize a long-range ferromagnetic ground state in epitaxial LaCoO 3 thin films. Highest saturation magnetization values are found in the thin films in tension on SrTiO 3 and (La,Sr)(Al,Ta)O 3 substrates and the lowest values are found in thin films in compression on LaAlO 3. Electron microscopy reveals oxygen vacancy ordering to varying degrees in all samples, although samples with the highest magnetization are the most defective. Element-specific x-ray absorption techniques reveal the presence of high spin Co 2+ and Co 3+ as well as lowmore » spin Co 3+ in different proportions depending on the strain state. The interactions among the high spin Co ions and the oxygen vacancy superstructure are correlated with the stabilization of the long-range ferromagnetic order.« less

  8. Structural and electrical properties of single crystalline SrZrO3 epitaxially grown on Ge (001)

    NASA Astrophysics Data System (ADS)

    Lim, Z. H.; Ahmadi-Majlan, K.; Grimley, E. D.; Du, Y.; Bowden, M.; Moghadam, R.; LeBeau, J. M.; Chambers, S. A.; Ngai, J. H.

    2017-08-01

    We present structural and electrical characterization of SrZrO3 that has been epitaxially grown on Ge(001) by oxide molecular beam epitaxy. Single crystalline SrZrO3 can be nucleated on Ge via deposition at low temperatures followed by annealing at 550 °C in ultra-high vacuum. Photoemission spectroscopy measurements reveal that SrZrO3 exhibits a type-I band arrangement with respect to Ge, with conduction and valence band offsets of 1.4 eV and 3.66 eV, respectively. Capacitance-voltage and current-voltage measurements on 4 nm thick films reveal low leakage current densities and an unpinned Fermi level at the interface that allows modulation of the surface potential of Ge. Ultra-thin films of epitaxial SrZrO3 can thus be explored as a potential gate dielectric for Ge.

  9. Growth and Characterization of Large Scale (Sb1-xBix)2 Te3 Thin Films on Mica

    NASA Astrophysics Data System (ADS)

    Ni, Yan; Zhang, Zhen; Jiles, David

    2015-03-01

    Topological insulators (TIs) attract attentions for both fundamental science and potential applications because of their bulk band inversion arising from the strong spin orbital coupling. However, it is necessary to tune the Fermi level and Dirac cone in 3D TI (Sb1-xBix)2 Te3 to make an ideal system for TI applications. In this work, we report high quality (Sb1-xBix)2 Te3 thin films grown on mica substrate by molecular beam epitaxy. The surface roughness of the thin film can reach as low as 0.7 nm in a large area by van der Waals epitaxy. (Sb1-xBix)2 Te3 thin film with x = 0.04 shows a local maxima in the room temperature sheet resistance, which indicates a minimization of the carrier density due to band structure engineering. Moreover, for higher Bi concentration, due to an increase of the surface roughness and corresponding reduction of electron mobility, the sheet resistance increases. Our results on the feasibility of depositing (Sb1-xBix)2 Te3 in wide Bi range on mica substrate will helpful for the application of TI at room temperature and flexible electronics. Authors would like to thank the financial support from the U.S. National Science Foundation under the Award No. 1201883.

  10. Epitaxial Growth of Aligned and Continuous Carbon Nanofibers from Carbon Nanotubes.

    PubMed

    Lin, Xiaoyang; Zhao, Wei; Zhou, Wenbin; Liu, Peng; Luo, Shu; Wei, Haoming; Yang, Guangzhi; Yang, Junhe; Cui, Jie; Yu, Richeng; Zhang, Lina; Wang, Jiaping; Li, Qunqing; Zhou, Weiya; Zhao, Weisheng; Fan, Shoushan; Jiang, Kaili

    2017-02-28

    Exploiting the superior properties of nanomaterials at macroscopic scale is a key issue of nanoscience. Different from the integration strategy, "additive synthesis" of macroscopic structures from nanomaterial templates may be a promising choice. In this paper, we report the epitaxial growth of aligned, continuous, and catalyst-free carbon nanofiber thin films from carbon nanotube films. The fabrication process includes thickening of continuous carbon nanotube films by gas-phase pyrolytic carbon deposition and further graphitization of the carbon layer by high-temperature treatment. As-fabricated nanofibers in the film have an "annual ring" cross-section, with a carbon nanotube core and a graphitic periphery, indicating the templated growth mechanism. The absence of a distinct interface between the carbon nanotube template and the graphitic periphery further implies the epitaxial growth mechanism of the fiber. The mechanically robust thin film with tunable fiber diameters from tens of nanometers to several micrometers possesses low density, high electrical conductivity, and high thermal conductivity. Further extension of this fabrication method to enhance carbon nanotube yarns is also demonstrated, resulting in yarns with ∼4-fold increased tensile strength and ∼10-fold increased Young's modulus. The aligned and continuous features of the films together with their outstanding physical and chemical properties would certainly promote the large-scale applications of carbon nanofibers.

  11. Electrostatic transfer of epitaxial graphene to glass.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohta, Taisuke; Pan, Wei; Howell, Stephen Wayne

    2010-12-01

    We report on a scalable electrostatic process to transfer epitaxial graphene to arbitrary glass substrates, including Pyrex and Zerodur. This transfer process could enable wafer-level integration of graphene with structured and electronically-active substrates such as MEMS and CMOS. We will describe the electrostatic transfer method and will compare the properties of the transferred graphene with nominally-equivalent 'as-grown' epitaxial graphene on SiC. The electronic properties of the graphene will be measured using magnetoresistive, four-probe, and graphene field effect transistor geometries [1]. To begin, high-quality epitaxial graphene (mobility 14,000 cm2/Vs and domains >100 {micro}m2) is grown on SiC in an argon-mediated environmentmore » [2,3]. The electrostatic transfer then takes place through the application of a large electric field between the donor graphene sample (anode) and the heated acceptor glass substrate (cathode). Using this electrostatic technique, both patterned few-layer graphene from SiC(000-1) and chip-scale monolayer graphene from SiC(0001) are transferred to Pyrex and Zerodur substrates. Subsequent examination of the transferred graphene by Raman spectroscopy confirms that the graphene can be transferred without inducing defects. Furthermore, the strain inherent in epitaxial graphene on SiC(0001) is found to be partially relaxed after the transfer to the glass substrates.« less

  12. Parasitic phases at the origin of magnetic moment in BiFeO3 thin films grown by low deposition rate RF sputtering

    NASA Astrophysics Data System (ADS)

    Mori, Thiago J. A.; Mouls, Caroline L.; Morgado, Felipe F.; Schio, Pedro; Cezar, Júlio C.

    2017-09-01

    A series of epitaxial BiFeO3 thin films has been grown under high partial pressure in a pure O2 atmosphere, which leads to a low deposition rate. The samples grown under these conditions have presented an evolution of the quality of the epitaxy as the deposition temperature increases, however, spurious β- Bi2O3 and supertetragonal BiFeO3 phases are present in the films grown at higher temperatures. The presence of γ- Fe2O3 is reported in one growing condition, and has been attributed to the origin of hysteretic ferromagnetic behavior. A second kind of magnetism, with higher magnetic moment and anhysteretic behaviour, is attributed to the presence of mixed phases of BiFeO3.

  13. InGaP/GaAs Inverted Dual Junction Solar Cells For CPV Applications Using Metal-Backed Epitaxial Lift-Off

    NASA Astrophysics Data System (ADS)

    Bauhuis, Gerard J.; Mulder, Peter; Haverkamp, Erik J.; Schermer, John J.; Nash, Lee J.; Fulgoni, Dominic J. F.; Ballard, Ian M.; Duggan, Geoffrey

    2010-10-01

    The epitaxial lift-off (ELO) technique has been combined with inverted III-V PV cell epitaxial growth with the aim of employing thin film PV cells in HCPV systems. In a stepwise approach to the realization of an inverted triple junction on a MELO platform we have first grown a GaAs single junction PV cell to establish the basic layer release process and cell processing steps followed by the growth, fabrication and test of an inverted InGaP/GaAs dual junction structure.

  14. Comparison of effective transverse piezoelectric coefficients e31,f of Pb(Zr,Ti)O3 thin films between direct and converse piezoelectric effects

    NASA Astrophysics Data System (ADS)

    Tsujiura, Yuichi; Kawabe, Saneyuki; Kurokawa, Fumiya; Hida, Hirotaka; Kanno, Isaku

    2015-10-01

    We evaluated the effective transverse piezoelectric coefficients (e31,f) of Pb(Zr,Ti)O3 (PZT) thin films from both the direct and converse piezoelectric effects of unimorph cantilevers. (001) preferentially oriented polycrystalline PZT thin films and (001)/(100) epitaxial PZT thin films were deposited on (111)Pt/Ti/Si and (001)Pt/MgO substrates, respectively, by rf-magnetron sputtering, and their piezoelectric responses owing to intrinsic and extrinsic effects were examined. The direct and converse |e31,f| values of the polycrystalline PZT thin films were calculated as 6.4 and 11.5-15.0 C/m2, respectively, whereas those of the epitaxial PZT thin films were calculated as 3.4 and 4.6-4.8 C/m2, respectively. The large |e31,f| of the converse piezoelectric property of the polycrystalline PZT thin films is attributed to extrinsic piezoelectric effects. Furthermore, the polycrystalline PZT thin films show a clear nonlinear piezoelectric contribution, which is the same as the Rayleigh-like behavior reported in bulk PZT. In contrast, the epitaxial PZT thin films on the MgO substrate show a piezoelectric response owing to the intrinsic and linear extrinsic effects, and no nonlinear contribution was observed.

  15. Exploring Ag(111) Substrate for Epitaxially Growing Monolayer Stanene: A First-Principles Study

    PubMed Central

    Gao, Junfeng; Zhang, Gang; Zhang, Yong-Wei

    2016-01-01

    Stanene, a two-dimensional topological insulator composed of Sn atoms in a hexagonal lattice, is a promising contender to Si in nanoelectronics. Currently it is still a significant challenge to achieve large-area, high-quality monolayer stanene. We explore the potential of Ag(111) surface as an ideal substrate for the epitaxial growth of monolayer stanene. Using first-principles calculations, we study the stability of the structure of stanene in different epitaxial relations with respect to Ag(111) surface, and also the diffusion behavior of Sn adatom on Ag(111) surface. Our study reveals that: (1) the hexagonal structure of stanene monolayer is well reserved on Ag(111) surface; (2) the height of epitaxial stanene monolayer is comparable to the step height of the substrate, enabling the growth to cross the surface step and achieve a large-area stanene; (3) the perfect lattice structure of free-standing stanene can be achieved once the epitaxial stanene monolayer is detached from Ag(111) surface; and finally (4) the diffusion barrier of Sn adatom on Ag(111) surface is found to be only 0.041 eV, allowing the epitaxial growth of stanene monolayer even at low temperatures. Our above revelations strongly suggest that Ag(111) surface is an ideal candidate for growing large-area, high-quality monolayer stanene. PMID:27373464

  16. Structure and conductivity of epitaxial thin films of barium ferrite and its hydrated form BaFeO2.5-x+δ (OH)2x

    NASA Astrophysics Data System (ADS)

    Anitha Sukkurji, Parvathy; Molinari, Alan; Benes, Alexander; Loho, Christoph; Sai Kiran Chakravadhanula, Venkata; Garlapati, Suresh Kumar; Kruk, Robert; Clemens, Oliver

    2017-03-01

    Barium ferrite and its hydrated form (BaFeO2.5-x+δ (OH)2x , BFO) is an interesting cathode material for protonic ceramic fuel cells (PCFC) due to its potential to be both, conducting for electrons and protons. We report on the fabrication of almost epitaxially grown thin films (22 nm) of barium ferrite BaFeO~2.5 (BFO) on Nb-doped SrTiO3 substrates via pulsed laser deposition (PLD), followed by treatment under inert, and subsequently wet inert atmospheres to induce water (respectively proton) incorporation. Microstructure, chemical composition and conducting properties are investigated for the BFO films and their hydrated forms, highlighting the influence of hydration on the conductivity characteristics between ~200-290 K. We find that water incorporation gives a strong enhancement of the conductivity to ~10-9 S cm-1 compared to argon annealed films, inducing electronic and protonic charge carriers at the same time. In comparison to bulk powders, proton conductivity is found to be strongly suppressed in such thin hydrated BFO films, pointing towards the influence of strain on the conductivity, which is evaluated based on a detailed investigation by high-resolution transmission electron microscopy.

  17. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    NASA Astrophysics Data System (ADS)

    Shintri, Shashidhar S.

    /Ge/(211)Si was achieved by block co-polymer (BCP) lithography. Conditions for selective CdTe epitaxy was achieved and results showed different defect propagation mechanism at the patterned interface compared to the films grown on blanket Si. In another study, patterning of ˜360 nm holes in SiO2/(211)Si was done by molecular transfer lithography (MxL). Conditions for selective Ge and CdTe epitaxy were achieved which was the most challenging part of this work. Thin CdTe films were characterized to check the effect of nanopatterning. Certain results invariably showed that CdTe grown on nanopatterned substrates demonstrated promise of defect reduction and blocking close to the growth interface. But presently, nanopatterning also offers some serious challenges such as uniformity of patterns and substrate cleaning prior to growth for successful implementation of epitaxy on very large areas. Such factors resulted in degradation of overall crystal quality and will be discussed in this work. This is the first successful demonstration of selective (211)B CdTe epitaxy on Si by MOVPE using some of the relatively novel and promising nanopatterning techniques.

  18. Strain-relaxation and critical thickness of epitaxial La 1.85Sr 0.15CuO 4 films

    DOE PAGES

    Meyer, Tricia L; Jiang, Lu; Park, Sungkyun; ...

    2015-12-08

    We report the thickness-dependent strain-relaxation behavior and the associated impacts upon the superconductivity in epitaxial La 1.85Sr 0.15CuO 4 films grown on different substrates, which provide a range of strain. We have found that the critical thickness for the onset of superconductivity in La 1.85Sr 0.15CuO 4 films is associated with the finite thickness effect and epitaxial strain. In particular, thin films with tensile strain greater than ~0.25% revealed no superconductivity. We attribute this phenomenon to the inherent formation of oxygen vacancies that can be minimized via strain relaxation.

  19. Dislocations Accelerate Oxygen Ion Diffusion in La 0.8Sr 0.2MnO 3 Epitaxial Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Navickas, Edvinas; Chen, Yan; Lu, Qiyang

    Revealing whether dislocations accelerate oxygen ion transport is important for providing abilities in tuning the ionic conductivity of ceramic materials. In this study, we report how dislocations affect oxygen ion diffusion in Sr-doped LaMnO 3 (LSM), a model perovskite oxide that serves in energy conversion technologies. LSM epitaxial thin films with thicknesses ranging from 10 nm to more than 100 nm were prepared by pulsed laser deposition on single-crystal LaAlO 3 and SrTiO 3 substrates. The lattice mismatch between the film and substrates induces compressive or tensile in-plane strain in the LSM layers. This lattice strain is partially reduced bymore » dislocations, especially in the LSM films on LaAlO 3. Oxygen isotope exchange measured by secondary ion mass spectrometry revealed the existence of at least two very different diffusion coefficients in the LSM films on LaAlO 3. In conclusion, the diffusion profiles can be quantitatively explained by the existence of fast oxygen ion diffusion along threading dislocations that is faster by up to 3 orders of magnitude compared to that in LSM bulk.« less

  20. Dislocations Accelerate Oxygen Ion Diffusion in La0.8Sr0.2MnO3 Epitaxial Thin Films

    PubMed Central

    2017-01-01

    Revealing whether dislocations accelerate oxygen ion transport is important for providing abilities in tuning the ionic conductivity of ceramic materials. In this study, we report how dislocations affect oxygen ion diffusion in Sr-doped LaMnO3 (LSM), a model perovskite oxide that serves in energy conversion technologies. LSM epitaxial thin films with thicknesses ranging from 10 nm to more than 100 nm were prepared by pulsed laser deposition on single-crystal LaAlO3 and SrTiO3 substrates. The lattice mismatch between the film and substrates induces compressive or tensile in-plane strain in the LSM layers. This lattice strain is partially reduced by dislocations, especially in the LSM films on LaAlO3. Oxygen isotope exchange measured by secondary ion mass spectrometry revealed the existence of at least two very different diffusion coefficients in the LSM films on LaAlO3. The diffusion profiles can be quantitatively explained by the existence of fast oxygen ion diffusion along threading dislocations that is faster by up to 3 orders of magnitude compared to that in LSM bulk. PMID:28981249

  1. Oxygen vacancy induced structural evolution of SrFeO3 -x epitaxial thin film from brownmillerite to perovskite

    NASA Astrophysics Data System (ADS)

    Roh, Seulki; Lee, Seokbae; Lee, Myounghoon; Seo, Yu-Seong; Khare, Amit; Yoo, Taesup; Woo, Sungmin; Choi, Woo Seok; Hwang, Jungseek; Glamazda, A.; Choi, K.-Y.

    2018-02-01

    We investigated SrFeO3 -x thin films on a SrTiO3 (001) substrate prepared via pulsed laser epitaxy using an optical spectroscopy technique. The oxygen vacancy level (x ) was controlled by post-annealing processes at different oxygen partial pressures. We achieved a brownmillerite (BM) structure at x =0.5 and observed the evolution of the crystal structure from BM into perovskite (PV) as the oxygen concentration increased. We observed the evolution of infrared-active phonons with respect to the oxygen concentration, which was closely related to the structural evolution observed via x-ray diffraction. We identified the phonons using the shell-model calculation. Furthermore, we studied temperature-dependent behaviors of the phonon modes of three representative samples: PV and two BMs (BMoop and BMip) with different orientations of the oxygen vacancy channel. In the BMoop sample, we observed a phonon mode, which exhibited an unusual redshift with decreasing temperature; this behavior may have been due to the apical oxygen instability in the FeO6 octahedron. Our results provide important information regarding the ionic conduction mechanism in SrFeO3 -x material systems.

  2. Dislocations Accelerate Oxygen Ion Diffusion in La 0.8Sr 0.2MnO 3 Epitaxial Thin Films

    DOE PAGES

    Navickas, Edvinas; Chen, Yan; Lu, Qiyang; ...

    2017-10-05

    Revealing whether dislocations accelerate oxygen ion transport is important for providing abilities in tuning the ionic conductivity of ceramic materials. In this study, we report how dislocations affect oxygen ion diffusion in Sr-doped LaMnO 3 (LSM), a model perovskite oxide that serves in energy conversion technologies. LSM epitaxial thin films with thicknesses ranging from 10 nm to more than 100 nm were prepared by pulsed laser deposition on single-crystal LaAlO 3 and SrTiO 3 substrates. The lattice mismatch between the film and substrates induces compressive or tensile in-plane strain in the LSM layers. This lattice strain is partially reduced bymore » dislocations, especially in the LSM films on LaAlO 3. Oxygen isotope exchange measured by secondary ion mass spectrometry revealed the existence of at least two very different diffusion coefficients in the LSM films on LaAlO 3. In conclusion, the diffusion profiles can be quantitatively explained by the existence of fast oxygen ion diffusion along threading dislocations that is faster by up to 3 orders of magnitude compared to that in LSM bulk.« less

  3. Frequency response improvement of a two-port surface acoustic wave device based on epitaxial AlN thin film

    NASA Astrophysics Data System (ADS)

    Gao, Junning; Hao, Zhibiao; Luo, Yi; Li, Guoqiang

    2018-01-01

    This paper presents an exploration on improving the frequency response of the symmetrical two-port AlN surface acoustic wave (SAW) device, using epitaxial AlN thin film on (0001) sapphire as the piezoelectric substrate. The devices were fabricated by lift-off processes with Ti/Al composite electrodes as interleaved digital transducers (IDT). The impact of DL and the number of the IDT finger pairs on the frequency response was carefully investigated. The overall properties of the device are found to be greatly improved with DL elongation, indicated by the reduced pass band ripple and increased stop band rejection ratio. The rejection increases by 8.3 dB when DL elongates from 15.5λ to 55.5λ and 4.4 dB further accompanying another 50λ elongation. This is because larger DL repels the stray acoustic energy out of the propagation path and provides a cleaner traveling channel for functional SAW, and at the same time restrains electromagnetic feedthrough. It is also found that proper addition of the IDT finger pairs is beneficial for the device response, indicated by the ripple reduction and the insertion loss drop.

  4. Modeling and Optimization for Epitaxial Growth: Transport and Growth Studies

    DTIC Science & Technology

    1999-01-01

    effect . It is expressed as the sum of these two components j i jC i jT i where jC i and jT i denote the concentration driven and thermally driven...improve manufacturing effectiveness for epitaxial growth of silicon and silicon-germanium (Si-Ge) thin films on a silicon wafer. Growth takes place in the...non-uniformity to compensate for the effects of other phenomena such as reactant depletion, gas heating and gas phase reactions, thermal diffusion of

  5. Neutron Diffraction Investigations of Magnetism in BiFeO3 Epitaxial Films

    DTIC Science & Technology

    2011-01-01

    magnetism;epitaxial thin film;BiFeO3 Daisuke Kan, Wangchun Chen, Shannon Watson, Songxue Chi, Ross Erwin, Garry J. McIntyre, Sylvia C. Capelli, William ...Weinheim 1567wileyonlinelibrary.comAdv. Funct. Mater. 2011, 21, 1567–1574 William Ratcliff II , * Daisuke Kan , * Wangchun Chen , Shannon Watson...15 ] S. W. Lovesey , Theory of Neutron Scattering from Condensed Matter vol. 2 Oxford , New York , 1984 . [ 16 ] W. Gavin Williams

  6. Study of thin film growth kinetics of homoepitaxy by molecular beam epitaxy and pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Shin, Byungha

    This thesis presents an extensive study of the growth kinetics during low temperature homoepitaxy by Molecular Beam Epitaxy (MBE) and Pulsed Laser Deposition (PLD) of our model system Ge(001). The range of the study covers from the sub-monolayer (sub-ML) regime to the later stage where film thickness amounts to a few thousand MLs; it also covers epitaxial breakdown in which epitaxial growth is no longer sustained and the growing phase becomes amorphous. First, we have conducted a systematic investigation of the phase shift of the RHEED intensity oscillations during Ge(001) homoepitaxy MBE for a wide range of diffraction conditions. We conclude that the phase shift is caused by the overlap of the specular spot and the Kikuchi features, in contrast to models involving dynamical scattering theory for the phase shift. We have studied the sub-ML growth of Ge(001) homoepitaxy by MBE at low temperatures using RHEED intensity oscillations obtained for a range of low incidence angles where the influence of the dynamical nature of electron scattering such as the Kikuchi features is minimized. We have developed a new model for RHEED specular intensity that includes the diffuse scattering off surface steps and the layer interference between terraces of different heights using the kinematic approximation. By using the model to interpret the measured RHEED intensity, we find the evolution of the coverage of the first 2--3 layers, from which we infer the ES barrier height to be 0.077 +/- 0.014 eV. Finally, using a dual MBE-PLD UHV chamber, we have conducted experiments under identical thermal, background, and surface preparation conditions to compare Ge(001) homoepitaxial growth morphology in PLD and MBE at low temperatures. To isolate the effect of kinetic energy of depositing species during PLD, we varied the average kinetic energy: ˜450 eV in PLD-HKE, ˜300 eV in PLD-LKE, and <1 eV in PLD-TH. At 150°C, we find that in PLD-LKE and in MBE the film morphology evolves in a

  7. Selective Epitaxial Graphene Growth on SiC via AlN Capping

    NASA Astrophysics Data System (ADS)

    Zaman, Farhana; Rubio-Roy, Miguel; Moseley, Michael; Lowder, Jonathan; Doolittle, William; Berger, Claire; Dong, Rui; Meindl, James; de Heer, Walt; Georgia Institute of Technology Team

    2011-03-01

    Electronic-quality graphene is epitaxially grown by graphitization of carbon-face silicon carbide (SiC) by the sublimation of silicon atoms from selected regions uncapped by aluminum nitride (AlN). AlN (deposited by molecular beam epitaxy) withstands high graphitization temperatures of 1420o C, hence acting as an effective capping layer preventing the growth of graphene under it. The AlN is patterned and etched to open up windows onto the SiC surface for subsequent graphitization. Such selective epitaxial growth leads to the formation of high-quality graphene in desired patterns without the need for etching and lithographic patterning of graphene itself. No detrimental contact of the graphene with external chemicals occurs throughout the fabrication-process. The impact of process-conditions on the mobility of graphene is investigated. Graphene hall-bars were fabricated and characterized by scanning Raman spectroscopy, ellipsometry, and transport measurements. This controlled growth of graphene in selected regions represents a viable approach to fabrication of high-mobility graphene as the channel material for fast-switching field-effect transistors.

  8. The role of defects in the electrical properties of NbO2thin film vertical devices

    NASA Astrophysics Data System (ADS)

    Joshi, Toyanath; Borisov, Pavel; Lederman, David

    Epitaxial NbO2 thin films were grown on Si:GaN layers deposited on Al2O3 substrates using pulsed laser deposition. Pulsed current-voltage (IV) curves and self-sustained current oscillations were measured across a 31 nm NbO2 film and compared with a similar device made from polycrystalline NbO2 film grown on TiN-coated SiO2/Si substrate. Crystal quality of the as grown films was determined from x-ray diffractometric, x-ray photoelectron spectroscopy and atomic force microscopy data. The epitaxial film device was found to be more stable than the defect-rich polycrystalline sample in terms of current switching and oscillation behaviors. This work was supported in part by FAME, one of six centers of STARnet, a Semiconductor Research Corporation program sponsored by MARCO and DARPA (Contract 2013-MA-2382), and the WVU Shared Research Facilities.

  9. In vacancies in InN grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Reurings, Floris; Tuomisto, Filip; Gallinat, Chad S.; Koblmüller, Gregor; Speck, James S.

    2010-12-01

    The authors have applied positron annihilation spectroscopy to study the effect of different growth conditions on vacancy formation in In- and N-polar InN grown by plasma-assisted molecular beam epitaxy. The results suggest that the structural quality of the material and limited diffusion of surface adatoms during growth dictate the In vacancy formation in low electron-density undoped epitaxial InN, while growth conditions and thermodynamics have a less important role, contrary to what is observed in, e.g., GaN. Furthermore, the results imply that in high quality InN, the electron mobility is likely limited not by ionized point defect scattering, but rather by threading dislocations.

  10. Nanostructural origin of semiconductivity and large magnetoresistance in epitaxial NiCo2O4/Al2O3 thin films

    NASA Astrophysics Data System (ADS)

    Zhen, Congmian; Zhang, XiaoZhe; Wei, Wengang; Guo, Wenzhe; Pant, Ankit; Xu, Xiaoshan; Shen, Jian; Ma, Li; Hou, Denglu

    2018-04-01

    Despite low resistivity (~1 mΩ cm), metallic electrical transport has not been commonly observed in inverse spinel NiCo2O4, except in certain epitaxial thin films. Previous studies have stressed the effect of valence mixing and the degree of spinel inversion on the electrical conduction of NiCo2O4 films. In this work, we studied the effect of nanostructural disorder by comparing the NiCo2O4 epitaxial films grown on MgAl2O4 (1 1 1) and on Al2O3 (0 0 1) substrates. Although the optimal growth conditions are similar for the NiCo2O4 (1 1 1)/MgAl2O4 (1 1 1) and the NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films, they show metallic and semiconducting electrical transport, respectively. Post-growth annealing decreases the resistivity of NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films, but the annealed films are still semiconducting. While the semiconductivity and the large magnetoresistance in NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films cannot be accounted for in terms of non-optimal valence mixing and spinel inversion, the presence of anti-phase boundaries between nano-sized crystallites, generated by the structural mismatch between NiCo2O4 and Al2O3, may explain all the experimental observations in this work. These results reveal nanostructural disorder as being another key factor for controlling the electrical transport of NiCo2O4, with potentially large magnetoresistance for spintronics applications.

  11. Topological insulator film growth by molecular beam epitaxy: A review

    DOE PAGES

    Ginley, Theresa P.; Wang, Yong; Law, Stephanie

    2016-11-23

    In this article, we will review recent progress in the growth of topological insulator (TI) thin films by molecular beam epitaxy (MBE). The materials we focus on are the V 2-VI 3 family of TIs. These materials are ideally bulk insulating with surface states housing Dirac excitations which are spin-momentum locked. These surface states are interesting for fundamental physics studies (such as the search for Majorana fermions) as well as applications in spintronics and other fields. However, the majority of TI films and bulk crystals exhibit significant bulk conductivity, which obscures these states. In addition, many TI films have amore » high defect density. This review will discuss progress in reducing the bulk conductivity while increasing the crystal quality. We will describe in detail how growth parameters, substrate choice, and growth technique influence the resulting TI film properties for binary and ternary TIs. We then give an overview of progress in the growth of TI heterostructures. Furthermore, we close by discussing the bright future for TI film growth by MBE.« less

  12. Interfacing epitaxial oxides to gallium nitride

    NASA Astrophysics Data System (ADS)

    Losego, Mark Daniel

    Molecular beam epitaxy (MBE) is lauded for its ability to control thin film material structures at the atomic level. This precision of control can improve performance of microelectronic devices and cultivate the development of novel device structures. This thesis explores the utility of MBE for designing interfaces between oxide epilayers and the wide band gap semiconductor gallium nitride (GaN). The allure of wide gap semiconductor microelectronics (like GaN, 3.4 eV) is their ability to operate at higher frequencies, higher powers, and higher temperatures than current semiconductor platforms. Heterostructures between ferroelectric oxides and GaN are also of interest for studying the interaction between GaN's fixed polarization and the ferroelectric's switchable polarization. Two major obstacles to successful integration of oxides with GaN are: (1) interfacial trap states; and (2) small electronic band offsets across the oxide/nitride interface due to the semiconductor's large band gap. For this thesis, epitaxial rocksalt oxide interfacial layers (˜8 eV band gap) are investigated as possible solutions to overcoming the challenges facing oxide integration with GaN. The cubic close-packed structure of rocksalt oxides forms a suitable epitaxial interface with the hexagonal close-packed wurtzite lattice of GaN. Three rocksalt oxide compounds are investigated in this thesis: MgO, CaO, and YbO. All are found to have a (111) MO || (0001) GaN; <1 10> MO || <11 20> GaN epitaxial relationship. Development of the epilayer microstructure is dominated by the high-energy polar growth surface (drives 3D nucleation) and the interfacial symmetry, which permits the formation of twin boundaries. Using STEM, strain relief for these ionicly bonded epilayers is observed to occur through disorder within the initial monolayer of growth. All rocksalt oxides demonstrate chemical stability with GaN to >1000°C. Concurrent MBE deposition of MgO and CaO is known to form complete solid

  13. Fabrication of Single Crystal Gallium Phosphide Thin Films on Glass.

    PubMed

    Emmer, Hal; Chen, Christopher T; Saive, Rebecca; Friedrich, Dennis; Horie, Yu; Arbabi, Amir; Faraon, Andrei; Atwater, Harry A

    2017-07-05

    Due to its high refractive index and low absorption coefficient, gallium phosphide is an ideal material for photonic structures targeted at the visible wavelengths. However, these properties are only realized with high quality epitaxial growth, which limits substrate choice and thus possible photonic applications. In this work, we report the fabrication of single crystal gallium phosphide thin films on transparent glass substrates via transfer bonding. GaP thin films on Si (001) and (112) grown by MOCVD are bonded to glass, and then the growth substrate is removed with a XeF 2 vapor etch. The resulting GaP films have surface roughnesses below 1 nm RMS and exhibit room temperature band edge photoluminescence. Magnesium doping yielded p-type films with a carrier density of 1.6 × 10 17  cm -3 that exhibited mobilities as high as 16 cm 2 V -1 s -1 . Due to their unique optical properties, these films hold much promise for use in advanced optical devices.

  14. In situ monitoring of atomic layer epitaxy via optical ellipsometry

    NASA Astrophysics Data System (ADS)

    Lyzwa, F.; Marsik, P.; Roddatis, V.; Bernhard, C.; Jungbauer, M.; Moshnyaga, V.

    2018-03-01

    We report on the use of time-resolved optical ellipsometry to monitor the deposition of single atomic layers with subatomic sensitivity. Ruddlesden-Popper thin films of SrO(SrTiO3) n=4 were grown by means of metalorganic aerosol deposition in the atomic layer epitaxy mode on SrTiO3(1 0 0), LSAT(1 0 0) and DyScO3(1 1 0) substrates. The measured time dependences of ellipsometric angles, Δ(t) and Ψ(t), were described by using a simple optical model, considering the sequence of atomic layers SrO and TiO2 with corresponding bulk refractive indices. As a result, valuable online information on the atomic layer epitaxy process was obtained. Ex situ characterization techniques, i.e. transmission electron microscopy, x-ray diffraction and x-ray reflectometry verify the crystal structure and confirm the predictions of optical ellipsometry.

  15. Interpreting plasmonic response of epitaxial Ag/Si(100) island ensembles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kong, Dexin; Jiang, Liying; Drucker, Jeff

    Associating features in the experimentally measured optical response of epitaxial Ag islands grown on Si(100) with the localized surface plasmon resonances (LSPRs) hosted by the Ag islands is challenging due to the variation of the Si dielectric function over the energy range under consideration. However, it is possible to conclusively identify features in the experimental spectra with LSPR modes oscillating both parallel and perpendicular to the epitaxial interface by simulating the optical response. The Abeles matrix method is used to describe the composite layered system and the Ag islands are modeled using the thin island film model developed by Bedeauxmore » and Vlieger. By incorporating island morphology parameters determined by quantitative analysis of electron micrographs, the simulation faithfully reproduces the main features of the experimental spectra. Individually zeroing the dipoles associated with the LSPR modes enables conclusive identification of their contribution to the optical response of the composite system.« less

  16. Transferring-free and large-area graphitic carbon film growth by using molecular beam epitaxy at low growth temperature

    NASA Astrophysics Data System (ADS)

    Lin, Meng-Yu; Wang, Cheng-Hung; Pao, Chun-Wei; Lin, Shih-Yen

    2015-09-01

    Graphitic carbon films prepared by using molecular beam epitaxy (MBE) on metal templates with different thicknesses deposited on SiO2/Si substrates are investigated in this paper. With thick Cu templates, only graphitic carbon flakes are obtained near the Cu grain boundaries at low growth temperatures on metal/SiO2 interfaces. By replacing the Cu templates with thin Ni templates, complete graphitic carbon films with superior crystalline quality is obtained at 600 °C on SiO2/Si substrates after removing the Ni templates. The enhanced attachment of the graphitic carbon film to the SiO2/Si substrates with reduced Ni thickness makes the approach a promising approach for transferring-free graphene preparation at low temperature by using MBE.

  17. Electronic structure, surface doping, and optical response in epitaxial WSe 2 thin films

    DOE PAGES

    Zhang, Yi; Ugeda, Miguel M.; Jin, Chenhao; ...

    2016-03-14

    High quality WSe 2 films have been grown on bilayer graphene (BLG) with layer-by-layer control of thickness using molecular beam epitaxy. The combination of angle-resolved photoemission, scanning tunneling microscopy/spectroscopy, and optical absorption measurements reveal the atomic and electronic structures evolution and optical response of WSe 2/BLG. We observe that a bilayer of WSe 2 is a direct bandgap semiconductor, when integrated in a BLG-based heterostructure, thus shifting the direct–indirect band gap crossover to trilayer WSe 2. In the monolayer limit, WSe 2 shows a spin-splitting of 475 meV in the valence band at the K point, the largest value observedmore » among all the MX 2 (M = Mo, W; X = S, Se) materials. The exciton binding energy of monolayer-WSe 2/BLG is found to be 0.21 eV, a value that is orders of magnitude larger than that of conventional three-dimensional semiconductors, yet small as compared to other two-dimensional transition metal dichalcogennides (TMDCs) semiconductors. Lastly, our finding regarding the overall modification of the electronic structure by an alkali metal surface electron doping opens a route to further control the electronic properties of TMDCs.« less

  18. Strain-induced nanostructure of Pb(Mg1/3Nb2/3)O3-PbTiO3 on SrTiO3 epitaxial thin films with low PbTiO3 concentration

    NASA Astrophysics Data System (ADS)

    Kiguchi, Takanori; Fan, Cangyu; Shiraishi, Takahisa; Konno, Toyohiko J.

    2017-10-01

    The singularity of the structure in (1 - x)Pb(Mg1/3Nb2/3)O3-xPbTiO3 (PMN-xPT) (x = 0-50 mol %) epitaxial thin films of 100 nm thickness was investigated from the viewpoint of the localized residual strain in the nanoscale. The films were deposited on SrTiO3 (STO) (001) single-crystal substrates by chemical solution deposition (CSD) using metallo-organic decomposition (MOD) solutions. X-ray and electron diffraction patterns revealed that PMN-xPT thin films included a single phase of the perovskite-type structure with the cube-on-cube orientation relationship between PMN-xPT and STO: (001)Film ∥ (001)Sub, [100]Film ∥ [100]Sub. X-ray reciprocal space maps showed an in-plane tensile strain in all the compositional ranges considered. Unit cells in the films were strained from the rhombohedral (pseudocubic) (R) phase to a lower symmetry crystal system, the monoclinic (MB) phase. The morphotropic phase boundary (MPB) that split the R and tetragonal (T) phases was observed at x = 30-35 for bulk crystals of PMN-xPT, whereas the strain suppressed the transformation from the R phase to the T phase in the films up to x = 50. High-angle annular dark field-scanning transmission electron microscopy (HAADF-STEM) analysis and its related local strain analysis revealed that all of the films have a bilayer morphology. The nanoscale strained layer formed only above the film/substrate semi-coherent interface. The misfit dislocations generated the localized and periodic strain fields deformed the unit cells between the dislocation cores from the R to an another type of the monoclinic (MA) phase. Thus, the singular and localized residual strains in the PMN-xPT/STO (001) epitaxial thin films affect the phase stability around the MPB composition and result in the MPB shift phenomena.

  19. Room-Temperature Multiferroics and Thermal Conductivity of 0.85BiFe1-2xTixMgxO3-0.15CaTiO3 Epitaxial Thin Films (x = 0.1 and 0.2).

    PubMed

    Zhang, Ji; Sun, Wei; Zhao, Jiangtao; Sun, Lei; Li, Lei; Yan, Xue-Jun; Wang, Ke; Gu, Zheng-Bin; Luo, Zhen-Lin; Chen, Yanbin; Yuan, Guo-Liang; Lu, Ming-Hui; Zhang, Shan-Tao

    2017-08-02

    Thin films of 0.85BiFe 1-2x Ti x Mg x O 3 -0.15CaTiO 3 (x = 0.1 and 0.2, abbreviated to C-1 and C-2, respectively) have been fabricated on (001) SrTiO 3 substrate with and without a conductive La 0.7 Sr 0.3 MnO 3 buffer layer. The X-ray θ-2θ and ϕ scans, atomic force microscopy, and cross-sectional transmission electron microscopy confirm the (001) epitaxial nature of the thin films with very high growth quality. Both the C-1 and C-2 thin films show well-shaped magnetization-magnetic field hysteresis at room temperature, with enhanced switchable magnetization values of 145.3 and 42.5 emu/cm 3 , respectively. The polarization-electric loops and piezoresponse force microscopy measurements confirm the room-temperature ferroelectric nature of both films. However, the C-1 films illustrate a relatively weak ferroelectric behavior and the poled states are easy to relax, whereas the C-2 films show a relatively better ferroelectric behavior with stable poled states. More interestingly, the room-temperature thermal conductivity of C-1 and C-2 films are measured to be 1.10 and 0.77 W/(m·K), respectively. These self-consistent multiferroic properties and thermal conductivities are discussed by considering the composition-dependent content and migration of Fe-induced electrons and/or charged point defects. This study not only provides multifunctional materials with excellent room-temperature magnetic, ferroelectric, and thermal conductivity properties but may also stimulate further work to develop BiFeO 3 -based materials with unusual multifunctional properties.

  20. Growth of high-Sn content (28%) GeSn alloy films by sputtering epitaxy

    NASA Astrophysics Data System (ADS)

    Zheng, Jun; Liu, Zhi; Zhang, Yongwang; Zuo, Yuhua; Li, Chuanbo; Xue, Chunlai; Cheng, Buwen; Wang, Qiming

    2018-06-01

    Crystalline GeSn thin films with Sn content up to 0.28 were deposited on Sn graded GeSn buffer on a Ge substrate at low temperatures by sputtering epitaxy. The structural properties of the high-Sn content GeSn alloy films were characterized by high resolution transmission electron microscopy and X-ray diffraction. The effect of annealing on the segregation of Sn in the high-Sn content GeSn film was investigated, and both the Ge0.72Sn0.28 and the Ge0.8Sn0.2 films were found to be stable after annealing at temperatures below 400 °C, which meets the needs of thermal budget for future photonic devices fabrication. The present results indicate that sputtering epitaxy is cost-effective method for growing high-Sn GeSn films.

  1. Epitaxial growth of hybrid nanostructures

    NASA Astrophysics Data System (ADS)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  2. Long-range stripe nanodomains in epitaxial (110) BiFeO 3 thin films on (100) NdGaO 3 substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, Yogesh; Agarwal, Radhe; Phatak, Charudatta

    Here, we report the observation of ferroelectric and ferroelastic nanodomains in (110)-oriented BiFeO 3 (BFO) thin films epitaxially grown on low symmetric (100) NdGaO 3 (NGO) substrate. We observed long range ordering of ferroelectric 109° stripe nanodomains separated by periodic vertical domain walls in as-grown 130 nm thick BFO films. The effect of La 0.67Sr 0.33CoO 3 (LSCO) conducting interlayer on domain configurations in BFO/NGO film was also observed with relatively short range-ordering of stripe domains due to the modified electrostatic boundary conditions in BFO/LSCO/NGO film. Additional studies on B-site doping of Nb ions in BFO films showed change inmore » the domain structures due to doping induced change in lattice anisotropy while maintaining the stripe domain morphology with 109° domain wall. Finally, this long-range array of ferroelectric and ferroelastic domains can be useful for optoelectronic devices and ferroelastic templates for strain coupled artificial magnetoelectric heterostructures.« less

  3. Long-range stripe nanodomains in epitaxial (110) BiFeO 3 thin films on (100) NdGaO 3 substrate

    DOE PAGES

    Sharma, Yogesh; Agarwal, Radhe; Phatak, Charudatta; ...

    2017-07-07

    Here, we report the observation of ferroelectric and ferroelastic nanodomains in (110)-oriented BiFeO 3 (BFO) thin films epitaxially grown on low symmetric (100) NdGaO 3 (NGO) substrate. We observed long range ordering of ferroelectric 109° stripe nanodomains separated by periodic vertical domain walls in as-grown 130 nm thick BFO films. The effect of La 0.67Sr 0.33CoO 3 (LSCO) conducting interlayer on domain configurations in BFO/NGO film was also observed with relatively short range-ordering of stripe domains due to the modified electrostatic boundary conditions in BFO/LSCO/NGO film. Additional studies on B-site doping of Nb ions in BFO films showed change inmore » the domain structures due to doping induced change in lattice anisotropy while maintaining the stripe domain morphology with 109° domain wall. Finally, this long-range array of ferroelectric and ferroelastic domains can be useful for optoelectronic devices and ferroelastic templates for strain coupled artificial magnetoelectric heterostructures.« less

  4. Vertical III-nitride thin-film power diode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wierer, Jr., Jonathan; Fischer, Arthur J.; Allerman, Andrew A.

    2017-03-14

    A vertical III-nitride thin-film power diode can hold off high voltages (kV's) when operated under reverse bias. The III-nitride device layers can be grown on a wider bandgap template layer and growth substrate, which can be removed by laser lift-off of the epitaxial device layers grown thereon.

  5. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    NASA Astrophysics Data System (ADS)

    Ye, Ning

    Understanding heat transport at nanometer and sub-nanometer lengthscales is critical to solving a wide range of technological challenges related to thermal management and energy conversion. In particular, finite Interfacial Thermal Conductance (ITC) often dominates transport whenever multiple interfaces are closely spaced together or when heat originates from sources that are highly confined by interfaces. Examples of the former include superlattices, thin films, quantum cascade lasers, and high density nanocomposites. Examples of the latter include FinFET transistors, phase-change memory, and the plasmonic transducer of a heat-assisted magnetic recording head. An understanding of the physics of such interfaces is still lacking, in part because experimental investigations to-date have not bothered to carefully control the structure of interfaces studied, and also because the most advanced theories have not been compared to the most robust experimental data. This thesis aims to resolve this by investigating ITC between a range of clean and structurally well-characterized metal-semiconductor interfaces using the Time-Domain Thermoreflectance (TDTR) experimental technique, and by providing theoretical/computational comparisons to the experimental data where possible. By studying the interfaces between a variety of materials systems, each with unique aspects to their tunability, I have been able to answer a number of outstanding questions regarding the importance of interfacial quality (epitaxial/non-epitaxial interfaces), semiconductor doping, matching of acoustic and optical phonon band structure, and the role of phonon transport mechanisms apart from direct elastic transmission on ITC. In particular, we are able to comment on the suitability of the diffuse mismatch model (DMM) to describe the transport across epitaxial interfaces. To accomplish this goal, I studied interfacial thermal transport across CoSi2, TiSi2, NiSi and PtSi - Si(100) and Si(111), (silicides

  6. Flexible Multiferroic Bulk Heterojunction with Giant Magnetoelectric Coupling via van der Waals Epitaxy

    DOE PAGES

    Amrillah, Tahta; Bitla, Yugandhar; Shin, Kwangwoo; ...

    2017-05-22

    Magnetoelectric nanocomposites have been a topic of intense research due to their profound potential in the applications of electronic devices based on spintronic technology. Nevertheless, in spite of significant progress made in the growth of high-quality nanocomposite thin films, the substrate clamping effect still remains a major hurdle in realizing the ultimate magnetoelectric coupling. To overcome this obstacle, an alternative strategy of fabricating a self-assembled ferroelectric–ferrimagnetic bulk heterojunction on a flexible muscovite via van der Waals epitaxy is adopted. In this paper, we investigated the magnetoelectric coupling in a self-assembled BiFeO 3 (BFO)–CoFe 2O 4 (CFO) bulk heterojunction epitaxially grownmore » on a flexible muscovite substrate. The obtained heterojunction is composed of vertically aligned multiferroic BFO nanopillars embedded in a ferrimagnetic CFO matrix. Moreover, due to the weak interaction between the flexible substrate and bulk heterojunction, the interface is incoherent and, hence, the substrate clamping effect is greatly reduced. The phase-field simulation model also complements our results. The magnetic and electrical characterizations highlight the improvement in magnetoelectric coupling of the BFO–CFO bulk heterojunction. A magnetoelectric coupling coefficient of 74 mV/cm·Oe of this bulk heterojunction is larger than the magnetoelectric coefficient reported earlier on flexible substrates. Finally and therefore, this study delivers a viable route of fabricating a remarkable magnetoelectric heterojunction and yet flexible electronic devices that are robust against extreme conditions with optimized performance.« less

  7. Flexible Multiferroic Bulk Heterojunction with Giant Magnetoelectric Coupling via van der Waals Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Amrillah, Tahta; Bitla, Yugandhar; Shin, Kwangwoo

    Magnetoelectric nanocomposites have been a topic of intense research due to their profound potential in the applications of electronic devices based on spintronic technology. Nevertheless, in spite of significant progress made in the growth of high-quality nanocomposite thin films, the substrate clamping effect still remains a major hurdle in realizing the ultimate magnetoelectric coupling. To overcome this obstacle, an alternative strategy of fabricating a self-assembled ferroelectric–ferrimagnetic bulk heterojunction on a flexible muscovite via van der Waals epitaxy is adopted. In this paper, we investigated the magnetoelectric coupling in a self-assembled BiFeO 3 (BFO)–CoFe 2O 4 (CFO) bulk heterojunction epitaxially grownmore » on a flexible muscovite substrate. The obtained heterojunction is composed of vertically aligned multiferroic BFO nanopillars embedded in a ferrimagnetic CFO matrix. Moreover, due to the weak interaction between the flexible substrate and bulk heterojunction, the interface is incoherent and, hence, the substrate clamping effect is greatly reduced. The phase-field simulation model also complements our results. The magnetic and electrical characterizations highlight the improvement in magnetoelectric coupling of the BFO–CFO bulk heterojunction. A magnetoelectric coupling coefficient of 74 mV/cm·Oe of this bulk heterojunction is larger than the magnetoelectric coefficient reported earlier on flexible substrates. Finally and therefore, this study delivers a viable route of fabricating a remarkable magnetoelectric heterojunction and yet flexible electronic devices that are robust against extreme conditions with optimized performance.« less

  8. High quality factor GaAs-based photonic crystal microcavities by epitaxial re-growth.

    PubMed

    Prieto, Ivan; Herranz, Jesús; Wewior, Lukasz; González, Yolanda; Alén, Benito; González, Luisa; Postigo, Pablo A

    2013-12-16

    We investigate L7 photonic crystal microcavities (PCMs) fabricated by epitaxial re-growth of GaAs pre-patterned substrates, containing InAs quantum dots. The resulting PCMs show hexagonal shaped nano-holes due to the development of preferential crystallographic facets during the re-growth step. Through a careful control of the fabrication processes, we demonstrate that the photonic modes are preserved throughout the process. The quality factor (Q) of the photonic modes in the re-grown PCMs strongly depends on the relative orientation between photonic lattice and crystallographic directions. The optical modes of the re-grown PCMs preserve the linear polarization and, for the most favorable orientation, a 36% of the Q measured in PCMs fabricated by the conventional procedure is observed, exhibiting values up to ~6000. The results aim to the future integration of site-controlled QDs with high-Q PCMs for quantum photonics and quantum integrated circuits.

  9. Epitaxial ferromagnetic single clusters and smooth continuous layers on large area MgO/CVD graphene substrates

    NASA Astrophysics Data System (ADS)

    Godel, Florian; Meny, Christian; Doudin, Bernard; Majjad, Hicham; Dayen, Jean-François; Halley, David

    2018-02-01

    We report on the fabrication of ferromagnetic thin layers separated by a MgO dielectric barrier from a graphene-covered substrate. The growth of ferromagnetic metal layers—Co or Ni0.8Fe0.2—is achieved by Molecular Beam Epitaxy (MBE) on a 3 nm MgO(111) epitaxial layer deposited on graphene. In the case of a graphene, grown by chemical vapor deposition (CVD) over Ni substrates, an annealing at 450 °C, under ultra-high-vacuum (UHV) conditions, leads to the dewetting of the ferromagnetic layers, forming well-defined flat facetted clusters whose shape reflects the substrate symmetry. In the case of CVD graphene transferred on SiO2, no dewetting is observed after same annealing. We attribute this difference to the mechanical stress states induced by the substrate, illustrating how it matters for epitaxial construction through graphene. Controlling the growth parameters of such magnetic single objects or networks could benefit to new architectures for catalysis or spintronic applications.

  10. Van der Waals epitaxy of functional MoO{sub 2} film on mica for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ma, Chun-Hao; Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan; Lin, Jheng-Cyuan

    Flexible electronics have a great potential to impact consumer electronics and with that our daily life. Currently, no direct growth of epitaxial functional oxides on commercially available flexible substrates is possible. In this study, in order to address this challenge, muscovite, a common layered oxide, is used as a flexible substrate that is chemically similar to typical functional oxides. We fabricated epitaxial MoO{sub 2} films on muscovite via pulsed laser deposition technique. A combination of X-ray diffraction and transmission electron microscopy confirms van der Waals epitaxy of the heterostructures. The electrical transport properties of MoO{sub 2} films are similar tomore » those of the bulk. Flexible or free-standing MoO{sub 2} thin film can be obtained and serve as a template to integrate additional functional oxide layers. Our study demonstrates a remarkable concept to create flexible electronics based on functional oxides.« less

  11. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography.

    PubMed

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-04

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  12. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  13. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    PubMed Central

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. PMID:27812006

  14. Strain-induced phase variation and dielectric constant enhancement of epitaxial Gd{sub 2}O{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekhter, P., E-mail: Pini@tx.technion.ac.il; Amouyal, Y.; Eizenberg, M.

    2016-07-07

    One of the approaches for realizing advanced high k insulators for metal oxide semiconductor field effect transistors based devices is the use of rare earth oxides. When these oxides are deposited as epitaxial thin films, they demonstrate dielectric properties that differ greatly from those that are known for bulk oxides. Using structural and spectroscopic techniques, as well as first-principles calculations, Gd{sub 2}O{sub 3} films deposited on Si (111) and Ge (111) were characterized. It was seen that the same 4 nm thick film, grown simultaneously on Ge and Si, presents an unstrained lattice on Ge while showing a metastable phase onmore » Si. This change from the cubic lattice to the distorted metastable phase is characterized by an increase in the dielectric constant of more than 30% and a change in band gap. The case in study shows that extreme structural changes can occur in ultra-thin epitaxial rare earth oxide films and modify their dielectric properties when the underlying substrate is altered.« less

  15. Selenidation of epitaxial silicene on ZrB2

    NASA Astrophysics Data System (ADS)

    Wiggers, F. B.; Yamada-Takamura, Y.; Kovalgin, A. Y.; de Jong, M. P.

    2018-01-01

    The deposition of elemental Se on epitaxial silicene on ZrB2 thin films was investigated with synchrotron-based core-level photoelectron spectroscopy and low-energy electron diffraction. The deposition of Se at room temperature caused the appearance of Si 2p peaks with chemical shifts of n × 0.51 ± 0.04 eV (n = 1-4), suggesting the formation of SiSe2. This shows that capping the silicene monolayer, without affecting its structural and electronic properties, is not possible with Se. The annealing treatments that followed caused the desorption of Se and Si, resulting in the etching of the Si atoms formerly part of the silicene layer, and the formation of bare ZrB2(0001) surface area. In addition, a ZrB2(0001)-(√7 × 3)R40.9° surface reconstruction was observed, attributed to a Se-termination of the surface of the transition metal diboride thin film.

  16. The bimodal distribution spin Seebeck effect enhancement in epitaxial Ni0.65Zn0.35Al0.8Fe1.2O4 thin film

    NASA Astrophysics Data System (ADS)

    Wang, Hua; Hou, Dazhi; Kikkawa, Takashi; Ramos, Rafael; Shen, Ka; Qiu, Zhiyong; Chen, Yao; Umeda, Maki; Shiomi, Yuki; Jin, Xiaofeng; Saitoh, Eiji

    2018-04-01

    The temperature dependence of the spin Seebeck effect (SSE) in epitaxial Ni0.65Zn0.35Al0.8Fe1.2O4 (NZA ferrite) thin film has been investigated systematically. The SSE at high fields shows a bimodal distribution enhancement from 3 K to 300 K and is well fitted with a double-peak Lorentzian function. We speculate the symmetric SSE enhancement in Pt/NZA ferrite bilayer, which is different from the magnon polarons induced asymmetric spikes in the SSE of Pt/YIG [T. Kikkawa et al. Phys. Rev. Lett. 117, 207203 (2016)], may result from the magnon-phonon interactions occurring at the intersections of the quantized magnon and phonon dispersions. The SSE results are helpful for the investigation of the magnon-phonon interaction in the magnetic ultrathin films.

  17. Misfit strain relaxation in (Ba0.60Sr0.40)TiO3 epitaxial thin films on orthorhombic NdGaO3 substrates

    NASA Astrophysics Data System (ADS)

    Simon, W. K.; Akdogan, E. K.; Safari, A.

    2006-07-01

    Strain relaxation in (Ba0.60Sr0.40)TiO3 (BST) thin films on ⟨110⟩ orthorhombic NdGaO3 substrates is investigated by x-ray diffractometry. Pole figure analysis indicates a [010]BST∥[1¯10]NGO and [001]BST∥[001]NGO in-plane and [100]BST∥[100]NGO out-of-plane epitaxial relationship. The residual strains are relaxed at h ˜200nm, and for h >600nm, films are essentially strain free. Two independent dislocations mechanisms operate to relieve the anisotropic misfit strains along the principal directions. The critical thickness for misfit dislocation formation along [001] and [010] are 11 and 15nm, respectively. Stress analysis indicates deviation from linear elasticity for h <200. The films with 10

  18. Multifunctional epitaxial systems on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu; Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709; Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such asmore » threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  19. Epitaxial growth of CZT(S,Se) on silicon

    DOEpatents

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  20. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  1. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  2. Mosaic structure in epitaxial thin films having large lattice mismatch

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Speck, J. S.; Clarke, D. R.

    1997-11-01

    Epitaxial films having a large lattice mismatch with their substrate invariably form a mosaic structure of slightly misoriented sub-grains. The mosaic structure is usually characterized by its x-ray rocking curve on a surface normal reflection but this is limited to the out-of-plane component unless off-axis or transmission experiments are performed. A method is presented by which the in-plane component of the mosaic misorientation can be determined from the rocking curves of substrate normal and off-axis reflections. Results are presented for two crystallographically distinct heteroepitaxial systems, ZnO, AlN, and GaN (wurtzite crystal structure) on c-plane sapphire and MgO (rock salt crystal structure) on (001) GaAs. The differences in the mosaic structure of these films are attributed to the crystallographic nature of their lattice dislocations.

  3. Electronic and magnetic properties of epitaxial perovskite SrCrO3(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Hongliang; Du, Yingge; Sushko, Petr

    2015-06-24

    We have investigated the intrinsic properties of SrCrO3 epitaxial thin films synthesized by molecular beam epitaxy. We find compelling evidence that SrCrO3 is a correlated metal. X-ray photoemission valence band and O K-edge x-ray absorption spectra indicate a strongly hybridized Cr3d-O2p state crossing the Fermi level, leading to metallic behavior. Comparison between valence band spectra near the Fermi level and the densities of states calculated using density functional theory (DFT) also suggests the presence of coherent and incoherent states and points to a strong electron-electron correlation effects. The magnetic susceptibility can be described by Pauli paramagnetism at temperatures above 100more » K, but reveals antiferromagnetic behavior at lower temperatures resulting from orbital ordering as suggested by Ortega-San-Martin et al. [Phys. Rev. Lett. 99, 255701 (2007)].« less

  4. Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Koga, Yoshihiro; Kadono, Takeshi; Shigematsu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Okuyama, Ryousuke; Okuda, Hidehiko; Kurita, Kazunari

    2018-06-01

    We propose a fabrication process for silicon wafers by combining carbon-cluster ion implantation and room-temperature bonding for advanced CMOS image sensors. These carbon-cluster ions are made of carbon and hydrogen, which can passivate process-induced defects. We demonstrated that this combination process can be used to form an epitaxial layer on a carbon-cluster ion-implanted Czochralski (CZ)-grown silicon substrate with a high dose of 1 × 1016 atoms/cm2. This implantation condition transforms the top-surface region of the CZ-grown silicon substrate into a thin amorphous layer. Thus, an epitaxial layer cannot be grown on this implanted CZ-grown silicon substrate. However, this combination process can be used to form an epitaxial layer on the amorphous layer of this implanted CZ-grown silicon substrate surface. This bonding wafer has strong gettering capability in both the wafer-bonding region and the carbon-cluster ion-implanted projection range. Furthermore, this wafer inhibits oxygen out-diffusion to the epitaxial layer from the CZ-grown silicon substrate after device fabrication. Therefore, we believe that this bonding wafer is effective in decreasing the dark current and white-spot defect density for advanced CMOS image sensors.

  5. Crystallographic orientation of epitaxial BaTiO3 films: The role of thermal-expansion mismatch with the substrate

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Tarsa, E. J.; Clarke, D. R.; Speck, J. S.

    1995-02-01

    Expitaxial ferroelectric BaTiO3 thin films have been grown on (001) MgO and MgO-buffered (001) GaAs substrates by pulsed laser deposition to explore the effect of substrate lattice parameter. X-ray-diffraction studies showed that the BaTiO3 films on both MgO single-crystal substrates and MgO-buffered (001) GaAs substrates have a cube-on-cube epitaxy; however, for the BaTiO3 films grown on MgO the spacing of the planes parallel to the substrate was close to the c-axis dimension of the unconstrained tetragonal phase, whereas the BaTiO3 films on MgO/GaAs exhibited a spacing closer to the a-axis dimension of the unconstrained tetragonal phase. The cube-on-cube epitaxy was maintained through the heterostructures even when thin epitaxial intermediate buffer layers of SrTiO3 and La(0.5)Sr(0.5)CoO3 were used. The intermediate layers had no effect on the position of the BaTiO3 peak in theta - 2 theta scans. Together, these observations indicate that, for the materials combinations studied, it is the thermal-expansion mismatch between the film and the underlying substrate that determines the crystallographic orientation of the BaTiO3 film. Preliminary measurements indicate that the BaTiO3 films are 'weakly' ferroelectric.

  6. Growth control of oxygen stoichiometry in homoepitaxial SrTiO3 films by pulsed laser epitaxy in high vacuum

    PubMed Central

    Lee, Ho Nyung; Ambrose Seo, Sung S.; Choi, Woo Seok; Rouleau, Christopher M.

    2016-01-01

    In many transition metal oxides, oxygen stoichiometry is one of the most critical parameters that plays a key role in determining the structural, physical, optical, and electrochemical properties of the material. However, controlling the growth to obtain high quality single crystal films having the right oxygen stoichiometry, especially in a high vacuum environment, has been viewed as a challenge. In this work, we show that, through proper control of the plume kinetic energy, stoichiometric crystalline films can be synthesized without generating oxygen defects even in high vacuum. We use a model homoepitaxial system of SrTiO3 (STO) thin films on single crystal STO substrates. Physical property measurements indicate that oxygen vacancy generation in high vacuum is strongly influenced by the energetics of the laser plume, and it can be controlled by proper laser beam delivery. Therefore, our finding not only provides essential insight into oxygen stoichiometry control in high vacuum for understanding the fundamental properties of STO-based thin films and heterostructures, but expands the utility of pulsed laser epitaxy of other materials as well. PMID:26823119

  7. Photoluminescence-based quality control for thin film absorber layers of photovoltaic devices

    DOEpatents

    Repins, Ingrid L.; Kuciauskas, Darius

    2015-07-07

    A time-resolved photoluminescence-based system providing quality control during manufacture of thin film absorber layers for photovoltaic devices. The system includes a laser generating excitation beams and an optical fiber with an end used both for directing each excitation beam onto a thin film absorber layer and for collecting photoluminescence from the absorber layer. The system includes a processor determining a quality control parameter such as minority carrier lifetime of the thin film absorber layer based on the collected photoluminescence. In some implementations, the laser is a low power, pulsed diode laser having photon energy at least great enough to excite electron hole pairs in the thin film absorber layer. The scattered light may be filterable from the collected photoluminescence, and the system may include a dichroic beam splitter and a filter that transmit the photoluminescence and remove scattered laser light prior to delivery to a photodetector and a digital oscilloscope.

  8. Effect of two different treatments for reducing grape yield in Vitis vinifera cv Syrah on wine composition and quality: berry thinning versus cluster thinning.

    PubMed

    Gil, M; Esteruelas, M; González, E; Kontoudakis, N; Jiménez, J; Fort, F; Canals, J M; Hermosín-Gutiérrez, I; Zamora, F

    2013-05-22

    The influence of two treatments for reducing grape yield, cluster thinning and berry thinning, on red wine composition and quality were studied in a Vitis vinifera cv Syrah vineyard in AOC Penedès (Spain). Cluster thinning reduced grape yield per vine by around 40% whereas berry thinning only reduced it by around 20%. Cluster thinning grapes had higher soluble solids content than control grapes, and their resultant wines have greater anthocyanin and polysaccharide concentrations than the control wine. Wine obtained from berry thinning grapes had a higher total phenolic index, greater flavonol, proanthocyanidin, and polysaccharide concentrations, and lower titratable acidity than the control wine. Wines obtained from both treatments were sufficiently different from the control wine to be significantly distinguished by a trained panel in a triangular test. Even though both treatments seem to be effective at improving the quality of wine, berry thinning has the advantage because it has less impact on crop yield reduction.

  9. Impact of varying buffer thickness generated strain and threading dislocations on the formation of plasma assisted MBE grown ultra-thin AlGaN/GaN heterostructure on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chowdhury, Subhra, E-mail: subhra1109@gmail.com; Biswas, Dhrubes; Department of E and E C E, Indian Institute of Technology Kharagpur, Kharagpur 721302

    2015-05-15

    Plasma-assisted molecular beam epitaxy (PAMBE) growth of ultra-thin Al{sub 0.2}Ga{sub 0.8}N/GaN heterostructures on Si(111) substrate with three buffer thickness (600 nm/400 nm/200 nm) have been reported. An unique growth process has been developed that supports lower temperature epitaxy of GaN buffer which minimizes thermally generated tensile strain through appropriate nitridation and AlN initiated epitaxy for achieving high quality GaN buffer which supports such ultra-thin heterostructures in the range of 10-15Å. It is followed by investigations of role of buffer thickness on formation of ultra-thin Al{sub 0.2}Ga{sub 0.8}N/GaN heterostructure, in terms of stress-strain and threading dislocation (TD). Structural characterization were performedmore » by High-Resolution X-Ray Diffraction (HRXRD), room-temperature Photoluminescence (RT-PL), High Resolution Transmission Electron Microscopy (HRTEM) and Atomic Force Microscopy (AFM). Analysis revealed increasing biaxial tensile stress of 0.6918 ± 0.04, 1.1084, 1.1814 GPa in heterostructures with decreasing buffer thickness of 600, 400, 200 nm respectively which are summed up with residual tensile strain causing red-shift in RT-PL peak. Also, increasing buffer thickness drastically reduced TD density from the order 10{sup 10} cm{sup −2} to 10{sup 8} cm{sup −2}. Surface morphology through AFM leads to decrease of pits and root mean square value with increasing buffer thickness which are resulted due to reduction of combined effect of strain and TDs.« less

  10. Effects of Dopant Ionic Radius on Cerium Reduction in Epitaxial Cerium Oxide Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Nan; Orgiani, Pasquale; Di Bartolomeo, Elisabetta

    The role of trivalent rare-earth dopants in ceria epitaxial films on surface ion exchange reactivity and ion conductivity has been systematically studied. Single-crystal epitaxial films with unique crystal orientation and micro-structure nature have allowed us to rule out the influence of structural defects on both transport and surface ion exchange properties. The films conductivities were larger than those reported in literature for both polycrystalline ceramic pellets and crystalline films. An increase in oxygen vacancies and Ce 3+ concentration while decreasing the dopant ionic radius from La 3+ to Yb 3+ was observed, thus explaining the measured increased activation energy andmore » enhanced surface reactivity. The more significant ability of smaller dopant ionic radius in releasing the stress strength induced by the larger Ce 3+ ionic radius allows promoting the formation of oxygen vacancies and Ce 3+, which are two precious species in determining the efficiency of ion transport and surface ion exchange processes. This can open new perspectives in designing ceria-based materials in tailoring functional properties, either ion migration or surface reactivity, by rational cation substitutions.« less

  11. Effects of Dopant Ionic Radius on Cerium Reduction in Epitaxial Cerium Oxide Thin Films

    DOE PAGES

    Yang, Nan; Orgiani, Pasquale; Di Bartolomeo, Elisabetta; ...

    2017-04-17

    The role of trivalent rare-earth dopants in ceria epitaxial films on surface ion exchange reactivity and ion conductivity has been systematically studied. Single-crystal epitaxial films with unique crystal orientation and micro-structure nature have allowed us to rule out the influence of structural defects on both transport and surface ion exchange properties. The films conductivities were larger than those reported in literature for both polycrystalline ceramic pellets and crystalline films. An increase in oxygen vacancies and Ce 3+ concentration while decreasing the dopant ionic radius from La 3+ to Yb 3+ was observed, thus explaining the measured increased activation energy andmore » enhanced surface reactivity. The more significant ability of smaller dopant ionic radius in releasing the stress strength induced by the larger Ce 3+ ionic radius allows promoting the formation of oxygen vacancies and Ce 3+, which are two precious species in determining the efficiency of ion transport and surface ion exchange processes. This can open new perspectives in designing ceria-based materials in tailoring functional properties, either ion migration or surface reactivity, by rational cation substitutions.« less

  12. Atomically layer-by-layer diffusion of oxygen/hydrogen in highly epitaxial PrBaCo2O5.5+δ thin films

    NASA Astrophysics Data System (ADS)

    Bao, Shanyong; Xu, Xing; Enriquez, Erik; Mace, Brennan E.; Chen, Garry; Kelliher, Sean P.; Chen, Chonglin; Zhang, Yamei; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qinyu

    2015-12-01

    Single-crystalline epitaxial thin films of PrBaCo2O5.5+δ (PrBCO) were prepared, and their resistance R(t) under a switching flow of oxidizing and reducing gases were measured as a function of the gas flow time t in the temperature range of 200-800 °C. During the oxidation cycle under O2, the PrBCO films exhibit fast oscillations in their dR(t)/dt vs. t plots, which reflect the oxidation processes, Co2+/Co3+ → Co3+ and Co3+ → Co3+/Co4+, that the Co atoms of PrBCO undergo. Each oscillation consists of two peaks, with larger and smaller peaks representing the oxygen/hydrogen diffusion through the (BaO)(CoO2)(PrO)(CoO2) layers of PrBCO via the oxygen-vacancy-exchange mechanism. This finding paves a significant avenue for cathode materials operating in low-temperature solid-oxide-fuel-cell devices and for chemical sensors with wide range of operating temperature.

  13. Effects of background oxygen pressure on dielectric and ferroelectric properties of epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrTiO3

    NASA Astrophysics Data System (ADS)

    Abazari, M.; Akdoǧan, E. K.; Safari, A.

    2008-11-01

    Oxygen partial pressure (PO_2) in pulsed laser deposition significantly influences the composition, microstructure, and electrical properties of epitaxial misfit strain-relieved 450nm ⟨001⟩ oriented epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrRuO3 coated SrTiO3. Films deposited at 400mTorr exhibit high remnant and saturated polarization of 7.5 and 16.5μC /cm2, respectively, which is ˜100% increase over the ones grown at 100mTorr. The dielectric constant linearly increases from 220 to 450 with increasing PO2. The observed changes in surface morphology of the films and their properties are shown to be due to the suppression of volatile A-site cation loss.

  14. Tuning electronic transport in epitaxial graphene-based van der Waals heterostructures

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Chuan; Li, Jun; de La Barrera, Sergio C.; Eichfeld, Sarah M.; Nie, Yifan; Addou, Rafik; Mende, Patrick C.; Wallace, Robert M.; Cho, Kyeongjae; Feenstra, Randall M.; Robinson, Joshua A.

    2016-04-01

    Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low-energy electron microscopy and reflectivity on these samples, we extract the work function difference between the WSe2 and graphene and employ a charge transfer model to determine the WSe2 carrier density in both cases. The results indicate that WSe2-EGFH displays ohmic behavior at small biases due to a large hole density in the WSe2, whereas WSe2-EGPH forms a Schottky barrier junction.Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low

  15. Investigation of low leakage current radiation detectors on n-type 4H-SiC epitaxial layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Khai V.; Chaudhuri, Sandeep K.; Mandal, Krishna C.

    2014-09-01

    The surface leakage current of high-resolution 4H-SiC epitaxial layer Schottky barrier detectors has been improved significantly after surface passivations of 4H-SiC epitaxial layers. Thin (nanometer range) layers of silicon dioxide (SiO2) and silicon nitride (Si3N4) were deposited on 4H-SiC epitaxial layers using plasma enhanced chemical vapor deposition (PECVD) on 20 μm thick n-type 4H-SiC epitaxial layers followed by the fabrication of large area (~12 mm2) Schottky barrier radiation detectors. The fabricated detectors have been characterized through current-voltage (I-V), capacitance-voltage (C-V), and alpha pulse height spectroscopy measurements; the results were compared with that of detectors fabricated without surface passivations. Improved energy resolution of ~ 0.4% for 5486 keV alpha particles was observed after passivation, and it was found that the performance of these detectors were limited by the presence of macroscopic and microscopic crystal defects affecting the charge transport properties adversely. Capacitance mode deep level transient studies (DLTS) revealed the presence of a titanium impurity related shallow level defects (Ec-0.19 eV), and two deep level defects identified as Z1/2 and Ci1 located at Ec-0.62 and ~ Ec-1.40 eV respectively.

  16. Effect of thinning on growth and potential quality of young white oak crop trees

    Treesearch

    Martin E. Dale; David L. Sonderman

    1984-01-01

    Relative change in several types of stem defects were studied over a 16-year period to determine the effect of thinning intensity on the development of tree quality. We studied quality changes on sample white oak crop trees that were selected from five density levels created in a 1961 thinning. Branch-related and other stem defects on the butt 16-foot section were...

  17. Columnar epitaxy of hexagonal and orthorhombic silicides on Si(111)

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; Nieh, C. W.; Xiao, Q. F.; Hashimoto, Shin

    1990-01-01

    Columnar grains of PtSi and CrSi2 surrounded by high-quality epitaxial silicon are obtained by ultrahigh vacuum codeposition of Si and metal in an approximately 10:1 ratio on Si(111) substrates heated to 610-840 C. This result is similar to that found previously for CoSi2 (a nearly-lattice-matched cubic-fluorite crystal) on Si(111), in spite of the respective orthorhombic and hexagonal structures of PtSi and CrSi2. The PtSi grains are epitaxial and have one of three variants of the relation defined by PtSi(010)/Si(111), with PtSi 001 line/Si 110 line type.

  18. Epitaxial growth of Ti{sub 3}SiC{sub 2} thin films with basal planes parallel or orthogonal to the surface on {alpha}-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drevin-Bazin, A.; Barbot, J. F.; Alkazaz, M.

    2012-07-09

    The growth of Ti{sub 3}SiC{sub 2} thin films were studied onto {alpha}-SiC substrates differently oriented by thermal annealing of TiAl layers deposited by magnetron sputtering. For any substrate's orientation, transmission electron microscopy coupled with x-ray diffraction showed the coherent epitaxial growth of Ti{sub 3}SiC{sub 2} films along basal planes of SiC. Specifically for the (1120) 4H-SiC, Ti{sub 3}SiC{sub 2} basal planes are found to be orthogonal to the surface. The continuous or textured nature of Ti{sub 3}SiC{sub 2} films does not depend of the SiC stacking sequence and is explained by a step-flow mechanism of growth mode. The ohmic charactermore » of the contact was confirmed by current-voltage measurements.« less

  19. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  20. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE PAGES

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.; ...

    2016-11-18

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  1. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1982-04-20

    goenv.o -,y la)ers were YIG (yttrium iron garnet ) films grown by liquid phase epitaxy w:* ( LPE ) on gadolinium gallium garnet (GGG) substrates. Magnetic...containing three epitaxial layers. In addition to the MSW work oil garnets , LPE of lithium ferrite and hexagonal fertites was studied. A substituted lead...of a stripline. The other layers are epitaxial films , generally YIG (yttrium iron garnet ) with magnetic properties adjusted by suitable modifications

  2. Characterization of thin irradiated epitaxial silicon sensors for the CMS phase II pixel upgrade

    NASA Astrophysics Data System (ADS)

    Centis Vignali, M.

    2015-02-01

    The high-luminosity upgrade of the Large Hadron Collider foreseen for 2023 resulted on the decision to replace the tracker system of the CMS experiment. The innermost layer of the new pixel detector will experience fluences in the order of phieq ≈ 1016 cm-2 and a dose of ≈ 5 MGy after an integrated luminosity of 3000 fb-1. Several materials and designs are under investigation in order to build a detector that can withstand such high fluences. Thin planar silicon sensors are good candidates to achieve this goal since the degradation of the signal produced by traversing particles is less severe than for thicker devices. A study has been carried out in order to characterize highly irradiated planar epitaxial silicon sensors with an active thickness of 100 μm. The investigation includes pad diodes and strip detectors irradiated up to a fluence of phieq = 1.3 × 1016 cm-2, and 3 × 1015 cm-2, respectively. The electrical properties of diodes have been characterized using laboratory measurements, while measurements have been carried out at the DESY II test beam facility to characterize the charge collection of the strip detectors. A beam telescope has been used to determine precisely the impact position of beam particles on the sensor. This allows the unbiased extraction of the charge deposited in the strip sensor and good identification of the noise. In this paper, the results obtained for p-bulk sensors are shown. The charge collection efficiency of the strip sensors is 90% at 1000 V after a fluence of phieq = 3 × 1015 cm-2. The irradiated diodes show charge multiplication effects. The impact of the threshold applied to a detector on its efficiency is also discussed.

  3. Magneto-electric Coupling in Domain Engineered Multiferroic Thin Film Heterostructures

    DTIC Science & Technology

    2014-11-09

    J.-G. Yoon, C. B. Eom, J.-S. Chung, T. W. Noh. Step bunching-induced vertical lattice mismatch and crystallographic tilt in vicinal BiFeO[sub 3... piezoelectricity of epitaxial BiFeO3 on SrTiO3 , Appl. Phys. Lett., (02 2012): 62906. doi: 15.00 14.00 13.00 12.00 11.00 10.00 25.00 19.00 20.00...M.V. Holt, S.H. Baek, S.K. Streiffer, P. Baldo, C.B. Eom, P.H. Fuoss. X-ray nanodiffraction of tilted domains in a poled epitaxial BiFeO3 thin film

  4. Yttrium Iron Garnet Thin Films with Very Low Damping Obtained by Recrystallization of Amorphous Material

    PubMed Central

    Hauser, Christoph; Richter, Tim; Homonnay, Nico; Eisenschmidt, Christian; Qaid, Mohammad; Deniz, Hakan; Hesse, Dietrich; Sawicki, Maciej; Ebbinghaus, Stefan G.; Schmidt, Georg

    2016-01-01

    We have investigated recrystallization of amorphous Yttrium Iron Garnet (YIG) by annealing in oxygen atmosphere. Our findings show that well below the melting temperature the material transforms into a fully epitaxial layer with exceptional quality, both structural and magnetic. In ferromagnetic resonance (FMR) ultra low damping and extremely narrow linewidth can be observed. For a 56 nm thick layer a damping constant of α = (6.15 ± 1.50) · 10−5 is found and the linewidth at 9.6 GHz is as small as 1.30 ± 0.05 Oe which are the lowest values for PLD grown thin films reported so far. Even for a 20 nm thick layer a damping constant of α = (7.35 ± 1.40) · 10−5 is found which is the lowest value for ultrathin films published so far. The FMR linewidth in this case is 3.49 ± 0.10 Oe at 9.6 GHz. Our results not only present a method of depositing thin film YIG of unprecedented quality but also open up new options for the fabrication of thin film complex oxides or even other crystalline materials. PMID:26860816

  5. Growth and Characterization of Epitaxial Piezoelectric and Semiconductor Films.

    DTIC Science & Technology

    1980-07-01

    quality epitaxial films at low growth rates. This process is limited to films up to a few microns thickness. The aluminum chloride/ ammonia CVD process has... scrubber through a rotary Vacuum pump maintaining Reactions.-DEZ is an electron deficient compound a pressure of about 400 Torr inside the reaction chain

  6. Apparatus for externally controlled closed-loop feedback digital epitaxy

    DOEpatents

    Eres, D.; Sharp, J.W.

    1996-07-30

    A method and apparatus for digital epitaxy are disclosed. The apparatus includes a pulsed gas delivery assembly that supplies gaseous material to a substrate to form an adsorption layer of the gaseous material on the substrate. Structure is provided for measuring the isothermal desorption spectrum of the growth surface to monitor the active sites which are available for adsorption. The vacuum chamber housing the substrate facilitates evacuation of the gaseous material from the area adjacent the substrate following exposure. In use, digital epitaxy is achieved by exposing a substrate to a pulse of gaseous material to form an adsorption layer of the material on the substrate. The active sites on the substrate are monitored during the formation of the adsorption layer to determine if all the active sites have been filled. Once the active sites have been filled on the growth surface of the substrate, the pulse of gaseous material is terminated. The unreacted portion of the gas pulse is evacuated by continuous pumping. Subsequently, a second pulse is applied when availability of active sites is determined by studying the isothermal desorption spectrum. These steps are repeated until a thin film of sufficient thickness is produced. 5 figs.

  7. Apparatus for externally controlled closed-loop feedback digital epitaxy

    DOEpatents

    Eres, Djula; Sharp, Jeffrey W.

    1996-01-01

    A method and apparatus for digital epitaxy. The apparatus includes a pulsed gas delivery assembly that supplies gaseous material to a substrate to form an adsorption layer of the gaseous material on the substrate. Structure is provided for measuring the isothermal desorption spectrum of the growth surface to monitor the active sites which are available for adsorption. The vacuum chamber housing the substrate facilitates evacuation of the gaseous material from the area adjacent the substrate following exposure. In use, digital epitaxy is achieved by exposing a substrate to a pulse of gaseous material to form an adsorption layer of the material on the substrate. The active sites on the substrate are monitored during the formation of the adsorption layer to determine if all the active sites have been filled. Once the active sites have been filled on the growth surface of the substrate, the pulse of gaseous material is terminated. The unreacted portion of the gas pulse is evacuated by continuous pumping. Subsequently, a second pulse is applied when availability of active sites is determined by studying the isothermal desorption spectrum. These steps are repeated until a thin film of sufficient thickness is produced.

  8. Method for forming single phase, single crystalline 2122 BCSCO superconductor thin films by liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Pandey, Raghvendra K. (Inventor); Raina, Kanwal (Inventor); Solayappan, Narayanan (Inventor)

    1994-01-01

    A substantially single phase, single crystalline, highly epitaxial film of Bi.sub.2 CaSr.sub.2 Cu.sub.2 O.sub.8 superconductor which has a T.sub.c (zero resistance) of 83 K is provided on a lattice-matched substrate with no intergrowth. This film is produced by a Liquid Phase Epitaxy method which includes the steps of forming a dilute supercooled molten solution of a single phase superconducting mixture of oxides of Bi, Ca, Sr, and Cu having an atomic ratio of about 2:1:2:2 in a nonreactive flux such as KCl, introducing the substrate, e.g., NdGaO.sub.3, into the molten solution at 850.degree. C., cooling the solution from 850.degree. C. to 830.degree. C. to grow the film and rapidly cooling the substrate to room temperature to maintain the desired single phase, single crystalline film structure.

  9. Role of dual-laser ablation in controlling the Pb depletion in epitaxial growth of Pb(Zr0.52Ti0.48)O3 thin films with enhanced surface quality and ferroelectric properties

    NASA Astrophysics Data System (ADS)

    Mukherjee, Devajyoti; Hyde, Robert; Mukherjee, Pritish; Srikanth, Hariharan; Witanachchi, Sarath

    2012-03-01

    Pb depletion in Pb(Zr0.52Ti0.48)O3 (PZT) thin films has remained as a major setback in the growth of defect-free PZT thin films by pulsed laser ablation techniques. At low excimer (KrF) laser fluences, the high volatility of Pb in PZT leads to non-congruent target ablation and, consequently, non-stoichiometric films, whereas, at high laser fluences, the inherent ejection of molten droplets from the target leads to particulate laden films, which is undesirable in heterostructure growth. To overcome these issues, a dual-laser ablation (PLDDL) process that combines an excimer (KrF) laser and CO2 laser pulses was used to grow epitaxial PZT films on SrTiO3 (100) and MgO (100) substrates. Intensified-charge-coupled-detector (ICCD) images and optical emission spectroscopy of the laser-ablated plumes in PLDDL revealed a broader angular expansion and enhanced excitation of the ablated species as compared to those for single-laser ablation (PLDSL). This led to the growth of particulate-free PZT films with higher Pb content, better crystallinity, and lower surface roughness as compared to those deposited using PLDSL. For FE measurements, PZT capacitors were fabricated in situ using the latticed-matched metallic oxide, La0.7Sr0.3MnO3, as the top and bottom electrodes. PZT films deposited using PLDDL exhibited enhanced polarization for all driving voltages as compared to those deposited using PLDSL. A highest remanent polarization (Pr) of ˜91 μC/cm2 and low coercive field of ˜40 kV/cm was recorded at 9 V driving voltage. Fatigue characterization revealed that PZT films deposited using PLDDL showed unchanging polarization, even after 109 switching cycles.

  10. Fabrication of Single Crystal Gallium Phosphide Thin Films on Glass

    DOE PAGES

    Emmer, Hal; Chen, Christopher T.; Saive, Rebecca; ...

    2017-07-05

    Due to its high refractive index and low absorption coefficient, gallium phosphide is an ideal material for photonic structures targeted at the visible wavelengths. However, these properties are only realized with high quality epitaxial growth, which limits substrate choice and thus possible photonic applications. In this work, we report the fabrication of single crystal gallium phosphide thin films on transparent glass substrates via transfer bonding. GaP thin films on Si (001) and (112) grown by MOCVD are bonded to glass, and then the growth substrate is removed with a XeF 2 vapor etch. The resulting GaP films have surface roughnessesmore » below 1 nm RMS and exhibit room temperature band edge photoluminescence. Magnesium doping yielded p-type films with a carrier density of 1.6 × 10 17 cm -3 that exhibited mobilities as high as 16 cm 2V -1s -1. Therefore, due to their unique optical properties, these films hold much promise for use in advanced optical devices.« less

  11. Fabrication of Single Crystal Gallium Phosphide Thin Films on Glass

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Emmer, Hal; Chen, Christopher T.; Saive, Rebecca

    Due to its high refractive index and low absorption coefficient, gallium phosphide is an ideal material for photonic structures targeted at the visible wavelengths. However, these properties are only realized with high quality epitaxial growth, which limits substrate choice and thus possible photonic applications. In this work, we report the fabrication of single crystal gallium phosphide thin films on transparent glass substrates via transfer bonding. GaP thin films on Si (001) and (112) grown by MOCVD are bonded to glass, and then the growth substrate is removed with a XeF 2 vapor etch. The resulting GaP films have surface roughnessesmore » below 1 nm RMS and exhibit room temperature band edge photoluminescence. Magnesium doping yielded p-type films with a carrier density of 1.6 × 10 17 cm -3 that exhibited mobilities as high as 16 cm 2V -1s -1. Therefore, due to their unique optical properties, these films hold much promise for use in advanced optical devices.« less

  12. Correlations between critical current density, j(sub c), critical temperature, T(sub c),and structural quality of Y1B2Cu3O(7-x) thin superconducting films

    NASA Technical Reports Server (NTRS)

    Chrzanowski, J.; Xing, W. B.; Atlan, D.; Irwin, J. C.; Heinrich, B.; Cragg, R. A.; Zhou, H.; Angus, V.; Habib, F.; Fife, A. A.

    1995-01-01

    Correlations between critical current density (j(sub c)) critical temperature (T(sub c)) and the density of edge dislocations and nonuniform strain have been observed in YBCO thin films deposited by pulsed laser ablation on (001) LaAlO3 single crystals. Distinct maxima in j(sub c) as a function of the linewidths of the (00 l) Bragg reflections and as a function of the mosaic spread have been found in the epitaxial films. These maxima in j(sub c) indicate that the magnetic flux lines, in films of structural quality approachingthat of single crystals, are insufficiently pinned which results in a decreased critical current density. T(sub c) increased monotonically with improving crystalline quality and approached a value characteristic of a pure single crystal. A strong correlation between j(sub c) and the density of edge dislocations ND was found. At the maximum of the critical current density the density of edge dislocations was estimated to be N(sub D) approximately 1-2 x 10(exp 9)/sq cm.

  13. Method of depositing epitaxial layers on a substrate

    DOEpatents

    Goyal, Amit

    2003-12-30

    An epitaxial article and method for forming the same includes a substrate having a textured surface, and an electrochemically deposited substantially single orientation epitaxial layer disposed on and in contact with the textured surface. The epitaxial article can include an electromagnetically active layer and an epitaxial buffer layer. The electromagnetically active layer and epitaxial buffer layer can also be deposited electrochemically.

  14. Understanding Metal-Insulator transitions in ultra-thin films of LaNiO3

    NASA Astrophysics Data System (ADS)

    Ravichandran, Jayakanth; King, Philip D. C.; Schlom, Darrell G.; Shen, Kyle M.; Kim, Philip

    2014-03-01

    LaNiO3 (LNO) is a bulk paramagnetic metal and a member of the family of RENiO3 Nickelates (RE = Rare Earth Metals), which is on the verge of the metal-insulator transition. Ultra-thin films of LNO has been studied extensively in the past and due to its sensitivity to disorder, the true nature of the metal-insulator transition in these films have been hard to decipher. We grow high quality ultra-thin films of LNO using reactive molecular beam epitaxy (MBE) and use a combination of ionic liquid gating and magneto-transport measurements to understand the nature and tunability of metal-insulator transition as a function of thickness for LNO. The underlying mechanisms for the transition are discussed in the framework of standard transport models. These results are discussed in the light of other Mott insulators such as Sr2IrO4, where we have performed similar measurements around the insulating state.

  15. Suppression of Structural Phase Transition in VO2 by Epitaxial Strain in Vicinity of Metal-insulator Transition

    PubMed Central

    Yang, Mengmeng; Yang, Yuanjun; Bin Hong; Wang, Liangxin; Hu, Kai; Dong, Yongqi; Xu, Han; Huang, Haoliang; Zhao, Jiangtao; Chen, Haiping; Song, Li; Ju, Huanxin; Zhu, Junfa; Bao, Jun; Li, Xiaoguang; Gu, Yueliang; Yang, Tieying; Gao, Xingyu; Luo, Zhenlin; Gao, Chen

    2016-01-01

    Mechanism of metal-insulator transition (MIT) in strained VO2 thin films is very complicated and incompletely understood despite three scenarios with potential explanations including electronic correlation (Mott mechanism), structural transformation (Peierls theory) and collaborative Mott-Peierls transition. Herein, we have decoupled coactions of structural and electronic phase transitions across the MIT by implementing epitaxial strain on 13-nm-thick (001)-VO2 films in comparison to thicker films. The structural evolution during MIT characterized by temperature-dependent synchrotron radiation high-resolution X-ray diffraction reciprocal space mapping and Raman spectroscopy suggested that the structural phase transition in the temperature range of vicinity of the MIT is suppressed by epitaxial strain. Furthermore, temperature-dependent Ultraviolet Photoelectron Spectroscopy (UPS) revealed the changes in electron occupancy near the Fermi energy EF of V 3d orbital, implying that the electronic transition triggers the MIT in the strained films. Thus the MIT in the bi-axially strained VO2 thin films should be only driven by electronic transition without assistance of structural phase transition. Density functional theoretical calculations further confirmed that the tetragonal phase across the MIT can be both in insulating and metallic states in the strained (001)-VO2/TiO2 thin films. This work offers a better understanding of the mechanism of MIT in the strained VO2 films. PMID:26975328

  16. Fabrication and Properties of Cr2O3 and La0.7Sr0.3MnO3 Thin Film Heterostructures Integrated on Si(001)

    NASA Astrophysics Data System (ADS)

    Punugupati, Sandhyarani

    Spintronics that utilizes both the spin and charge degrees of freedom of an electron is emerged as an alternate memory technology to conventional CMOS electronics. Many proposed spintronic devices require multifunctional properties in a single material. The oxides Cr2O3 and La0.7Sr0.3MnO3 are such materials which exhibit unique physical properties at room temperature. The Cr2O3 is an antiferromagnetic and magnetoelectric material below its Neel temperature 307K. The La0.7Sr0.3MnO3 is a ferromagnetic half metal with a Curie temperature of 360K and exhibits colossal magnetoresistance. However, the reach of this spintronic technology into more device applications is possible only when these materials in epitaxial thin film form are integrated with Si(001) which is the mainstay substrate in semiconductor industry. The primary objective of this dissertation was to integrate epitaxial Cr2O3, La0.7Sr0.3MnO3 and Cr2O3/La0.7Sr0.3MnO3 thin film heterostructure on Si(001) and, study their physical properties to investigate structure-processing-property relationship in these heterostructures. The epitaxial integration of Cr2O3 thin films on Si(001) was done using epitaxial cubic yttria stabilized zirconia (c-YSZ) buffer layer by pulsed laser deposition. Detailed structural characterizations XRD (2theta and phi) and TEM confirm the epitaxial nature of the films. Though bulk Cr2O3 is antiferromagnetic along the c-axis, the in-plane magnetization measurements on Cr2O3(0001) thin films showed ferromagnetic behavior up to 400K. The thickness dependent magnetization together with oxygen annealing results suggested that the in-plane ferromagnetism in Cr2O3 was due to the oxygen related defects whose concentration is controlled by strain in the films. The out-of-plane magnetic measurements on Cr2O3(0001) films showed magnetic behavior indicative of antiferromagnetic nature. To verify whether ferromagnetism can be induced by strain in Cr 2O3 thin films with orientation other than (0001

  17. Martensitic transformation in as-grown and annealed near-stoichiometric epitaxial Ni2MnGa thin films

    NASA Astrophysics Data System (ADS)

    Machain, P.; Condó, A. M.; Domenichini, P.; Pozo López, G.; Sirena, M.; Correa, V. F.; Haberkorn, N.

    2015-08-01

    Magnetic shape memory nanostructures have a great potential in the field of the nanoactuators. The relationship between dimensionality, microstructure and magnetism characterizes the materials performance. Here, we study the martensitic transformation in supported and free-standing epitaxial Ni47Mn24Ga29 films grown by sputtering on (0 0 1) MgO using a stoichiometric Ni2MnGa target. The films have a Curie temperature of ~390 K and a martensitic transition temperature of ~120 K. Similar transition temperatures have been observed in films with thicknesses of 1, 3 and 4 μm. Thicker films (with longer deposition time) present a wider martensitic transformation range that can be associated with small gradients in their chemical concentration due to the high vapour pressure of Mn and Ga. The magnetic anisotropy of the films shows a strong change below the martensitic transformation temperature. No features associated with variant reorientation induced by magnetic field have been observed. Annealed films in the presence of a Ni2MnGa bulk reference change their chemical composition to Ni49Mn26Ga25. The change in the chemical composition increases the martensitic transformation temperature, being closer to the stoichiometric compound, and reduces the transformation hysteresis. In addition, sharper transformations are obtained, which indicate that chemical inhomogeneities and defects are removed. Our results indicate that the properties of Ni-Mn-Ga thin films grown by sputtering can be optimized (fixing the chemical concentration and removing crystalline defects) by the annealing process, which is promising for the development of micromagnetic shape memory devices.

  18. Oxygen vacancy-driven evolution of structural and electrical properties in SrFeO 3₋δ thin films and a method of stabilization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Enriquez, Erik M.; Chen, Aiping; Harrell, Zachary John

    Epitaxial SrFeO 3-δ (SFO) thin films have been grown on various substrates by pulsed laser deposition. The structural and electrical properties of SFO thin films are monitored with time in different atmospheres at room temperature, showing time-dependent crystal structure and electrical conductivity. The increased out-of-plane lattice parameter and resistivity over time are associated with the increased oxygen vacancies density in SFO thin films. The epitaxial strain plays an important role in determining the initial resistivity, and the sample environment determines the trend of resistivity change over time. An amorphous Al 2O 3 passivation layer has been found to be effectivemore » in stabilizing the structure and electrical properties of SFO thin films. Lastly, this work explores time dependent structure and properties variation in oxide films and provides a way to stabilize thin film materials that are sensitive to oxygen vacancies.« less

  19. Oxygen vacancy-driven evolution of structural and electrical properties in SrFeO 3₋δ thin films and a method of stabilization

    DOE PAGES

    Enriquez, Erik M.; Chen, Aiping; Harrell, Zachary John; ...

    2016-10-03

    Epitaxial SrFeO 3-δ (SFO) thin films have been grown on various substrates by pulsed laser deposition. The structural and electrical properties of SFO thin films are monitored with time in different atmospheres at room temperature, showing time-dependent crystal structure and electrical conductivity. The increased out-of-plane lattice parameter and resistivity over time are associated with the increased oxygen vacancies density in SFO thin films. The epitaxial strain plays an important role in determining the initial resistivity, and the sample environment determines the trend of resistivity change over time. An amorphous Al 2O 3 passivation layer has been found to be effectivemore » in stabilizing the structure and electrical properties of SFO thin films. Lastly, this work explores time dependent structure and properties variation in oxide films and provides a way to stabilize thin film materials that are sensitive to oxygen vacancies.« less

  20. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less