Sample records for quantitative metrology study

  1. Quantitative optical metrology with CMOS cameras

    NASA Astrophysics Data System (ADS)

    Furlong, Cosme; Kolenovic, Ervin; Ferguson, Curtis F.

    2004-08-01

    Recent advances in laser technology, optical sensing, and computer processing of data, have lead to the development of advanced quantitative optical metrology techniques for high accuracy measurements of absolute shapes and deformations of objects. These techniques provide noninvasive, remote, and full field of view information about the objects of interest. The information obtained relates to changes in shape and/or size of the objects, characterizes anomalies, and provides tools to enhance fabrication processes. Factors that influence selection and applicability of an optical technique include the required sensitivity, accuracy, and precision that are necessary for a particular application. In this paper, sensitivity, accuracy, and precision characteristics in quantitative optical metrology techniques, and specifically in optoelectronic holography (OEH) based on CMOS cameras, are discussed. Sensitivity, accuracy, and precision are investigated with the aid of National Institute of Standards and Technology (NIST) traceable gauges, demonstrating the applicability of CMOS cameras in quantitative optical metrology techniques. It is shown that the advanced nature of CMOS technology can be applied to challenging engineering applications, including the study of rapidly evolving phenomena occurring in MEMS and micromechatronics.

  2. Metrologies for quantitative nanomechanical testing and quality control in semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Pratt, Jon R.; Kramar, John A.; Newell, David B.; Smith, Douglas T.

    2005-05-01

    If nanomechanical testing is to evolve into a tool for process and quality control in semiconductor fabrication, great advances in throughput, repeatability, and accuracy of the associated instruments and measurements will be required. A recent grant awarded by the NIST Advanced Technology Program seeks to address the throughput issue by developing a high-speed AFM-based platform for quantitative nanomechanical measurements. The following paper speaks to the issue of quantitative accuracy by presenting an overview of various standards and techniques under development at NIST and other national metrology institutes (NMIs) that can provide a metrological basis for nanomechanical testing. The infrastructure we describe places firm emphasis on traceability to the International System of Units, paving the way for truly quantitative, rather than qualitative, physical property testing.

  3. Digital Holography, a metrological tool for quantitative analysis: Trends and future applications

    NASA Astrophysics Data System (ADS)

    Paturzo, Melania; Pagliarulo, Vito; Bianco, Vittorio; Memmolo, Pasquale; Miccio, Lisa; Merola, Francesco; Ferraro, Pietro

    2018-05-01

    A review on the last achievements of Digital Holography is reported in this paper, showing that this powerful method can be a key metrological tool for the quantitative analysis and non-invasive inspection of a variety of materials, devices and processes. Nowadays, its range of applications has been greatly extended, including the study of live biological matter and biomedical applications. This paper overviews the main progresses and future perspectives of digital holography, showing new optical configurations and investigating the numerical issues to be tackled for the processing and display of quantitative data.

  4. Metrological approach to quantitative analysis of clinical samples by LA-ICP-MS: A critical review of recent studies.

    PubMed

    Sajnóg, Adam; Hanć, Anetta; Barałkiewicz, Danuta

    2018-05-15

    Analysis of clinical specimens by imaging techniques allows to determine the content and distribution of trace elements on the surface of the examined sample. In order to obtain reliable results, the developed procedure should be based not only on the properly prepared sample and performed calibration. It is also necessary to carry out all phases of the procedure in accordance with the principles of chemical metrology whose main pillars are the use of validated analytical methods, establishing the traceability of the measurement results and the estimation of the uncertainty. This review paper discusses aspects related to sampling, preparation and analysis of clinical samples by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) with emphasis on metrological aspects, i.e. selected validation parameters of the analytical method, the traceability of the measurement result and the uncertainty of the result. This work promotes the introduction of metrology principles for chemical measurement with emphasis to the LA-ICP-MS which is the comparative method that requires studious approach to the development of the analytical procedure in order to acquire reliable quantitative results. Copyright © 2018 Elsevier B.V. All rights reserved.

  5. MO-G-12A-01: Quantitative Imaging Metrology: What Should Be Assessed and How?

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Giger, M; Petrick, N; Obuchowski, N

    The first two symposia in the Quantitative Imaging Track focused on 1) the introduction of quantitative imaging (QI) challenges and opportunities, and QI efforts of agencies and organizations such as the RSNA, NCI, FDA, and NIST, and 2) the techniques, applications, and challenges of QI, with specific examples from CT, PET/CT, and MR. This third symposium in the QI Track will focus on metrology and its importance in successfully advancing the QI field. While the specific focus will be on QI, many of the concepts presented are more broadly applicable to many areas of medical physics research and applications. Asmore » such, the topics discussed should be of interest to medical physicists involved in imaging as well as therapy. The first talk of the session will focus on the introduction to metrology and why it is critically important in QI. The second talk will focus on appropriate methods for technical performance assessment. The third talk will address statistically valid methods for algorithm comparison, a common problem not only in QI but also in other areas of medical physics. The final talk in the session will address strategies for publication of results that will allow statistically valid meta-analyses, which is critical for combining results of individual studies with typically small sample sizes in a manner that can best inform decisions and advance the field. Learning Objectives: Understand the importance of metrology in the QI efforts. Understand appropriate methods for technical performance assessment. Understand methods for comparing algorithms with or without reference data (i.e., “ground truth”). Understand the challenges and importance of reporting results in a manner that allows for statistically valid meta-analyses.« less

  6. EDITORIAL: Nanoscale metrology Nanoscale metrology

    NASA Astrophysics Data System (ADS)

    Klapetek, P.; Koenders, L.

    2011-09-01

    This special issue of Measurement Science and Technology presents selected contributions from the NanoScale 2010 seminar held in Brno, Czech Republic. It was the 5th Seminar on Nanoscale Calibration Standards and Methods and the 9th Seminar on Quantitative Microscopy (the first being held in 1995). The seminar was jointly organized with the Czech Metrology Institute (CMI) and the Nanometrology Group of the Technical Committee-Length of EURAMET. There were two workshops that were integrated into NanoScale 2010: first a workshop presenting the results obtained in NANOTRACE, a European Metrology Research Project (EMRP) on displacement-measuring optical interferometers, and second a workshop about the European metrology landscape in nanometrology related to thin films, scanning probe microscopy and critical dimension. The aim of this workshop was to bring together developers, applicants and metrologists working in this field of nanometrology and to discuss future needs. For more information see www.co-nanomet.eu. The articles in this special issue of Measurement Science and Technology cover some novel scientific results. This issue can serve also as a representative selection of topics that are currently being investigated in the field of European and world-wide nanometrology. Besides traditional topics of dimensional metrology, like development of novel interferometers or laser stabilization techniques, some novel interesting trends in the field of nanometrology are observed. As metrology generally reflects the needs of scientific and industrial research, many research topics addressed refer to current trends in nanotechnology, too, focusing on traceability and improved measurement accuracy in this field. While historically the most studied standards in nanometrology were related to simple geometric structures like step heights or 1D or 2D gratings, now we are facing tasks to measure 3D structures and many unforeseen questions arising from interesting physical

  7. Metrology in health: a pilot study

    NASA Astrophysics Data System (ADS)

    Ferreira, M.; Matos, A.

    2015-02-01

    The purpose of this paper is to identify and analyze some relevant issues which arise when the concept of metrological traceability is applied to health care facilities. Discussion is structured around the results that were obtained through a characterization and comparative description of the practices applied in 45 different Portuguese health entities. Following a qualitative exploratory approach, the information collected was the support for the initial research hypotheses and the development of the questionnaire survey. It was also applied a quantitative methodology that included a descriptive and inferential statistical analysis of the experimental data set.

  8. Characterization of shape and deformation of MEMS by quantitative optoelectronic metrology techniques

    NASA Astrophysics Data System (ADS)

    Furlong, Cosme; Pryputniewicz, Ryszard J.

    2002-06-01

    Recent technological trends based on miniaturization of mechanical, electro-mechanical, and photonic devices to the microscopic scale, have led to the development of microelectromechanical systems (MEMS). Effective development of MEMS components requires the synergism of advanced design, analysis, and fabrication methodologies, and also of quantitative metrology techniques for characterizing their performance, reliability, and integrity during the electronic packaging cycle. In this paper, we describe opto-electronic techniques for measuring, with sub-micrometer accuracy, shape and changes in states of deformation of MEMS strictures. With the described opto-electronic techniques, it is possible to characterize MEMS components using the display and data modes. In the display mode, interferometric information related to shape and deformation is displayed at video frame rates, providing the capability for adjusting and setting experimental conditions. In the data mode, interferometric information related to shape and deformation is recorded as high-spatial and high-digital resolution images, which are further processed to provide quantitative 3D information. Furthermore, the quantitative 3D data are exported to computer-aided design (CAD) environments and utilized for analysis and optimization of MEMS devices. Capabilities of opto- electronic techniques are illustrated with representative applications demonstrating their applicability to provide indispensable quantitative information for the effective development and optimization of MEMS devices.

  9. Effect of metrology time delay on overlay APC

    NASA Astrophysics Data System (ADS)

    Carlson, Alan; DiBiase, Debra

    2002-07-01

    The run-to-run control strategy of lithography APC is primarily composed of a feedback loop as shown in the diagram below. It is known that the insertion of a time delay in a feedback loop can cause degradation in control performance and could even cause a stable system to become unstable, if the time delay becomes sufficiently large. Many proponents of integrated metrology methods have cited the damage caused by metrology time delays as the primary justification for moving from a stand-alone to integrated metrology. While there is little dispute over the qualitative form of this argument, there has been very light published about the quantitative effects under real fab conditions - precisely how much control is lost due to these time delays. Another issue regarding time delays is that the length of these delays is not typically fixed - they vary from lot to lot and in some cases this variance can be large - from one hour on the short side to over 32 hours on the long side. Concern has been expressed that the variability in metrology time delays can cause undesirable dynamics in feedback loops that make it difficult to optimize feedback filters and gains and at worst could drive a system unstable. By using data from numerous fabs, spanning many sizes and styles of operation, we have conducted a quantitative study of the time delay effect on overlay run- to-run control. Our analysis resulted in the following conclusions: (1) There is a significant and material relationship between metrology time delay and overlay control under a variety of real world production conditions. (2) The run-to-run controller can be configured to minimize sensitivity to time delay variations. (3) The value of moving to integrated metrology can be quantified.

  10. In-cell overlay metrology by using optical metrology tool

    NASA Astrophysics Data System (ADS)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  11. FOREWORD: Neutron metrology Neutron metrology

    NASA Astrophysics Data System (ADS)

    Thomas, David J.; Nolte, Ralf; Gressier, Vincent

    2011-12-01

    The International Committee for Weights and Measures (CIPM) has consultative committees covering various areas of metrology. The Consultative Committee for Ionizing Radiation (CCRI) differs from the others in having three sections: Section (I) deals with radiation dosimetry, Section (II) with radionuclide metrology and Section (III) with neutron metrology. In 2003 a proposal was made to publish special issues of Metrologia covering the work of the three Sections. Section (II) was the first to complete their task, and their special issue was published in 2007, volume 44(4). This was followed in 2009 by the special issue on radiation dosimetry, volume 46(2). The present issue, volume 48(6), completes the trilogy and attempts to explain neutron metrology, the youngest of the three disciplines, the neutron only having been discovered in 1932, to a wider audience and to highlight the relevance and importance of this field. When originally approached with the idea of this special issue, Section (III) immediately saw the value of a publication specifically on neutron metrology. It is a topic area where papers tend to be scattered throughout the literature in journals covering, for example, nuclear instrumentation, radiation protection or radiation measurements in general. Review articles tend to be few. People new to the field often ask for an introduction to the various topics. There are some excellent older textbooks, but these are now becoming obsolete. More experienced workers in specific areas of neutron metrology can find it difficult to know the latest position in related areas. The papers in this issue attempt, without presenting a purely historical outline, to describe the field in a sufficiently logical way to provide the novice with a clear introduction, while being sufficiently up-to-date to provide the more experienced reader with the latest scientific developments in the different topic areas. Neutron radiation fields obviously occur throughout the nuclear

  12. Digital terrain modelling and industrial surface metrology - Converging crafts

    USGS Publications Warehouse

    Pike, R.J.

    2001-01-01

    Quantitative characterisation of surface form, increasingly from digital 3-D height data, is cross-disciplinary and can be applied at any scale. Thus, separation of industrial-surface metrology from its Earth-science counterpart, (digital) terrain modelling, is artificial. Their growing convergence presents an opportunity to develop in surface morphometry a unified approach to surface representation. This paper introduces terrain modelling and compares it with metrology, noting their differences and similarities. Examples of potential redundancy among parameters illustrate one of the many issues common to both disciplines. ?? 2001 Elsevier Science Ltd. All rights reserved.

  13. Speckle noise reduction in quantitative optical metrology techniques by application of the discrete wavelet transformation

    NASA Astrophysics Data System (ADS)

    Furlong, Cosme; Pryputniewicz, Ryszard J.

    2002-06-01

    Effective suppression of speckle noise content in interferometric data images can help in improving accuracy and resolution of the results obtained with interferometric optical metrology techniques. In this paper, novel speckle noise reduction algorithms based on the discrete wavelet transformation are presented. The algorithms proceed by: (a) estimating the noise level contained in the interferograms of interest, (b) selecting wavelet families, (c) applying the wavelet transformation using the selected families, (d) wavelet thresholding, and (e) applying the inverse wavelet transformation, producing denoised interferograms. The algorithms are applied to the different stages of the processing procedures utilized for generation of quantitative speckle correlation interferometry data of fiber-optic based opto-electronic holography (FOBOEH) techniques, allowing identification of optimal processing conditions. It is shown that wavelet algorithms are effective for speckle noise reduction while preserving image features otherwise faded with other algorithms.

  14. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    NASA Astrophysics Data System (ADS)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  15. Industrial graphene metrology.

    PubMed

    Kyle, Jennifer Reiber; Ozkan, Cengiz S; Ozkan, Mihrimah

    2012-07-07

    Graphene is an allotrope of carbon whose structure is based on one-atom-thick planar sheets of carbon atoms that are densely packed in a honeycomb crystal lattice. Its unique electrical and optical properties raised worldwide interest towards the design and fabrication of future electronic and optical devices with unmatched performance. At the moment, extensive efforts are underway to evaluate the reliability and performance of a number of such devices. With the recent advances in synthesizing large-area graphene sheets, engineers have begun investigating viable methodologies for conducting graphene metrology and quality control at industrial scales to understand a variety of reliability issues including defects, patternability, electrical, and physical properties. This review summarizes the current state of industrial graphene metrology and provides an overview of graphene metrology techniques. In addition, a recently developed large-area graphene metrology technique based on fluorescence quenching is introduced. For each metrology technique, the industrial metrics it measures are identified--layer thickness, edge structure, defects, Fermi level, and thermal conductivity--and a detailed description is provided as to how the measurements are performed. Additionally, the potential advantages of each technique for industrial use are identified, including throughput, scalability, sensitivity to substrate/environment, and on their demonstrated ability to achieve quantified results. The recently developed fluorescence-quenching metrology technique is shown to meet all the necessary criteria for industrial applications, rendering it the first industry-ready graphene metrology technique.

  16. Nano-metrology and terrain modelling - convergent practice in surface characterisation

    USGS Publications Warehouse

    Pike, R.J.

    2000-01-01

    The quantification of magnetic-tape and disk topography has a macro-scale counterpart in the Earth sciences - terrain modelling, the numerical representation of relief and pattern of the ground surface. The two practices arose independently and continue to function separately. This methodological paper introduces terrain modelling, discusses its similarities to and differences from industrial surface metrology, and raises the possibility of a unified discipline of quantitative surface characterisation. A brief discussion of an Earth-science problem, subdividing a heterogeneous terrain surface from a set of sample measurements, exemplifies a multivariate statistical procedure that may transfer to tribological applications of 3-D metrological height data.

  17. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    NASA Astrophysics Data System (ADS)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  18. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    NASA Astrophysics Data System (ADS)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  19. A Roadmap for Thermal Metrology

    NASA Astrophysics Data System (ADS)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  20. [The metrology of uncertainty: a study of vital statistics from Chile and Brazil].

    PubMed

    Carvajal, Yuri; Kottow, Miguel

    2012-11-01

    This paper addresses the issue of uncertainty in the measurements used in public health analysis and decision-making. The Shannon-Wiener entropy measure was adapted to express the uncertainty contained in counting causes of death in official vital statistics from Chile. Based on the findings, the authors conclude that metrological requirements in public health are as important as the measurements themselves. The study also considers and argues for the existence of uncertainty associated with the statistics' performative properties, both by the way the data are structured as a sort of syntax of reality and by exclusion of what remains beyond the quantitative modeling used in each case. Following the legacy of pragmatic thinking and using conceptual tools from the sociology of translation, the authors emphasize that by taking uncertainty into account, public health can contribute to a discussion on the relationship between technology, democracy, and formation of a participatory public.

  1. Metrological Support in Technosphere Safety

    NASA Astrophysics Data System (ADS)

    Akhobadze, G. N.

    2017-11-01

    The principle of metrological support in technosphere safety is considered. It is based on the practical metrology. The theoretical aspects of accuracy and errors of the measuring instruments intended for diagnostics and control of the technosphere under the influence of factors harmful to human beings are presented. The necessity to choose measuring devices with high metrological characteristics according to the accuracy class and contact of sensitive elements with a medium under control is shown. The types of additional errors in measuring instruments that arise when they are affected by environmental influences are described. A specific example of the analyzers application to control industrial emissions and measure the oil and particulate matter in wastewater is shown; it allows assessing advantages and disadvantages of analyzers. Besides, the recommendations regarding the missing metrological characteristics of the instruments in use are provided. The technosphere continuous monitoring taking into account the metrological principles is expected to efficiently forecast the technosphere development and make appropriate decisions.

  2. Optics for Processes, Products and Metrology

    NASA Astrophysics Data System (ADS)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  3. PREFACE: VII Brazilian Congress on Metrology (Metrologia 2013)

    NASA Astrophysics Data System (ADS)

    Costa-Félix, Rodrigo; Bernardes, Americo; Valente de Oliveira, José Carlos; Mauro Granjeiro, José; Epsztejn, Ruth; Ihlenfeld, Waldemar; Smarçaro da Cunha, Valnei

    2015-01-01

    SEVENTH BRAZILIAN CONGRESS ON METROLOGY (METROLOGIA 2013) Metrology and Quality for a Sustainable Development From November 24th to 27th 2013 was issued the Seventh Brazilian Congress on Metrology (Metrologia 2013), which is a biannual conference organized and sponsored by the Brazilian Society of Metrology (SBM) and the Brazilian National Institute of Metrology, Quality and Technology (Inmetro). This edition was held in the charming and historical city of Ouro Preto, MG, Brazil, and aimed to join people and institutions devoted to the dissemination of the metrology and conformity assessment. The Metrologia 2013 Conference consisted of Keynote Speeches (7) and regular papers (204). Among the regular papers, the 47 most outstanding ones, comprising a high quality content on Metrology and Conformity Assessment, were selected to be published in this issue of the Journal of Physics: Conference Series. The topics of the conference covered all important areas of Metrology, which were agglutinated in the following sessions in the present issue: . Physical Metrology (Acoustics, Vibration and Ultrasound; Electricity and Magnetism; Mechanics; Optics); . Metrology on Ionizing Radiations; . Time and Frequency; . Chemistry Metrology; . Materials Metrology; . Biotechnology; . Uncertainty, Statistics and Mathematics; . Legal Metrology; . Conformity Assessment. It is our great pleasure to present this volume of IOP Journal of Physics: Conference Series (JPCS) to the scientific community to promote further research in Metrology and related areas. We believe that this volume will be both an excellent source of scientific material in the fast evolving fields that were covered by Metrologia 2013. President of the congress Americo Bernardes Federal University of Ouro Preto atb@iceb.ufop.br Editor-in-chief Rodrigo Costa-Félix Brazilian National Institute of Metrology, Quality and Technology rpfelix@inmetro.gov.br Editors José Carlos Valente de Oliveira (Editor on Mechanical Metrology

  4. MSFC Optical Metrology: A National Resource

    NASA Technical Reports Server (NTRS)

    Burdine, Robert

    1998-01-01

    A national need exists for Large Diameter Optical Metrology Services. These services include the manufacture, testing, and assurance of precision and control necessary to assure the success of large optical projects. "Best Practices" are often relied on for manufacture and quality controls while optical projects are increasingly more demanding and complex. Marshall Space Flight Center (MSFC) has acquired unique optical measurement, testing and metrology capabilities through active participation in a wide variety of NASA optical programs. An overview of existing optical facilities and metrology capabilities is given with emphasis on use by other optical projects. Cost avoidance and project success is stressed through use of existing MSFC facilities and capabilities for measurement and metrology controls. Current issues in large diameter optical metrology are briefly reviewed. The need for a consistent and long duration Large Diameter Optical Metrology Service Group is presented with emphasis on the establishment of a National Large Diameter Optical Standards Laboratory. Proposals are made to develop MSFC optical standards and metrology capabilities as the primary national standards resource, providing access to MSFC Optical Core Competencies for manufacturers and researchers. Plans are presented for the development of a national lending library of precision optical standards with emphasis on cost avoidance while improving measurement assurance.

  5. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    NASA Astrophysics Data System (ADS)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  6. Metrology Standards for Quantitative Imaging Biomarkers

    PubMed Central

    Obuchowski, Nancy A.; Kessler, Larry G.; Raunig, David L.; Gatsonis, Constantine; Huang, Erich P.; Kondratovich, Marina; McShane, Lisa M.; Reeves, Anthony P.; Barboriak, Daniel P.; Guimaraes, Alexander R.; Wahl, Richard L.

    2015-01-01

    Although investigators in the imaging community have been active in developing and evaluating quantitative imaging biomarkers (QIBs), the development and implementation of QIBs have been hampered by the inconsistent or incorrect use of terminology or methods for technical performance and statistical concepts. Technical performance is an assessment of how a test performs in reference objects or subjects under controlled conditions. In this article, some of the relevant statistical concepts are reviewed, methods that can be used for evaluating and comparing QIBs are described, and some of the technical performance issues related to imaging biomarkers are discussed. More consistent and correct use of terminology and study design principles will improve clinical research, advance regulatory science, and foster better care for patients who undergo imaging studies. © RSNA, 2015 PMID:26267831

  7. Laser Metrology In Biomechanics

    NASA Astrophysics Data System (ADS)

    Pryputniewicz, Ryszard J.

    1983-12-01

    Modern treatment of sceletal disharmonies and malocclusions utilizes application of external forces. In order to effectively use these therapeutic forces, knowledge of three-dimensional displacements of bones with correlation to biological changes is required. In the past, this problem has been studied in a number of ways using, for example, strain gauges, brittle coatings, photoelasticity, as well as clinical observations and mathematical modeling. Becouse of their inherent limitations, these techniques did not always provide all the information necessary for development of meaningful relationships between the applied force system and the resulting biological remodeling. However, recent advances in the field of la-ser metrology allowed to overcome some of the dificulties found in the earlier methods and permitted development of new techniques for non-invasive measurements of bone motions in three-dimensional space. These laser techniques are particularly useful in biomechanics because they provide for rapid and accurate determination of displacements over the entire surface of the investigate object. In this paper, application of laser techniques for quantitative in-vivo and in-vitro measurements in biomechanics will be discussed and illustrated with representative examples.

  8. Metrology for Information Technology

    DTIC Science & Technology

    1997-05-01

    Technology (IT) MEL/ITL Task Group on Metrology for Information Technology (IT) U.S. DEPARTMENT OF COMMERCE Technology Administration National Institute of...NIST management requested a white paper on metrology for information technology (IT). A task group was formed to develop this white paper with...representatives from the Manufacturing Engineering Laboratory (MEL), the Information Technology Laboratory (ITL), and Technology Services (TS). The task

  9. SAQP pitch walk metrology using single target metrology

    NASA Astrophysics Data System (ADS)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  10. Efficient hybrid metrology for focus, CD, and overlay

    NASA Astrophysics Data System (ADS)

    Tel, W. T.; Segers, B.; Anunciado, R.; Zhang, Y.; Wong, P.; Hasan, T.; Prentice, C.

    2017-03-01

    In the advent of multiple patterning techniques in semiconductor industry, metrology has progressively become a burden. With multiple patterning techniques such as Litho-Etch-Litho-Etch and Sidewall Assisted Double Patterning, the number of processing step have increased significantly and therefore, so as the amount of metrology steps needed for both control and yield monitoring. The amount of metrology needed is increasing in each and every node as more layers needed multiple patterning steps, and more patterning steps per layer. In addition to this, there is that need for guided defect inspection, which in itself requires substantially denser focus, overlay, and CD metrology as before. Metrology efficiency will therefore be cruicial to the next semiconductor nodes. ASML's emulated wafer concept offers a highly efficient method for hybrid metrology for focus, CD, and overlay. In this concept metrology is combined with scanner's sensor data in order to predict the on-product performance. The principle underlying the method is to isolate and estimate individual root-causes which are then combined to compute the on-product performance. The goal is to use all the information available to avoid ever increasing amounts of metrology.

  11. EDITORIAL: Nanoscale metrology Nanoscale metrology

    NASA Astrophysics Data System (ADS)

    Picotto, G. B.; Koenders, L.; Wilkening, G.

    2009-08-01

    diffractometry, and an error separation method. The development of 3D surface roughness measurement standards from scratches is considered in one contribution. Here a 2D autoregressive model was used to generate the software gauge data, which were used as a base for the manufacturing process by diamond turning. Contributions in the third part deal with applications including CD measurements on small and medium structures, the characterization of nanoparticles with a diameter less than 200 nm by electron microscopy, chemical nanoscale metrology by TXRF and a study of the strength of nanotube bundles. We would like to thank all the authors for their contributions, and the referees for their time spent reviewing all the papers and for making their valuable and helpful comments. Additional thanks are extended to all involved in the production of this issue for their help and support.

  12. On-orbit Metrology and Calibration Requirements for Space Station Activities Definition Study

    NASA Technical Reports Server (NTRS)

    Cotty, G. M.; Ranganathan, B. N.; Sorrell, A. L.

    1989-01-01

    The Space Station is the focal point for the commercial development of space. The long term routine operation of the Space Station and the conduct of future commercial activities suggests the need for in-space metrology capabilities analogous when possible to those on-Earth. The ability to perform periodic calibrations and measurements with proper traceability is imperative for the routine operation of the Space Station. An initial review, however, indicated a paucity of data related to metrology and calibration requirements for in-space operations. This condition probably exists because of the highly developmental aspect of space activities to date, their short duration, and nonroutine nature. The on-orbit metrology and calibration needs of the Space Station were examined and assessed. In order to achieve this goal, the following tasks were performed: an up-to-date literature review; identification of on-orbit calibration techniques; identification of sensor calibration requirements; identification of calibration equipment requirements; definition of traceability requirements; preparation of technology development plans; and preparation of the final report. Significant information and major highlights pertaining to each task is presented. In addition, some general (generic) conclusions/observations and recommendations that are pertinent to the overall in-space metrology and calibration activities are presented.

  13. Quantitative imaging biomarkers: a review of statistical methods for technical performance assessment.

    PubMed

    Raunig, David L; McShane, Lisa M; Pennello, Gene; Gatsonis, Constantine; Carson, Paul L; Voyvodic, James T; Wahl, Richard L; Kurland, Brenda F; Schwarz, Adam J; Gönen, Mithat; Zahlmann, Gudrun; Kondratovich, Marina V; O'Donnell, Kevin; Petrick, Nicholas; Cole, Patricia E; Garra, Brian; Sullivan, Daniel C

    2015-02-01

    Technological developments and greater rigor in the quantitative measurement of biological features in medical images have given rise to an increased interest in using quantitative imaging biomarkers to measure changes in these features. Critical to the performance of a quantitative imaging biomarker in preclinical or clinical settings are three primary metrology areas of interest: measurement linearity and bias, repeatability, and the ability to consistently reproduce equivalent results when conditions change, as would be expected in any clinical trial. Unfortunately, performance studies to date differ greatly in designs, analysis method, and metrics used to assess a quantitative imaging biomarker for clinical use. It is therefore difficult or not possible to integrate results from different studies or to use reported results to design studies. The Radiological Society of North America and the Quantitative Imaging Biomarker Alliance with technical, radiological, and statistical experts developed a set of technical performance analysis methods, metrics, and study designs that provide terminology, metrics, and methods consistent with widely accepted metrological standards. This document provides a consistent framework for the conduct and evaluation of quantitative imaging biomarker performance studies so that results from multiple studies can be compared, contrasted, or combined. © The Author(s) 2014 Reprints and permissions: sagepub.co.uk/journalsPermissions.nav.

  14. Metrology - Beyond the Calibration Lab

    NASA Technical Reports Server (NTRS)

    Mimbs, Scott M.

    2008-01-01

    We rely on data from measurements every day; a gas-pump, a speedometer, and a supermarket weight scale are just three examples of measurements we use to make decisions. We generally accept the data from these measurements as "valid." One reason we can accept the data is the "legal metrology" requirements established and regulated by the government in matters of commerce. The measurement data used by NASA, other government agencies, and industry can be critical to decisions which affect everything from economic viability, to mission success, to the security of the nation. Measurement data can even affect life and death decisions. Metrology requirements must adequately provide for risks associated with these decisions. To do this, metrology must be integrated into all aspects of an industry including research, design, testing, and product acceptance. Metrology, the science of measurement, has traditionally focused on the calibration of instruments, and although instrument calibration is vital, it is only a part of the process that assures quality in measurement data. For example, measurements made in research can influence the fundamental premises that establish the design parameters, which then flow down to the manufacturing processes, and eventually impact the final product. Because a breakdown can occur anywhere within this cycle, measurement quality assurance has to be integrated into every part of the life-cycle process starting with the basic research and ending with the final product inspection process. The purpose of this paper is to discuss the role of metrology in the various phases of a product's life-cycle. For simplicity, the cycle will be divided in four broad phases, with discussions centering on metrology within NASA. .

  15. Metrological digital audio reconstruction

    DOEpatents

    Fadeyev,; Vitaliy, Haber [Berkeley, CA; Carl, [Berkeley, CA

    2004-02-19

    Audio information stored in the undulations of grooves in a medium such as a phonograph record may be reconstructed, with little or no contact, by measuring the groove shape using precision metrology methods coupled with digital image processing and numerical analysis. The effects of damage, wear, and contamination may be compensated, in many cases, through image processing and analysis methods. The speed and data handling capacity of available computing hardware make this approach practical. Two examples used a general purpose optical metrology system to study a 50 year old 78 r.p.m. phonograph record and a commercial confocal scanning probe to study a 1920's celluloid Edison cylinder. Comparisons are presented with stylus playback of the samples and with a digitally re-mastered version of an original magnetic recording. There is also a more extensive implementation of this approach, with dedicated hardware and software.

  16. Computational metrology: enabling full-lot high-density fingerprint information without adding wafer metrology budget, and driving improved monitoring and process control

    NASA Astrophysics Data System (ADS)

    Kim, Hyun-Sok; Hyun, Min-Sung; Ju, Jae-Wuk; Kim, Young-Sik; Lambregts, Cees; van Rhee, Peter; Kim, Johan; McNamara, Elliott; Tel, Wim; Böcker, Paul; Oh, Nang-Lyeom; Lee, Jun-Hyung

    2018-03-01

    Computational metrology has been proposed as the way forward to resolve the need for increased metrology density, resulting from extending correction capabilities, without adding actual metrology budget. By exploiting TWINSCAN based metrology information, dense overlay fingerprints for every wafer can be computed. This extended metrology dataset enables new use cases, such as monitoring and control based on fingerprints for every wafer of the lot. This paper gives a detailed description, discusses the accuracy of the fingerprints computed, and will show results obtained in a DRAM HVM manufacturing environment. Also an outlook for improvements and extensions will be shared.

  17. A European Roadmap for Thermophysical Properties Metrology

    NASA Astrophysics Data System (ADS)

    Filtz, J.-R.; Wu, J.; Stacey, C.; Hollandt, J.; Monte, C.; Hay, B.; Hameury, J.; Villamañan, M. A.; Thurzo-Andras, E.; Sarge, S.

    2015-03-01

    A roadmap for thermophysical properties metrology was developed in spring 2011 by the Thermophysical Properties Working Group in the EURAMET Technical Committee in charge of Thermometry, Humidity and Moisture, and Thermophysical Properties metrology. This roadmapping process is part of the EURAMET (European Association of National Metrology Institutes) activities aiming to increase impact from national investment in European metrology R&D. The roadmap shows a shared vision of how the development of thermophysical properties metrology should be oriented over the next 15 years to meet future social and economic needs. Since thermophysical properties metrology is a very broad and varied field, the authors have limited this roadmap to the following families of properties: thermal transport properties (thermal conductivity, thermal diffusivity, etc.), radiative properties (emissivity, absorbance, reflectance, and transmittance), caloric quantities (specific heat, enthalpy, etc.), thermodynamic properties (PVT and phase equilibria properties), and temperature-dependent quantities (thermal expansion, compressibility, etc.). This roadmap identifies the main societal and economical triggers that drive developments in thermophysical properties metrology. The key topics considered are energy, environment, advanced manufacturing and processing, public safety, security, and health. Key targets that require improved thermophysical properties measurements are identified in order to address these triggers. Ways are also proposed for defining the necessary skills and the main useful means to be implemented. These proposals will have to be revised as needs and technologies evolve in the future.

  18. Quantitative mass spectrometry: an overview

    NASA Astrophysics Data System (ADS)

    Urban, Pawel L.

    2016-10-01

    Mass spectrometry (MS) is a mainstream chemical analysis technique in the twenty-first century. It has contributed to numerous discoveries in chemistry, physics and biochemistry. Hundreds of research laboratories scattered all over the world use MS every day to investigate fundamental phenomena on the molecular level. MS is also widely used by industry-especially in drug discovery, quality control and food safety protocols. In some cases, mass spectrometers are indispensable and irreplaceable by any other metrological tools. The uniqueness of MS is due to the fact that it enables direct identification of molecules based on the mass-to-charge ratios as well as fragmentation patterns. Thus, for several decades now, MS has been used in qualitative chemical analysis. To address the pressing need for quantitative molecular measurements, a number of laboratories focused on technological and methodological improvements that could render MS a fully quantitative metrological platform. In this theme issue, the experts working for some of those laboratories share their knowledge and enthusiasm about quantitative MS. I hope this theme issue will benefit readers, and foster fundamental and applied research based on quantitative MS measurements. This article is part of the themed issue 'Quantitative mass spectrometry'.

  19. Optimizing Hybrid Metrology: Rigorous Implementation of Bayesian and Combined Regression.

    PubMed

    Henn, Mark-Alexander; Silver, Richard M; Villarrubia, John S; Zhang, Nien Fan; Zhou, Hui; Barnes, Bryan M; Ming, Bin; Vladár, András E

    2015-01-01

    Hybrid metrology, e.g., the combination of several measurement techniques to determine critical dimensions, is an increasingly important approach to meet the needs of the semiconductor industry. A proper use of hybrid metrology may yield not only more reliable estimates for the quantitative characterization of 3-D structures but also a more realistic estimation of the corresponding uncertainties. Recent developments at the National Institute of Standards and Technology (NIST) feature the combination of optical critical dimension (OCD) measurements and scanning electron microscope (SEM) results. The hybrid methodology offers the potential to make measurements of essential 3-D attributes that may not be otherwise feasible. However, combining techniques gives rise to essential challenges in error analysis and comparing results from different instrument models, especially the effect of systematic and highly correlated errors in the measurement on the χ 2 function that is minimized. Both hypothetical examples and measurement data are used to illustrate solutions to these challenges.

  20. Optimizing Hybrid Metrology: Rigorous Implementation of Bayesian and Combined Regression

    PubMed Central

    Henn, Mark-Alexander; Silver, Richard M.; Villarrubia, John S.; Zhang, Nien Fan; Zhou, Hui; Barnes, Bryan M.; Ming, Bin; Vladár, András E.

    2015-01-01

    Hybrid metrology, e.g., the combination of several measurement techniques to determine critical dimensions, is an increasingly important approach to meet the needs of the semiconductor industry. A proper use of hybrid metrology may yield not only more reliable estimates for the quantitative characterization of 3-D structures but also a more realistic estimation of the corresponding uncertainties. Recent developments at the National Institute of Standards and Technology (NIST) feature the combination of optical critical dimension (OCD) measurements and scanning electron microscope (SEM) results. The hybrid methodology offers the potential to make measurements of essential 3-D attributes that may not be otherwise feasible. However, combining techniques gives rise to essential challenges in error analysis and comparing results from different instrument models, especially the effect of systematic and highly correlated errors in the measurement on the χ2 function that is minimized. Both hypothetical examples and measurement data are used to illustrate solutions to these challenges. PMID:26681991

  1. Coherent double-color interference microscope for traceable optical surface metrology

    NASA Astrophysics Data System (ADS)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  2. Coordinate metrology using scanning probe microscopes

    NASA Astrophysics Data System (ADS)

    Marinello, F.; Savio, E.; Bariani, P.; Carmignato, S.

    2009-08-01

    New positioning, probing and measuring strategies in coordinate metrology are needed for the accomplishment of true three-dimensional characterization of microstructures, with uncertainties in the nanometre range. In the present work, the implementation of scanning probe microscopes (SPMs) as systems for coordinate metrology is discussed. A new non-raster measurement approach is proposed, where the probe is moved to sense points along free paths on the sample surface, with no loss of accuracy with respect to traditional raster scanning and scan time reduction. Furthermore, new probes featuring long tips with innovative geometries suitable for coordinate metrology through SPMs are examined and reported.

  3. Metrology in physics, chemistry, and biology: differing perceptions.

    PubMed

    Iyengar, Venkatesh

    2007-04-01

    The association of physics and chemistry with metrology (the science of measurements) is well documented. For practical purposes, basic metrological measurements in physics are governed by two components, namely, the measure (i.e., the unit of measurement) and the measurand (i.e., the entity measured), which fully account for the integrity of a measurement process. In simple words, in the case of measuring the length of a room (the measurand), the SI unit meter (the measure) provides a direct answer sustained by metrological concepts. Metrology in chemistry, as observed through physical chemistry (measures used to express molar relationships, volume, pressure, temperature, surface tension, among others) follows the same principles of metrology as in physics. The same basis percolates to classical analytical chemistry (gravimetry for preparing high-purity standards, related definitive analytical techniques, among others). However, certain transition takes place in extending the metrological principles to chemical measurements in complex chemical matrices (e.g., food samples), as it adds a third component, namely, indirect measurements (e.g., AAS determination of Zn in foods). This is a practice frequently used in field assays, and calls for additional steps to account for traceability of such chemical measurements for safeguarding reliability concerns. Hence, the assessment that chemical metrology is still evolving.

  4. Optical metrology for Starlight Separated Spacecraft Stellar Interferometry Mission

    NASA Technical Reports Server (NTRS)

    Dubovitsky, S.; Lay, O. P.; Peters, R. D.; Abramovici, A.; Asbury, C. G.; Kuhnert, A. C.; Mulder, J. L.

    2002-01-01

    We describe a high-precision inter-spacecraft metrology system designed for NASA 's StarLight mission, a space-based separated-spacecraft stellar interferometer. It consists of dual-target linear metrology, based on a heterodyne interferometer with carrier phase modulation, and angular metrology designed to sense the pointing of the laser beam and provides bearing information. The dual-target operation enables one metrology beam to sense displacement of two targets independently. We present the current design, breadboard implementation of the Metrology Subsystem in a stellar interferometer testbed and the present state of development of flight qualifiable subsystem components.

  5. Temperature metrology

    NASA Astrophysics Data System (ADS)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  6. Metrology for the manufacturing of freeform optics

    NASA Astrophysics Data System (ADS)

    Blalock, Todd; Myer, Brian; Ferralli, Ian; Brunelle, Matt; Lynch, Tim

    2017-10-01

    Recently the use of freeform surfaces have become a realization for optical designers. These non-symmetrical optical surfaces have allowed unique solutions to optical design problems. The implementation of freeform optical surfaces has been limited by manufacturing capabilities and quality. However over the past several years freeform fabrication processes have improved in capability and precision. But as with any manufacturing, proper metrology is required to monitor and verify the process. Typical optics metrology such as interferometry has its challenges and limitations with the unique shapes of freeform optics. Two contact metrology methods for freeform metrology are presented; a Leitz coordinate measurement machine (CMM) with an uncertainty of +/- 0.5 μm and a high resolution profilometer (Panasonic UA3P) with a measurement uncertainty of +/- 0.05 μm. We are also developing a non-contact high resolution technique based on the fringe reflection technique known as deflectometry. This fast non-contact metrology has the potential to compete with accuracies of the contact methods but also can acquire data in seconds rather than minutes or hours.

  7. FOREWORD: Materials metrology Materials metrology

    NASA Astrophysics Data System (ADS)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  8. Metrology and ionospheric observation standards

    NASA Astrophysics Data System (ADS)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  9. Metrology Careers: Jobs for Good Measure

    ERIC Educational Resources Information Center

    Liming, Drew

    2009-01-01

    What kind of career rewards precision and accuracy? One in metrology--the science of measurement. By evaluating and calibrating the technology in people's everyday lives, metrologists keep their world running smoothly. Metrology is used in the design and production of almost everything people encounter daily, from the cell phones in their pockets…

  10. Mask Design for the Space Interferometry Mission Internal Metrology

    NASA Technical Reports Server (NTRS)

    Marx, David; Zhao, Feng; Korechoff, Robert

    2005-01-01

    This slide presentation reviews the mask design used for the internal metrology of the Space Interferometry Mission (SIM). Included is information about the project, the method of measurements with SIM, the internal metrology, numerical model of internal metrology, wavefront examples, performance metrics, and mask design

  11. Improving OCD time to solution using Signal Response Metrology

    NASA Astrophysics Data System (ADS)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  12. Analysis of key technologies for virtual instruments metrology

    NASA Astrophysics Data System (ADS)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  13. PREFACE: 13th International Conference on Metrology and Properties of Engineering Surfaces

    NASA Astrophysics Data System (ADS)

    Leach, Richard

    2011-08-01

    The 13th International Conference on Metrology and Properties of Engineering Surfaces focused on the progress in surface metrology, surface characterisation instrumentation and properties of engineering surfaces. The conference provided an international forum for academics, industrialists and engineers from different disciplines to meet and exchange their ideas, results and latest research. The conference was held at Twickenham Stadium, situated approximately six miles from Heathrow Airport and approximately three miles from the National Physical Laboratory (NPL). This was the thirteenth in the very successful series of conferences, which have firmly established surface topography as a new and exciting interdisciplinary field of scientific and technological studies. Scientific Themes: Surface, Micro and Nano Metrology Measurement and Instrumentation Metrology for MST Devices Freeform Surface Measurement and Characterisation Uncertainty, Traceability and Calibration AFM/SPM Metrology Tribology and Wear Phenomena Functional Applications Stylus and Optical Instruments

  14. Entanglement-enhanced quantum metrology in a noisy environment

    NASA Astrophysics Data System (ADS)

    Wang, Kunkun; Wang, Xiaoping; Zhan, Xiang; Bian, Zhihao; Li, Jian; Sanders, Barry C.; Xue, Peng

    2018-04-01

    Quantum metrology overcomes standard precision limits and plays a central role in science and technology. Practically, it is vulnerable to imperfections such as decoherence. Here we demonstrate quantum metrology for noisy channels such that entanglement with ancillary qubits enhances the quantum Fisher information for phase estimation but not otherwise. Our photonic experiment covers a range of noise for various types of channels, including for two randomly alternating channels such that assisted entanglement fails for each noisy channel individually. We simulate noisy channels by implementing space-multiplexed dual interferometers with quantum photonic inputs. We demonstrate the advantage of entanglement-assisted protocols in a phase estimation experiment run with either a single-probe or multiprobe approach. These results establish that entanglement with ancillae is a valuable approach for delivering quantum-enhanced metrology. Our approach to entanglement-assisted quantum metrology via a simple linear-optical interferometric network with easy-to-prepare photonic inputs provides a path towards practical quantum metrology.

  15. The Development of a Deflectometer for Accurate Surface Figure Metrology

    NASA Technical Reports Server (NTRS)

    Gubarev, Mikhail; Eberhardt, Andrew; Ramsey, Brian; Atkins, Carolyn

    2015-01-01

    Marshall Space Flight Center is developing the method of direct fabrication for high resolution full-shell x-ray optics. In this technique the x-ray optics axial profiles are figured and polished using a computer-controlled ZeekoIRP600X polishing machine. Based on the Chandra optics fabrication history about one third of the manufacturing time is spent on moving a mirror between fabrication and metrology sites, reinstallation and alignment with either the metrology or fabrication instruments. Also, the accuracy of the alignment significantly affects the ultimate accuracy of the resulting mirrors. In order to achieve higher convergence rate it is highly desirable to have a metrology technique capable of in situ surface figure measurements of the optics under fabrication, so the overall fabrication costs would be greatly reduced while removing the surface errors due to the re-alignment necessary after each metrology cycle during the fabrication. The goal of this feasibility study is to demonstrate if the Phase Measuring Deflectometry can be applied for in situ metrology of full shell x-ray optics. Examples of the full-shell mirror substrates suitable for the direct fabrication

  16. Quantitative Imaging Biomarkers: A Review of Statistical Methods for Technical Performance Assessment

    PubMed Central

    2017-01-01

    Technological developments and greater rigor in the quantitative measurement of biological features in medical images have given rise to an increased interest in using quantitative imaging biomarkers (QIBs) to measure changes in these features. Critical to the performance of a QIB in preclinical or clinical settings are three primary metrology areas of interest: measurement linearity and bias, repeatability, and the ability to consistently reproduce equivalent results when conditions change, as would be expected in any clinical trial. Unfortunately, performance studies to date differ greatly in designs, analysis method and metrics used to assess a QIB for clinical use. It is therefore, difficult or not possible to integrate results from different studies or to use reported results to design studies. The Radiological Society of North America (RSNA) and the Quantitative Imaging Biomarker Alliance (QIBA) with technical, radiological and statistical experts developed a set of technical performance analysis methods, metrics and study designs that provide terminology, metrics and methods consistent with widely accepted metrological standards. This document provides a consistent framework for the conduct and evaluation of QIB performance studies so that results from multiple studies can be compared, contrasted or combined. PMID:24919831

  17. Assessment of the present NASA optical metrology capabilities and recommendations for establishing an in-house NASA Optical Metrology Group

    NASA Technical Reports Server (NTRS)

    Parks, Robert E.

    1991-01-01

    An investigation into when it was first recognized that there was a deficiency in NASA optical metrology oversight capability, why this deficiency existed unnoticed for so long, and a proposal for correcting the problem is presented. It is explained why this optical metrology oversight is so critical to program success and at the same time, why it is difficult to establish due to the nature of the technology. The solution proposed is the establishment of an Optics Metrology Group within the NASA/MSFC Optics Branch with a line of authority from NASA S & MA.

  18. 7/5nm logic manufacturing capabilities and requirements of metrology

    NASA Astrophysics Data System (ADS)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  19. Emerging technology for astronomical optics metrology

    NASA Astrophysics Data System (ADS)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  20. Absolute metrology for space interferometers

    NASA Astrophysics Data System (ADS)

    Salvadé, Yves; Courteville, Alain; Dändliker, René

    2017-11-01

    The crucial issue of space-based interferometers is the laser interferometric metrology systems to monitor with very high accuracy optical path differences. Although classical high-resolution laser interferometers using a single wavelength are well developed, this type of incremental interferometer has a severe drawback: any interruption of the interferometer signal results in the loss of the zero reference, which requires a new calibration, starting at zero optical path difference. We propose in this paper an absolute metrology system based on multiplewavelength interferometry.

  1. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    NASA Astrophysics Data System (ADS)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  2. Hybrid Metrology and 3D-AFM Enhancement for CD Metrology Dedicated to 28 nm Node and Below Requirements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Foucher, J.; Faurie, P.; Dourthe, L.

    2011-11-10

    The measurement accuracy is becoming one of the major components that have to be controlled in order to guarantee sufficient production yield. Already at the R and D level, we have to come up with the accurate measurements of sub-40 nm dense trenches and contact holes coming from 193 immersion lithography or E-Beam lithography. Current production CD (Critical Dimension) metrology techniques such as CD-SEM (CD-Scanning Electron Microscope) and OCD (Optical Critical Dimension) are limited in relative accuracy for various reasons (i.e electron proximity effect, outputs parameters correlation, stack influence, electron interaction with materials...). Therefore, time for R and D ismore » increasing, process windows degrade and finally production yield can decrease because you cannot manufactured correctly if you are unable to measure correctly. A new high volume manufacturing (HVM) CD metrology solution has to be found in order to improve the relative accuracy of production environment otherwise current CD Metrology solution will very soon get out of steam.In this paper, we will present a potential Hybrid CD metrology solution that smartly tuned 3D-AFM (3D-Atomic Force Microscope) and CD-SEM data in order to add accuracy both in R and D and production. The final goal for 'chip makers' is to improve yield and save R and D and production costs through real-time feedback loop implement on CD metrology routines. Such solution can be implemented and extended to any kind of CD metrology solution. In a 2{sup nd} part we will discuss and present results regarding a new AFM3D probes breakthrough with the introduction of full carbon tips made will E-Beam Deposition process. The goal is to overcome the current limitations of conventional flared silicon tips which are definitely not suitable for sub-32 nm nodes production.« less

  3. Gaps analysis for CD metrology beyond the 22nm node

    NASA Astrophysics Data System (ADS)

    Bunday, Benjamin; Germer, Thomas A.; Vartanian, Victor; Cordes, Aaron; Cepler, Aron; Settens, Charles

    2013-04-01

    This paper will examine the future for critical dimension (CD) metrology. First, we will present the extensive list of applications for which CD metrology solutions are needed, showing commonalities and differences among the various applications. We will then report on the expected technical limits of the metrology solutions currently being investigated by SEMATECH and others in the industry to address the metrology challenges of future nodes, including conventional CD scanning electron microscopy (CD-SEM) and optical critical dimension (OCD) metrology and new potential solutions such as He-ion microscopy (HeIM, sometimes elsewhere referred to as HIM), CD atomic force microscopy (CD-AFM), CD small-angle x-ray scattering (CD-SAXS), high-voltage scanning electron microscopy (HV-SEM), and other types. A technical gap analysis matrix will then be demonstrated, showing the current state of understanding of the future of the CD metrology space.

  4. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    NASA Astrophysics Data System (ADS)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  5. An atomic force microscope for the study of the effects of tip sample interactions on dimensional metrology

    NASA Astrophysics Data System (ADS)

    Yacoot, Andrew; Koenders, Ludger; Wolff, Helmut

    2007-02-01

    An atomic force microscope (AFM) has been developed for studying interactions between the AFM tip and the sample. Such interactions need to be taken into account when making quantitative measurements. The microscope reported here has both the conventional beam deflection system and a fibre optical interferometer for measuring the movement of the cantilever. Both can be simultaneously used so as to not only servo control the tip movements, but also detect residual movement of the cantilever. Additionally, a high-resolution homodyne differential optical interferometer is used to measure the vertical displacement between the cantilever holder and the sample, thereby providing traceability for vertical height measurements. The instrument is compatible with an x-ray interferometer, thereby facilitating high resolution one-dimensional scans in the X-direction whose metrology is based on the silicon d220 lattice spacing (0.192 nm). This paper concentrates on the first stage of the instrument's development and presents some preliminary results validating the instrument's performance and showing its potential.

  6. Advanced Mathematical Tools in Metrology III

    NASA Astrophysics Data System (ADS)

    Ciarlini, P.

    The Table of Contents for the book is as follows: * Foreword * Invited Papers * The ISO Guide to the Expression of Uncertainty in Measurement: A Bridge between Statistics and Metrology * Bootstrap Algorithms and Applications * The TTRSs: 13 Oriented Constraints for Dimensioning, Tolerancing & Inspection * Graded Reference Data Sets and Performance Profiles for Testing Software Used in Metrology * Uncertainty in Chemical Measurement * Mathematical Methods for Data Analysis in Medical Applications * High-Dimensional Empirical Linear Prediction * Wavelet Methods in Signal Processing * Software Problems in Calibration Services: A Case Study * Robust Alternatives to Least Squares * Gaining Information from Biomagnetic Measurements * Full Papers * Increase of Information in the Course of Measurement * A Framework for Model Validation and Software Testing in Regression * Certification of Algorithms for Determination of Signal Extreme Values during Measurement * A Method for Evaluating Trends in Ozone-Concentration Data and Its Application to Data from the UK Rural Ozone Monitoring Network * Identification of Signal Components by Stochastic Modelling in Measurements of Evoked Magnetic Fields from Peripheral Nerves * High Precision 3D-Calibration of Cylindrical Standards * Magnetic Dipole Estimations for MCG-Data * Transfer Functions of Discrete Spline Filters * An Approximation Method for the Linearization of Tridimensional Metrology Problems * Regularization Algorithms for Image Reconstruction from Projections * Quality of Experimental Data in Hydrodynamic Research * Stochastic Drift Models for the Determination of Calibration Intervals * Short Communications * Projection Method for Lidar Measurement * Photon Flux Measurements by Regularised Solution of Integral Equations * Correct Solutions of Fit Problems in Different Experimental Situations * An Algorithm for the Nonlinear TLS Problem in Polynomial Fitting * Designing Axially Symmetric Electromechanical Systems of

  7. NASA metrology and calibration, 1993

    NASA Technical Reports Server (NTRS)

    1993-01-01

    Th sixteenth annual workshop of NASA's Metrology and Calibration Working Group was held April 20-22, 1993. The goals of the Working Group are to provide Agencywide standardization of individual metrology programs, where appropriate; to promote cooperation and exchange of information within NASA, with other Government agencies, and with industry; to serve as the primary Agency interface with the National Institute of Standards and Technology; and to encourage formal quality control techniques such as Measurement Assurance Programs. These proceedings contain unedited reports and presentations from the workshop and are provided for information only.

  8. Quantitative secondary electron detection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Agrawal, Jyoti; Joy, David C.; Nayak, Subuhadarshi

    Quantitative Secondary Electron Detection (QSED) using the array of solid state devices (SSD) based electron-counters enable critical dimension metrology measurements in materials such as semiconductors, nanomaterials, and biological samples (FIG. 3). Methods and devices effect a quantitative detection of secondary electrons with the array of solid state detectors comprising a number of solid state detectors. An array senses the number of secondary electrons with a plurality of solid state detectors, counting the number of secondary electrons with a time to digital converter circuit in counter mode.

  9. Evaluation of 3D metrology potential using a multiple detector CDSEM

    NASA Astrophysics Data System (ADS)

    Hakii, Hidemitsu; Yonekura, Isao; Nishiyama, Yasushi; Tanaka, Keishi; Komoto, Kenji; Murakawa, Tsutomu; Hiroyama, Mitsuo; Shida, Soichi; Kuribara, Masayuki; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki

    2012-06-01

    As feature sizes of semiconductor device structures have continuously decreased, needs for metrology tools with high precision and excellent linearity over actual pattern sizes have been growing. And it has become important to measure not only two-dimensional (2D) but also three-dimensional (3D) shapes of patterns at 22 nm node and beyond. To meet requirements for 3D metrology capabilities, various pattern metrology tools have been developed. Among those, we assume that CDSEM metrology is the most qualified candidate in the light of its non-destructive, high throughput measurement capabilities that are expected to be extended to the much-awaited 3D metrology technology. On the basis of this supposition, we have developed the 3D metrology system, in which side wall angles and heights of photomask patterns can be measured with high accuracy through analyzing CDSEM images generated by multi-channel detectors. In this paper, we will discuss our attempts to measure 3D shapes of defect patterns on a photomask by using Advantest's "Multi Vision Metrology SEM" E3630 (MVM-SEM' E3630).

  10. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it; Technology Department, European Organization for Nuclear Research; Girone, M., E-mail: mario.girone@cern.ch

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sourcesmore » most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.« less

  11. Two Approaches to Calibration in Metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Campanelli, Mark

    2014-04-01

    Inferring mathematical relationships with quantified uncertainty from measurement data is common to computational science and metrology. Sufficient knowledge of measurement process noise enables Bayesian inference. Otherwise, an alternative approach is required, here termed compartmentalized inference, because collection of uncertain data and model inference occur independently. Bayesian parameterized model inference is compared to a Bayesian-compatible compartmentalized approach for ISO-GUM compliant calibration problems in renewable energy metrology. In either approach, model evidence can help reduce model discrepancy.

  12. A new approach to pattern metrology

    NASA Astrophysics Data System (ADS)

    Ausschnitt, Christopher P.

    2004-05-01

    We describe an approach to pattern metrology that enables the simultaneous determination of critical dimensions, overlay and film thickness. A single optical system captures nonzero- and zero-order diffracted signals from illuminated grating targets, as well as unpatterned regions of the surrounding substrate. Differential targets provide in situ dimensional calibration. CD target signals are analyzed to determine average dimension, profile attributes, and effective dose and defocus. In turn, effective dose and defocus determines all CDs pre-correlated to the dose and focus settings of the exposure tool. Overlay target signals are analyzed to determine the relative reflectivity of the layer pair and the overlay error between them. Compared to commercially available pattern metrology (SEM, optical microscopy, AFM, scatterometry and schnitzlometry), our approach promises improved signal-to-noise, higher throughput and smaller targets. We have dubbed this optical chimera MOXIE (Metrology Of eXtremely Irrational Exuberance).

  13. Overlay metrology for double patterning processes

    NASA Astrophysics Data System (ADS)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  14. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    NASA Astrophysics Data System (ADS)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  15. Surface Wave Metrology for Copper/Low-k Interconnects

    NASA Astrophysics Data System (ADS)

    Gostein, M.; Maznev, A. A.; Mazurenko, A.; Tower, J.

    2005-09-01

    We review recent advances in the application of laser-induced surface acoustic wave metrology to issues in copper/low-k interconnect development and manufacturing. We illustrate how the metrology technique can be used to measure copper thickness uniformity on a range of features from solid pads to arrays of lines, focusing on specific processing issues in copper electrochemical deposition (ECD) and chemical-mechanical polishing (CMP). In addition, we review recent developments in surface wave metrology for the characterization of low-k dielectric elastic modulus, including the ability to measure within-wafer uniformity of elastic modulus and to characterize porous, anisotropic films.

  16. Fundamental limits of measurement in telecommunications: Experimental and modeling studies in a test optical network on proposal for the reform of telecommunication quantitations

    NASA Astrophysics Data System (ADS)

    Egan, James; McMillan, Normal; Denieffe, David

    2011-08-01

    Proposals for a review of the limits of measurement for telecommunications are made. The measures are based on adapting work from the area of chemical metrology for the field of telecommunications. Currie has introduced recommendations for defining the limits of measurement in chemical metrology and has identified three key fundamental limits of measurement. These are the critical level, the detection limit and the determination limit. Measurements on an optical system are used to illustrate the utility of these measures and discussion is given into the advantages of using these fundamental quantitations over existing methods.

  17. Image-based metrology of porous tissue engineering scaffolds

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Srinivasan; Robb, Richard A.

    2006-03-01

    Tissue engineering is an interdisciplinary effort aimed at the repair and regeneration of biological tissues through the application and control of cells, porous scaffolds and growth factors. The regeneration of specific tissues guided by tissue analogous substrates is dependent on diverse scaffold architectural indices that can be derived quantitatively from the microCT and microMR images of the scaffolds. However, the randomness of pore-solid distributions in conventional stochastic scaffolds presents unique computational challenges. As a result, image-based characterization of scaffolds has been predominantly qualitative. In this paper, we discuss quantitative image-based techniques that can be used to compute the metrological indices of porous tissue engineering scaffolds. While bulk averaged quantities such as porosity and surface are derived directly from the optimal pore-solid delineations, the spatially distributed geometric indices are derived from the medial axis representations of the pore network. The computational framework proposed (to the best of our knowledge for the first time in tissue engineering) in this paper might have profound implications towards unraveling the symbiotic structure-function relationship of porous tissue engineering scaffolds.

  18. Metrology needs for the semiconductor industry over the next decade

    NASA Astrophysics Data System (ADS)

    Melliar-Smith, Mark; Diebold, Alain C.

    1998-11-01

    Metrology will continue to be a key enabler for the development and manufacture of future generations of integrated circuits. During 1997, the Semiconductor Industry Association renewed the National Technology Roadmap for Semiconductors (NTRS) through the 50 nm technology generation and for the first time included a Metrology Roadmap (1). Meeting the needs described in the Metrology Roadmap will be both a technological and financial challenge. In an ideal world, metrology capability would be available at the start of process and tool development, and silicon suppliers would have 450 mm wafer capable metrology tools in time for development of that wafer size. Unfortunately, a majority of the metrology suppliers are small companies that typically can't afford the additional two to three year wait for return on R&D investment. Therefore, the success of the semiconductor industry demands that we expand cooperation between NIST, SEMATECH, the National Labs, SRC, and the entire community. In this paper, we will discuss several critical metrology topics including the role of sensor-based process control, in-line microscopy, focused measurements for transistor and interconnect fabrication, and development needs. Improvements in in-line microscopy must extend existing critical dimension measurements up to 100 nm generations and new methods may be required for sub 100 nm generations. Through development, existing metrology dielectric thickness and dopant dose and junction methods can be extended to 100 nm, but new and possibly in-situ methods are needed beyond 100 nm. Interconnect process control will undergo change before 100 nm due to the introduction of copper metallization, low dielectric constant interlevel dielectrics, and Damascene process flows.

  19. Flexible resources for quantum metrology

    NASA Astrophysics Data System (ADS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  20. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    NASA Astrophysics Data System (ADS)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  1. Metrological Reliability of Medical Devices

    NASA Astrophysics Data System (ADS)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  2. Vacuum Technology Considerations For Mass Metrology

    PubMed Central

    Abbott, Patrick J.; Jabour, Zeina J.

    2011-01-01

    Vacuum weighing of mass artifacts eliminates the necessity of air buoyancy correction and its contribution to the measurement uncertainty. Vacuum weighing is also an important process in the experiments currently underway for the redefinition of the SI mass unit, the kilogram. Creating the optimum vacuum environment for mass metrology requires careful design and selection of construction materials, plumbing components, pumping, and pressure gauging technologies. We review the vacuum technology1 required for mass metrology and suggest procedures and hardware for successful and reproducible operation. PMID:26989593

  3. Reducing the overlay metrology sensitivity to perturbations of the measurement stack

    NASA Astrophysics Data System (ADS)

    Zhou, Yue; Park, DeNeil; Gutjahr, Karsten; Gottipati, Abhishek; Vuong, Tam; Bae, Sung Yong; Stokes, Nicholas; Jiang, Aiqin; Hsu, Po Ya; O'Mahony, Mark; Donini, Andrea; Visser, Bart; de Ruiter, Chris; Grzela, Grzegorz; van der Laan, Hans; Jak, Martin; Izikson, Pavel; Morgan, Stephen

    2017-03-01

    Overlay metrology setup today faces a continuously changing landscape of process steps. During Diffraction Based Overlay (DBO) metrology setup, many different metrology target designs are evaluated in order to cover the full process window. The standard method for overlay metrology setup consists of single-wafer optimization in which the performance of all available metrology targets is evaluated. Without the availability of external reference data or multiwafer measurements it is hard to predict the metrology accuracy and robustness against process variations which naturally occur from wafer-to-wafer and lot-to-lot. In this paper, the capabilities of the Holistic Metrology Qualification (HMQ) setup flow are outlined, in particular with respect to overlay metrology accuracy and process robustness. The significance of robustness and its impact on overlay measurements is discussed using multiple examples. Measurement differences caused by slight stack variations across the target area, called grating imbalance, are shown to cause significant errors in the overlay calculation in case the recipe and target have not been selected properly. To this point, an overlay sensitivity check on perturbations of the measurement stack is presented for improvement of the overlay metrology setup flow. An extensive analysis on Key Performance Indicators (KPIs) from HMQ recipe optimization is performed on µDBO measurements of product wafers. The key parameters describing the sensitivity to perturbations of the measurement stack are based on an intra-target analysis. Using advanced image analysis, which is only possible for image plane detection of μDBO instead of pupil plane detection of DBO, the process robustness performance of a recipe can be determined. Intra-target analysis can be applied for a wide range of applications, independent of layers and devices.

  4. Reduction of wafer-edge overlay errors using advanced correction models, optimized for minimal metrology requirements

    NASA Astrophysics Data System (ADS)

    Kim, Min-Suk; Won, Hwa-Yeon; Jeong, Jong-Mun; Böcker, Paul; Vergaij-Huizer, Lydia; Kupers, Michiel; Jovanović, Milenko; Sochal, Inez; Ryan, Kevin; Sun, Kyu-Tae; Lim, Young-Wan; Byun, Jin-Moo; Kim, Gwang-Gon; Suh, Jung-Joon

    2016-03-01

    In order to optimize yield in DRAM semiconductor manufacturing for 2x nodes and beyond, the (processing induced) overlay fingerprint towards the edge of the wafer needs to be reduced. Traditionally, this is achieved by acquiring denser overlay metrology at the edge of the wafer, to feed field-by-field corrections. Although field-by-field corrections can be effective in reducing localized overlay errors, the requirement for dense metrology to determine the corrections can become a limiting factor due to a significant increase of metrology time and cost. In this study, a more cost-effective solution has been found in extending the regular correction model with an edge-specific component. This new overlay correction model can be driven by an optimized, sparser sampling especially at the wafer edge area, and also allows for a reduction of noise propagation. Lithography correction potential has been maximized, with significantly less metrology needs. Evaluations have been performed, demonstrating the benefit of edge models in terms of on-product overlay performance, as well as cell based overlay performance based on metrology-to-cell matching improvements. Performance can be increased compared to POR modeling and sampling, which can contribute to (overlay based) yield improvement. Based on advanced modeling including edge components, metrology requirements have been optimized, enabling integrated metrology which drives down overall metrology fab footprint and lithography cycle time.

  5. Integration of mask and silicon metrology in DFM

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  6. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    NASA Astrophysics Data System (ADS)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  7. Developments in optical modeling methods for metrology

    NASA Astrophysics Data System (ADS)

    Davidson, Mark P.

    1999-06-01

    Despite the fact that in recent years the scanning electron microscope has come to dominate the linewidth measurement application for wafer manufacturing, there are still many applications for optical metrology and alignment. These include mask metrology, stepper alignment, and overlay metrology. Most advanced non-optical lithographic technologies are also considering using topics for alignment. In addition, there have been a number of in-situ technologies proposed which use optical measurements to control one aspect or another of the semiconductor process. So optics is definitely not dying out in the semiconductor industry. In this paper a description of recent advances in optical metrology and alignment modeling is presented. The theory of high numerical aperture image simulation for partially coherent illumination is discussed. The implications of telecentric optics on the image simulation is also presented. Reciprocity tests are proposed as an important measure of numerical accuracy. Diffraction efficiencies for chrome gratings on reticles are one good way to test Kirchoff's approximation as compared to rigorous calculations. We find significant differences between the predictions of Kirchoff's approximation and rigorous methods. The methods for simulating brightfield, confocal, and coherence probe microscope imags are outlined, as are methods for describing aberrations such as coma, spherical aberration, and illumination aperture decentering.

  8. Geometric errors in 3D optical metrology systems

    NASA Astrophysics Data System (ADS)

    Harding, Kevin; Nafis, Chris

    2008-08-01

    The field of 3D optical metrology has seen significant growth in the commercial market in recent years. The methods of using structured light to obtain 3D range data is well documented in the literature, and continues to be an area of development in universities. However, the step between getting 3D data, and getting geometrically correct 3D data that can be used for metrology is not nearly as well developed. Mechanical metrology systems such as CMMs have long established standard means of verifying the geometric accuracies of their systems. Both local and volumentric measurments are characterized on such system using tooling balls, grid plates, and ball bars. This paper will explore the tools needed to characterize and calibrate an optical metrology system, and discuss the nature of the geometric errors often found in such systems, and suggest what may be a viable standard method of doing characterization of 3D optical systems. Finally, we will present a tradeoff analysis of ways to correct geometric errors in an optical systems considering what can be gained by hardware methods versus software corrections.

  9. Joint Research on Scatterometry and AFM Wafer Metrology

    NASA Astrophysics Data System (ADS)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  10. In-field Raman amplification on coherent optical fiber links for frequency metrology.

    PubMed

    Clivati, C; Bolognini, G; Calonico, D; Faralli, S; Mura, A; Levi, F

    2015-04-20

    Distributed Raman amplification (DRA) is widely exploited for the transmission of broadband, modulated signals used in data links, but not yet in coherent optical links for frequency metrology, where the requirements are rather different. After preliminary tests on fiber spools, in this paper we deeper investigate Raman amplification on deployed in-field optical metrological links. We actually test a Doppler-stabilized optical link both on a 94 km-long metro-network implementation with multiplexed ITU data channels and on a 180 km-long dedicated fiber haul connecting two cities, where DRA is employed in combination with Erbium-doped fiber amplification (EDFA). The performance of DRA is detailed in both experiments, indicating that it does not introduce noticeable penalties for the metrological signal or for the ITU data channels. We hence show that Raman amplification of metrological signals can be compatible with a wavelength division multiplexing architecture and that it can be used as an alternative or in combination with dedicated bidirectional EDFAs. No deterioration is noticed in the coherence properties of the delivered signal, which attains frequency instability at the 10(-19) level in both cases. This study can be of interest also in view of the undergoing deployment of continental fiber networks for frequency metrology.

  11. CD-SEM metrology and OPC modeling for 2D patterning in advanced technology nodes (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Wallow, Thomas I.; Zhang, Chen; Fumar-Pici, Anita; Chen, Jun; Laenens, Bart; Spence, Christopher A.; Rio, David; van Adrichem, Paul; Dillen, Harm; Wang, Jing; Yang, Peng-Cheng; Gillijns, Werner; Jaenen, Patrick; van Roey, Frieda; van de Kerkhove, Jeroen; Babin, Sergey

    2017-03-01

    In the course of assessing OPC compact modeling capabilities and future requirements, we chose to investigate the interface between CD-SEM metrology methods and OPC modeling in some detail. Two linked observations motivated our study: 1) OPC modeling is, in principle, agnostic of metrology methods and best practice implementation. 2) Metrology teams across the industry use a wide variety of equipment, hardware settings, and image/data analysis methods to generate the large volumes of CD-SEM measurement data that are required for OPC in advanced technology nodes. Initial analyses led to the conclusion that many independent best practice metrology choices based on systematic study as well as accumulated institutional knowledge and experience can be reasonably made. Furthermore, these choices can result in substantial variations in measurement of otherwise identical model calibration and verification patterns. We will describe several experimental 2D test cases (i.e., metal, via/cut layers) that examine how systematic changes in metrology practice impact both the metrology data itself and the resulting full chip compact model behavior. Assessment of specific methodology choices will include: • CD-SEM hardware configurations and settings: these may range from SEM beam conditions (voltage, current, etc.,) to magnification, to frame integration optimizations that balance signal-to-noise vs. resist damage. • Image and measurement optimization: these may include choice of smoothing filters for noise suppression, threshold settings, etc. • Pattern measurement methodologies: these may include sampling strategies, CD- and contour- based approaches, and various strategies to optimize the measurement of complex 2D shapes. In addition, we will present conceptual frameworks and experimental methods that allow practitioners of OPC metrology to assess impacts of metrology best practice choices on model behavior. Finally, we will also assess requirements posed by node scaling

  12. Range-Gated Metrology: An Ultra-Compact Sensor for Dimensional Stabilization

    NASA Technical Reports Server (NTRS)

    Lay, Oliver P.; Dubovitsky, Serge; Shaddock, Daniel A.; Ware, Brent; Woodruff, Christopher S.

    2008-01-01

    Point-to-point laser metrology systems can be used to stabilize large structures at the nanometer levels required for precision optical systems. Existing sensors are large and intrusive, however, with optical heads that consist of several optical elements and require multiple optical fiber connections. The use of point-to-point laser metrology has therefore been limited to applications where only a few gauges are needed and there is sufficient space to accommodate them. Range-Gated Metrology is a signal processing technique that preserves nanometer-level or better performance while enabling: (1) a greatly simplified optical head - a single fiber optic collimator - that can be made very compact, and (2) a single optical fiber connection that is readily multiplexed. This combination of features means that it will be straightforward and cost-effective to embed tens or hundreds of compact metrology gauges to stabilize a large structure. In this paper we describe the concept behind Range-Gated Metrology, demonstrate the performance in a laboratory environment, and give examples of how such a sensor system might be deployed.

  13. Advanced metrology by offline SEM data processing

    NASA Astrophysics Data System (ADS)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  14. Hybrid enabled thin film metrology using XPS and optical

    NASA Astrophysics Data System (ADS)

    Vaid, Alok; Iddawela, Givantha; Mahendrakar, Sridhar; Lenahan, Michael; Hossain, Mainul; Timoney, Padraig; Bello, Abner F.; Bozdog, Cornel; Pois, Heath; Lee, Wei Ti; Klare, Mark; Kwan, Michael; Kang, Byung Cheol; Isbester, Paul; Sendelbach, Matthew; Yellai, Naren; Dasari, Prasad; Larson, Tom

    2016-03-01

    Complexity of process steps integration and material systems for next-generation technology nodes is reaching unprecedented levels, the appetite for higher sampling rates is on the rise, while the process window continues to shrink. Current thickness metrology specifications reach as low as 0.1A for total error budget - breathing new life into an old paradigm with lower visibility for past few metrology nodes: accuracy. Furthermore, for advance nodes there is growing demand to measure film thickness and composition on devices/product instead of surrogate planar simpler pads. Here we extend our earlier work in Hybrid Metrology to the combination of X-Ray based reference technologies (high performance) with optical high volume manufacturing (HVM) workhorse metrology (high throughput). Our stated goal is: put more "eyes" on the wafer (higher sampling) and enable move to films on pattern structure (control what matters). Examples of 1X front-end applications are used to setup and validate the benefits.

  15. Digital terrain modeling and industrial surface metrology: Converging realms

    USGS Publications Warehouse

    Pike, R.J.

    2001-01-01

    Digital terrain modeling has a micro-and nanoscale counterpart in surface metrology, the numerical characterization of industrial surfaces. Instrumentation in semiconductor manufacturing and other high-technology fields can now contour surface irregularities down to the atomic scale. Surface metrology has been revolutionized by its ability to manipulate square-grid height matrices that are analogous to the digital elevation models (DEMs) used in physical geography. Because the shaping of industrial surfaces is a spatial process, the same concepts of analytical cartography that represent ground-surface form in geography evolved independently in metrology: The surface topography of manufactured components, exemplified here by automobile-engine cylinders, is routinely modeled by variogram analysis, relief shading, and most other techniques of parameterization and visualization familiar to geography. This article introduces industrial surface-metrology, examines the field in the context of terrain modeling and geomorphology and notes their similarities and differences, and raises theoretical issues to be addressed in progressing toward a unified practice of surface morphometry.

  16. NASA Metrology and Calibration, 1980

    NASA Technical Reports Server (NTRS)

    1981-01-01

    The proceedings of the fourth annual NASA Metrology and Calibration Workshop are presented. This workshop covered (1) review and assessment of NASA metrology and calibration activities by NASA Headquarters, (2) results of audits by the Office of Inspector General, (3) review of a proposed NASA Equipment Management System, (4) current and planned field center activities, (5) National Bureau of Standards (NBS) calibration services for NASA, (6) review of NBS's Precision Measurement and Test Equipment Project activities, (7) NASA instrument loan pool operations at two centers, (8) mobile cart calibration systems at two centers, (9) calibration intervals and decals, (10) NASA Calibration Capabilities Catalog, and (11) development of plans and objectives for FY 1981. Several papers in this proceedings are slide presentations only.

  17. FOREWORD: Special issue on radionuclide metrology

    NASA Astrophysics Data System (ADS)

    Simpson, Bruce; Judge, Steven

    2007-08-01

    This special issue of Metrologia on radionuclide metrology is the first of a trilogy on the subject of ionizing radiation measurement, a field that is overseen by Sections I, II and III of the CIPM's Consultative Committee for Ionizing Radiation (CCRI). The idea was first proposed at the 2003 series of CCRI Section meetings, with the general aim of showcasing the relevance and importance of metrology in ionizing radiation to a broader metrological audience. After the 2005 meeting of Section II (measurement of radionuclides), the radioactivity aspect of the project began to move forward in earnest. A working group was set up with the brief that the special issue should be of use by experienced metrologists as an overview of the 'state of the art' to compare progress and scientific content with those in other fields of metrology, as a resource for new metrologists joining the field and as a guide for users of radioactivity to explain how traceability to the international measurement system may be achieved. Since mankind first became aware of the existence of radioactivity just over a century ago (due to its discovery by Becquerel and further work by the Curies), much has been learnt and understood in the interim period. The field of radionuclide metrology that developed subsequently is broad-based and encompasses, amongst others, nuclear physics (experimental and theory), chemistry, mathematics, mathematical statistics, uncertainty analysis and advanced computing for data analysis, simulation and modelling. To determine the activity of radionuclides accurately requires elements of all of these subjects. In more recent decades the focus has been on the practical applications of radioactivity in industry and the health field in particular. In addition, low-level environmental radioactivity monitoring has taken on ever greater importance in the nuclear power era. These developments have required new detection instrumentation and techniques on an ongoing basis to ensure

  18. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    NASA Astrophysics Data System (ADS)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  19. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    PubMed

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  20. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    PubMed Central

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  1. IT Security Standards and Legal Metrology - Transfer and Validation

    NASA Astrophysics Data System (ADS)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  2. Metrology Mount and Optics Mandrels

    NASA Technical Reports Server (NTRS)

    Tananbaum, H.; Russell, Kevin (Technical Monitor)

    2000-01-01

    This document is the Final Report for NASA Grant NAG8-1198 from NASA Marshall Space Flight Center (MSFC) to the Smithsonian Astrophysical Observatory (SAO). The Grant is entitled "Metrology Mount and Optics Materials." This final report is required by the terms of the Grant. The period of performance was from September 15, 1995 through January 14, 1999. Total funding received by SAO from MSFC for this effort was, $254,000. Mr. Lester Cohen carried out most of the work at SAO, but received limited support from other engineers, technicians, and designers. Dr. Harvey Tananbaum, the Principal Investigator for the grant provided overall direction and work- assessment. The Grant has had two funding augmentations to its basic amount and has, over time, emphasized three different research areas - each of which has been an extension of earlier research. The research activity was in 2 areas: (1) Expert opto-structural studies related to separation mechanics and effects of electro formed nickel X-ray mirrors. and (2) Design, fabrication and evaluation of a low force metrology and assembly station for light weight full shell electroformed X-ray mirrors.

  3. PREFACE: 3rd International Congress on Mechanical Metrology (CIMMEC2014)

    NASA Astrophysics Data System (ADS)

    2015-10-01

    From October 14th to 16th 2014, The Brazilian National Institute of Metrology, Quality, and Technology (Inmetro) and the Brazilian Society of Metrology (SBM) organized the 3rd International Congress on Mechanical Metrology (3rd CIMMEC). The 3rd CIMMEC was held in the city of Gramado, Rio Grande do Sul, Brazil. Anticipating the interest and enthusiasm of the technical-scientific community, the Organizing Institutions invite people and organizations to participate in this important congress, reiterating the commitment to organize an event according to highest international standards. This event has been conceived to integrate people and organizations from Brazil and abroad in the discussion of advanced themes in metrology. Manufacturers and dealers of measuring equipment and standards, as well as of auxiliary accessories and bibliographic material, had the chance to promote their products and services in stands at the Fair, which has taken place alongside the Congress. The 3rd CIMMEC consisted of five Keynote Speeches and 116 regular papers. Among the regular papers, the 25 most outstanding ones, comprising a high quality content on Mechanical Metrology, were selected to be published in this issue of Journal of Physics: Conference Series. It is our great pleasure to present this volume of Journal of Physics: Conference Series to the scientific community to promote further research in Mechanical Metrology and related areas. We believe that this volume will be both an excellent source of scientific material in the fast evolving fields that were covered by CIMMEC 2014.

  4. Clean focus, dose and CD metrology for CD uniformity improvement

    NASA Astrophysics Data System (ADS)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  5. Search for general relativistic effects in table-top displacement metrology

    NASA Technical Reports Server (NTRS)

    Halverson, Peter G.; Macdonald, Daniel R.; Diaz, Rosemary T.

    2004-01-01

    As displacement metrology accuracy improves, general relativistic effects will become noticeable. Metrology gauges developed for the Space Interferometry Mission were used to search for locally anisotropic space-time, with a null result at the 10 to the negative tenth power level.

  6. Laser metrology and optic active control system for GAIA

    NASA Astrophysics Data System (ADS)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  7. Search for general relativistic effects in table-top displacement metrology

    NASA Technical Reports Server (NTRS)

    Halverson, Peter G.; Diaz, Rosemary T.; Macdonald, Daniel R.

    2004-01-01

    As displacement metrology accuracy improves, general relativistic effects will become noticeable. Metrology gauges developed for the Space Interferometry Mission, were used to search for locally anisotropic space-time, with a null result at the 10 to the negative 10th power level.

  8. Enabling Quantitative Optical Imaging for In-die-capable Critical Dimension Targets

    PubMed Central

    Barnes, B.M.; Henn, M.-A.; Sohn, M. Y.; Zhou, H.; Silver, R. M.

    2017-01-01

    Dimensional scaling trends will eventually bring semiconductor critical dimensions (CDs) down to only a few atoms in width. New optical techniques are required to address the measurement and variability for these CDs using sufficiently small in-die metrology targets. Recently, Qin et al. [Light Sci Appl, 5, e16038 (2016)] demonstrated quantitative model-based measurements of finite sets of lines with features as small as 16 nm using 450 nm wavelength light. This paper uses simulation studies, augmented with experiments at 193 nm wavelength, to adapt and optimize the finite sets of features that work as in-die-capable metrology targets with minimal increases in parametric uncertainty. A finite element based solver for time-harmonic Maxwell's equations yields two- and three-dimensional simulations of the electromagnetic scattering for optimizing the design of such targets as functions of reduced line lengths, fewer number of lines, fewer focal positions, smaller critical dimensions, and shorter illumination wavelength. Metrology targets that exceeded performance requirements are as short as 3 μm for 193 nm light, feature as few as eight lines, and are extensible to sub-10 nm CDs. Target areas measured at 193 nm can be fifteen times smaller in area than current state-of-the-art scatterometry targets described in the literature. This new methodology is demonstrated to be a promising alternative for optical model-based in-die CD metrology. PMID:28757674

  9. [The EFS metrology: From the production to the reason].

    PubMed

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  10. Forensic Metrology: Its Importance and Evolution in the United States

    NASA Astrophysics Data System (ADS)

    Vosk, JD Ted

    2016-11-01

    Forensic measurements play a significant role in the U.S. criminal justice system. Guilt or innocence, or the severity of a sentence, may depend upon the results of such measurements. Until recently, however, forensic disciplines were largely unaware of the field of metrology. Accordingly, proper measurement practices were often, and widely, neglected. These include failure to adopt proper calibration techniques, establish the traceability of results and determine measurement uncertainty. These failures undermine confidence in verdicts based upon forensic measurements. Over the past decade, though, the forensic sciences have been introduced to metrology and its principles leading to more reliable measurement practices. The impetus for this change was driven by many forces. Pressure came initially from criminal defense lawyers challenging metrologically unsound practices and results relied upon by government prosecutions. Litigation in the State of Washington led this movement spurring action by attorneys in other jurisdictions and eventually reform in the measurement practices of forensic labs around the country. Since then, the greater scientific community, other forensic scientists and even prosecutors have joined the fight. This paper describes the fight to improve the quality of justice by the application of metrological principles and the evolution of the field of forensic metrology.

  11. Freeform metrology using subaperture stitching interferometry

    NASA Astrophysics Data System (ADS)

    Supranowitz, Chris; Lormeau, Jean-Pierre; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2016-11-01

    As applications for freeform optics continue to grow, the need for high-precision metrology is becoming more of a necessity. Currently, coordinate measuring machines (CMM) that implement touch probes or optical probes can measure the widest ranges of shapes of freeform optics, but these measurement solutions often lack sufficient lateral resolution and accuracy. Subaperture stitching interferometry (SSI™) extends traditional Fizeau interferometry to provide accurate, high-resolution measurements of flats, spheres, and aspheres, and development is currently on-going to enable measurements of freeform surfaces. We will present recent freeform metrology results, including repeatability and cross-test data. We will also present MRF® polishing results where the stitched data was used as the input "hitmap" to the deterministic polishing process.

  12. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  13. Quantum metrology with a transmon qutrit

    NASA Astrophysics Data System (ADS)

    Shlyakhov, A. R.; Zemlyanov, V. V.; Suslov, M. V.; Lebedev, A. V.; Paraoanu, G. S.; Lesovik, G. B.; Blatter, G.

    2018-02-01

    Making use of coherence and entanglement as metrological quantum resources allows us to improve the measurement precision from the shot-noise or quantum limit to the Heisenberg limit. Quantum metrology then relies on the availability of quantum engineered systems that involve controllable quantum degrees of freedom which are sensitive to the measured quantity. Sensors operating in the qubit mode and exploiting their coherence in a phase-sensitive measurement have been shown to approach the Heisenberg scaling in precision. Here, we show that this result can be further improved by operating the quantum sensor in the qudit mode, i.e., by exploiting d rather than two levels. Specifically, we describe the metrological algorithm for using a superconducting transmon device operating in a qutrit mode as a magnetometer. The algorithm is based on the base-3 semiquantum Fourier transformation and enhances the quantum theoretical performance of the sensor by a factor of 2. Even more, the practical gain of our qutrit implementation is found in a reduction of the number of iteration steps of the quantum Fourier transformation by the factor ln(2 )/ln(3 )≈0.63 compared to the qubit mode. We show that a two-tone capacitively coupled radio-frequency signal is sufficient for implementation of the algorithm.

  14. Quantum metrology and estimation of Unruh effect

    PubMed Central

    Wang, Jieci; Tian, Zehua; Jing, Jiliang; Fan, Heng

    2014-01-01

    We study the quantum metrology for a pair of entangled Unruh-Dewitt detectors when one of them is accelerated and coupled to a massless scalar field. Comparing with previous schemes, our model requires only local interaction and avoids the use of cavities in the probe state preparation process. We show that the probe state preparation and the interaction between the accelerated detector and the external field have significant effects on the value of quantum Fisher information, correspondingly pose variable ultimate limit of precision in the estimation of Unruh effect. We find that the precision of the estimation can be improved by a larger effective coupling strength and a longer interaction time. Alternatively, the energy gap of the detector has a range that can provide us a better precision. Thus we may adjust those parameters and attain a higher precision in the estimation. We also find that an extremely high acceleration is not required in the quantum metrology process. PMID:25424772

  15. Generic distortion model for metrology under optical microscopes

    NASA Astrophysics Data System (ADS)

    Liu, Xingjian; Li, Zhongwei; Zhong, Kai; Chao, YuhJin; Miraldo, Pedro; Shi, Yusheng

    2018-04-01

    For metrology under optical microscopes, lens distortion is the dominant source of error. Previous distortion models and correction methods mostly rely on the assumption that parametric distortion models require a priori knowledge of the microscopes' lens systems. However, because of the numerous optical elements in a microscope, distortions can be hardly represented by a simple parametric model. In this paper, a generic distortion model considering both symmetric and asymmetric distortions is developed. Such a model is obtained by using radial basis functions (RBFs) to interpolate the radius and distortion values of symmetric distortions (image coordinates and distortion rays for asymmetric distortions). An accurate and easy to implement distortion correction method is presented. With the proposed approach, quantitative measurement with better accuracy can be achieved, such as in Digital Image Correlation for deformation measurement when used with an optical microscope. The proposed technique is verified by both synthetic and real data experiments.

  16. In-Process Metrology And Control Of Large Optical Grinders

    NASA Astrophysics Data System (ADS)

    Anderson, D. S.; Ketelsen, D.; Kittrell, W. Cary; Kuhn, Wm; Parks, R. E.; Stahl, P.

    1987-01-01

    The advent of rapid figure generation at the University of Arizona has prompted the development of rapid metrology techniques. The success and efficiency of the generating process is highly dependent on timely and accurate measurements to update the feedback loop between machine and optician. We will describe the advantages and problems associated with the in-process metrology and control systems used at the Optical Sciences Center.

  17. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    NASA Astrophysics Data System (ADS)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  18. Metrology: Calibration and measurement processes guidelines

    NASA Technical Reports Server (NTRS)

    Castrup, Howard T.; Eicke, Woodward G.; Hayes, Jerry L.; Mark, Alexander; Martin, Robert E.; Taylor, James L.

    1994-01-01

    The guide is intended as a resource to aid engineers and systems contracts in the design, implementation, and operation of metrology, calibration, and measurement systems, and to assist NASA personnel in the uniform evaluation of such systems supplied or operated by contractors. Methodologies and techniques acceptable in fulfilling metrology quality requirements for NASA programs are outlined. The measurement process is covered from a high level through more detailed discussions of key elements within the process, Emphasis is given to the flowdown of project requirements to measurement system requirements, then through the activities that will provide measurements with defined quality. In addition, innovations and techniques for error analysis, development of statistical measurement process control, optimization of calibration recall systems, and evaluation of measurement uncertainty are presented.

  19. Development of metrology for freeform optics in reflection mode

    NASA Astrophysics Data System (ADS)

    Burada, Dali R.; Pant, Kamal K.; Mishra, Vinod; Bichra, Mohamed; Khan, Gufran S.; Sinzinger, Stefan; Shakher, Chandra

    2017-06-01

    The increased range of manufacturable freeform surfaces offered by the new fabrication techniques is giving opportunities to incorporate them in the optical systems. However, the success of these fabrication techniques depends on the capabilities of metrology procedures and a feedback mechanism to CNC machines for optimizing the manufacturing process. Therefore, a precise and in-situ metrology technique for freeform optics is in demand. Though all the techniques available for aspheres have been extended for the freeform surfaces by the researchers, but none of the techniques has yet been incorporated into the manufacturing machine for in-situ measurement. The most obvious reason is the complexity involved in the optical setups to be integrated in the manufacturing platforms. The Shack-Hartmann sensor offers the potential to be incorporated into the machine environment due to its vibration insensitivity, compactness and 3D shape measurement capability from slope data. In the present work, a measurement scheme is reported in which a scanning Shack-Hartmann Sensor has been employed and used as a metrology tool for measurement of freeform surface in reflection mode. Simulation studies are conducted for analyzing the stitching accuracy in presence of various misalignment errors. The proposed scheme is experimentally verified on a freeform surface of cubic phase profile.

  20. Efficiency improvements of offline metrology job creation

    NASA Astrophysics Data System (ADS)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  1. A novel methodology for building robust design rules by using design based metrology (DBM)

    NASA Astrophysics Data System (ADS)

    Lee, Myeongdong; Choi, Seiryung; Choi, Jinwoo; Kim, Jeahyun; Sung, Hyunju; Yeo, Hyunyoung; Shim, Myoungseob; Jin, Gyoyoung; Chung, Eunseung; Roh, Yonghan

    2013-03-01

    This paper addresses a methodology for building robust design rules by using design based metrology (DBM). Conventional method for building design rules has been using a simulation tool and a simple pattern spider mask. At the early stage of the device, the estimation of simulation tool is poor. And the evaluation of the simple pattern spider mask is rather subjective because it depends on the experiential judgment of an engineer. In this work, we designed a huge number of pattern situations including various 1D and 2D design structures. In order to overcome the difficulties of inspecting many types of patterns, we introduced Design Based Metrology (DBM) of Nano Geometry Research, Inc. And those mass patterns could be inspected at a fast speed with DBM. We also carried out quantitative analysis on PWQ silicon data to estimate process variability. Our methodology demonstrates high speed and accuracy for building design rules. All of test patterns were inspected within a few hours. Mass silicon data were handled with not personal decision but statistical processing. From the results, robust design rules are successfully verified and extracted. Finally we found out that our methodology is appropriate for building robust design rules.

  2. Information systems as a tool to improve legal metrology activities

    NASA Astrophysics Data System (ADS)

    Rodrigues Filho, B. A.; Soratto, A. N. R.; Gonçalves, R. F.

    2016-07-01

    This study explores the importance of information systems applied to legal metrology as a tool to improve the control of measuring instruments used in trade. The information system implanted in Brazil has also helped to understand and appraise the control of the measurements due to the behavior of the errors and deviations of instruments used in trade, allowing the allocation of resources wisely, leading to a more effective planning and control on the legal metrology field. A study case analyzing the fuel sector is carried out in order to show the conformity of fuel dispersers according to maximum permissible errors. The statistics of measurement errors of 167,310 fuel dispensers of gasoline, ethanol and diesel used in the field were analyzed demonstrating the accordance of the fuel market in Brazil to the legal requirements.

  3. Subaperture metrology technologies extend capabilities in optics manufacturing

    NASA Astrophysics Data System (ADS)

    Tricard, Marc; Forbes, Greg; Murphy, Paul

    2005-10-01

    Subaperture polishing technologies have radically changed the landscape of precision optics manufacturing and enabled the production of higher precision optics with increasingly difficult figure requirements. However, metrology is a critical piece of the optics fabrication process, and the dependence on interferometry is especially acute for computer-controlled, deterministic finishing. Without accurate full-aperture metrology, figure correction using subaperture polishing technologies would not be possible. QED Technologies has developed the Subaperture Stitching Interferometer (SSI) that extends the effective aperture and dynamic range of a phase measuring interferometer. The SSI's novel developments in software and hardware improve the capacity and accuracy of traditional interferometers, overcoming many of the limitations previously faced. The SSI performs high-accuracy automated measurements of spheres, flats, and mild aspheres up to 200 mm in diameter by stitching subaperture data. The system combines a six-axis precision workstation, a commercial Fizeau interferometer of 4" or 6" aperture, and dedicated software. QED's software automates the measurement design, data acquisition, and mathematical reconstruction of the full-aperture phase map. The stitching algorithm incorporates a general framework for compensating several types of errors introduced by the interferometer and stage mechanics. These include positioning errors, viewing system distortion, the system reference wave error, etc. The SSI has been proven to deliver the accurate and flexible metrology that is vital to precision optics fabrication. This paper will briefly review the capabilities of the SSI as a production-ready, metrology system that enables costeffective manufacturing of precision optical surfaces.

  4. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    PubMed

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  5. Coherent X-ray beam metrology using 2D high-resolution Fresnel-diffraction analysis.

    PubMed

    Ruiz-Lopez, M; Faenov, A; Pikuz, T; Ozaki, N; Mitrofanov, A; Albertazzi, B; Hartley, N; Matsuoka, T; Ochante, Y; Tange, Y; Yabuuchi, T; Habara, T; Tanaka, K A; Inubushi, Y; Yabashi, M; Nishikino, M; Kawachi, T; Pikuz, S; Ishikawa, T; Kodama, R; Bleiner, D

    2017-01-01

    Direct metrology of coherent short-wavelength beamlines is important for obtaining operational beam characteristics at the experimental site. However, since beam-time limitation imposes fast metrology procedures, a multi-parametric metrology from as low as a single shot is desirable. Here a two-dimensional (2D) procedure based on high-resolution Fresnel diffraction analysis is discussed and applied, which allowed an efficient and detailed beamline characterization at the SACLA XFEL. So far, the potential of Fresnel diffraction for beamline metrology has not been fully exploited because its high-frequency fringes could be only partly resolved with ordinary pixel-limited detectors. Using the high-spatial-frequency imaging capability of an irradiated LiF crystal, 2D information of the coherence degree, beam divergence and beam quality factor M 2 were retrieved from simple diffraction patterns. The developed beam metrology was validated with a laboratory reference laser, and then successfully applied at a beamline facility, in agreement with the source specifications.

  6. GPU accelerated Monte-Carlo simulation of SEM images for metrology

    NASA Astrophysics Data System (ADS)

    Verduin, T.; Lokhorst, S. R.; Hagen, C. W.

    2016-03-01

    In this work we address the computation times of numerical studies in dimensional metrology. In particular, full Monte-Carlo simulation programs for scanning electron microscopy (SEM) image acquisition are known to be notoriously slow. Our quest in reducing the computation time of SEM image simulation has led us to investigate the use of graphics processing units (GPUs) for metrology. We have succeeded in creating a full Monte-Carlo simulation program for SEM images, which runs entirely on a GPU. The physical scattering models of this GPU simulator are identical to a previous CPU-based simulator, which includes the dielectric function model for inelastic scattering and also refinements for low-voltage SEM applications. As a case study for the performance, we considered the simulated exposure of a complex feature: an isolated silicon line with rough sidewalls located on a at silicon substrate. The surface of the rough feature is decomposed into 408 012 triangles. We have used an exposure dose of 6 mC/cm2, which corresponds to 6 553 600 primary electrons on average (Poisson distributed). We repeat the simulation for various primary electron energies, 300 eV, 500 eV, 800 eV, 1 keV, 3 keV and 5 keV. At first we run the simulation on a GeForce GTX480 from NVIDIA. The very same simulation is duplicated on our CPU-based program, for which we have used an Intel Xeon X5650. Apart from statistics in the simulation, no difference is found between the CPU and GPU simulated results. The GTX480 generates the images (depending on the primary electron energy) 350 to 425 times faster than a single threaded Intel X5650 CPU. Although this is a tremendous speedup, we actually have not reached the maximum throughput because of the limited amount of available memory on the GTX480. Nevertheless, the speedup enables the fast acquisition of simulated SEM images for metrology. We now have the potential to investigate case studies in CD-SEM metrology, which otherwise would take unreasonable

  7. The Remarkable Metrological History of Radiocarbon Dating [II].

    PubMed

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  8. The Remarkable Metrological History of Radiocarbon Dating [II

    PubMed Central

    Currie, Lloyd A.

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought 14C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for “molecular dating” at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the “bomb effect,” that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural 14C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications. PMID:27366605

  9. Contour metrology using critical dimension atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Orji, Ndubuisi G.; Dixson, Ronald G.; Vladár, András E.; Ming, Bin; Postek, Michael T.

    2012-03-01

    The critical dimension atomic force microscope (CD-AFM), which is used as a reference instrument in lithography metrology, has been proposed as a complementary instrument for contour measurement and verification. Although data from CD-AFM is inherently three dimensional, the planar two-dimensional data required for contour metrology is not easily extracted from the top-down CD-AFM data. This is largely due to the limitations of the CD-AFM method for controlling the tip position and scanning. We describe scanning techniques and profile extraction methods to obtain contours from CD-AFM data. We also describe how we validated our technique, and explain some of its limitations. Potential sources of error for this approach are described, and a rigorous uncertainty model is presented. Our objective is to show which data acquisition and analysis methods could yield optimum contour information while preserving some of the strengths of CD-AFM metrology. We present comparison of contours extracted using our technique to those obtained from the scanning electron microscope (SEM), and the helium ion microscope (HIM).

  10. Laser and Optical Fiber Metrology in Romania

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sporea, Dan; Sporea, Adelina

    2008-04-15

    The Romanian government established in the last five years a National Program for the improvement of country's infrastructure of metrology. The set goal was to develop and accredit testing and calibration laboratories, as well as certification bodies, according to the ISO 17025:2005 norm. Our Institute benefited from this policy, and developed a laboratory for laser and optical fibers metrology in order to provide testing and calibration services for the certification of laser-based industrial, medical and communication products. The paper will present the laboratory accredited facilities and some of the results obtained in the evaluation of irradiation effects of optical andmore » optoelectronic parts, tests run under the EU's Fusion Program.« less

  11. Photomask applications of traceable atomic force microscope dimensional metrology at NIST

    NASA Astrophysics Data System (ADS)

    Dixson, Ronald; Orji, Ndubuisi G.; Potzick, James; Fu, Joseph; Allen, Richard A.; Cresswell, Michael; Smith, Stewart; Walton, Anthony J.; Tsiamis, Andreas

    2007-10-01

    The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope (AFM) dimensional metrology. Three major instruments are being used for traceable measurements. The first is a custom in-house metrology AFM, called the calibrated AFM (C-AFM), the second is the first generation of commercially available critical dimension AFM (CD-AFM), and the third is a current generation CD-AFM at SEMATECH - for which NIST has established the calibration and uncertainties. All of these instruments have useful applications in photomask metrology. Linewidth reference metrology is an important application of CD-AFM. We have performed a preliminary comparison of linewidths measured by CD-AFM and by electrical resistance metrology on a binary mask. For the ten selected test structures with on-mask linewidths between 350 nm and 600 nm, most of the observed differences were less than 5 nm, and all of them were less than 10 nm. The offsets were often within the estimated uncertainties of the AFM measurements, without accounting for the effect of linewidth roughness or the uncertainties of electrical measurements. The most recent release of the NIST photomask standard - which is Standard Reference Material (SRM) 2059 - was also supported by CD-AFM reference measurements. We review the recent advances in AFM linewidth metrology that will reduce the uncertainty of AFM measurements on this and future generations of the NIST photomask standard. The NIST C-AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the iodine-stabilized He-Ne laser. One of the important applications of the C-AFM is step height metrology, which has some relevance to phase shift calibration. In the current generation of the system, the approximate level of relative standard uncertainty for step height measurements at the 100 nm scale is 0.1 %. We discuss the monitor history of a 290 nm step height, originally measured on the C-AFM with a 1

  12. The remarkable metrological history of 14C dating: From ancient Egyptian artifacts to particles of soot and grains of pollen

    NASA Astrophysics Data System (ADS)

    Currie, L. A.

    2003-01-01

    Radiocarbon dating would not have been possible if 14C had not had the “wrong” half-life—a fact that delayed its discovery [1]. Following the discovery of this 5730 year radionuclide in laboratory experiments by Ruben and Kamen, it became clear to W. F. Libby that 14C should exist in nature, and that it could serve as a quantitative means for dating artifacts and events marking the history of civilization. The search for natural radiocarbon was a metrological challenge; the level in the living biosphere [ca. 230 Bq/kg] lay far beyond the then current state of the measurement art. This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought 14C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for “molecular dating” at the 10 μg to 100 μg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the “bomb effect,” that spawned new multidisciplinary areas of application, ranging from cosmic ray physics to oceanography to the reconstruction of environmental history.

  13. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Settens, Charles M.

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron criticalmore » dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.« less

  14. Kite: status of the external metrology testbed for SIM

    NASA Astrophysics Data System (ADS)

    Dekens, Frank G.; Alvarez-Salazar, Oscar S.; Azizi, Alireza; Moser, Steven J.; Nemati, Bijan; Negron, John; Neville, Timothy; Ryan, Daniel

    2004-10-01

    Kite is a system level testbed for the External Metrology System of the Space Interferometry Mission (SIM). The External Metrology System is used to track the fiducials that are located at the centers of the interferometer's siderostats. The relative changes in their positions needs to be tracked to an accuracy of tens of picometers in order to correct for thermal deformations and attitude changes of the spacecraft. Because of the need for such high precision measurements, the Kite testbed was build to test both the metrology gauges and our ability to optically model the system at these levels. The Kite testbed is a redundant metrology truss, in which 6 lengths are measured, but only 5 are needed to define the system. The RMS error between the redundant measurements needs to be less than 140pm for the SIM Wide-Angle observing scenario and less than 8 pm for the Narrow-Angle observing scenario. With our current testbed layout, we have achieved an RMS of 85 pm in the Wide-Angle case, meeting the goal. For the Narrow-Angle case, we have reached 5.8 pm, but only for on-axis observations. We describe the testbed improvements that have been made since our initial results, and outline the future Kite changes that will add further effects that SIM faces in order to make the testbed more representative of SIM.

  15. Photogrammetric Metrology for the James Webb Space Telescope Integrated Science Instrument Module

    NASA Technical Reports Server (NTRS)

    Nowak, Maria; Crane, Allen; Davila, Pam; Eichhorn, William; Gill, James; Herrera, Acey; Hill, Michael; Hylan, Jason; Jetten, Mark; Marsh, James; hide

    2007-01-01

    selected from an informal trade study of cryogenic metrology systems because its resolution meets sub-allocations to ISIM alignment requirements and it is a non-contact method that can in principle measure six degrees of freedom changes in target location. In addition, photogrammetry targets can be readily related to targets used for ambient surveys of the structure. By thermally isolating the photogrammetry camera during testing, metrology can be performed in situ during thermal cycling. Photogrammetry also has a small but significant cryogenic heritage in astronomical instrumentation metrology. It was used to validate the displacement/deformation predictions of the reflectors and the feed horns during thermal/vacuum testing (90K) for the Microwave Anisotropy Probe (MAP). It also was used during thermal vacuum testing (100K) to verify shape and component alignment at operational temperature of the High Gain Antenna for New Horizons. With tighter alignment requirements and lower operating temperatures than the aforementioned observatories, ISIM presents new challenges in the development of this metrology system.

  16. Metrological reliability of optical coherence tomography in biomedical applications

    NASA Astrophysics Data System (ADS)

    Goloni, C. M.; Temporão, G. P.; Monteiro, E. C.

    2013-09-01

    Optical coherence tomography (OCT) has been proving to be an efficient diagnostics technique for imaging in vivo tissues, an optical biopsy with important perspectives as a diagnostic tool for quantitative characterization of tissue structures. Despite its established clinical use, there is no international standard to address the specific requirements for basic safety and essential performance of OCT devices for biomedical imaging. The present work studies the parameters necessary for conformity assessment of optoelectronics equipment used in biomedical applications like Laser, Intense Pulsed Light (IPL), and OCT, targeting to identify the potential requirements to be considered in the case of a future development of a particular standard for OCT equipment. In addition to some of the particular requirements standards for laser and IPL, also applicable for metrological reliability analysis of OCT equipment, specific parameters for OCT's evaluation have been identified, considering its biomedical application. For each parameter identified, its information on the accompanying documents and/or its measurement has been recommended. Among the parameters for which the measurement requirement was recommended, including the uncertainty evaluation, the following are highlighted: optical radiation output, axial and transverse resolution, pulse duration and interval, and beam divergence.

  17. Quantifying Human Response: Linking metrological and psychometric characterisations of Man as a Measurement Instrument

    NASA Astrophysics Data System (ADS)

    Pendrill, L. R.; Fisher, William P., Jr.

    2013-09-01

    A better understanding of how to characterise human response is essential to improved person-centred care and other situations where human factors are crucial. Challenges to introducing classical metrological concepts such as measurement uncertainty and traceability when characterising Man as a Measurement Instrument include the failure of many statistical tools when applied to ordinal measurement scales and a lack of metrological references in, for instance, healthcare. The present work attempts to link metrological and psychometric (Rasch) characterisation of Man as a Measurement Instrument in a study of elementary tasks, such as counting dots, where one knows independently the expected value because the measurement object (collection of dots) is prepared in advance. The analysis is compared and contrasted with recent approaches to this problem by others, for instance using signal error fidelity.

  18. Enabling CD SEM metrology for 5nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  19. Metrology Laboratory | Energy Systems Integration Facility | NREL

    Science.gov Websites

    and artificial) Spectral reflectance and transmission of materials (functional check only , pyrheliometers,* pyranometers,* and pyrgeometers. The Metrology Laboratory provides National Institute of

  20. Consultative Committee on Ionizing Radiation: Impact on Radionuclide Metrology

    PubMed Central

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM’s consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. PMID:26688351

  1. Quantitative Secondary Electron Detector (QSED)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nayak, Subu; Joy, David C.

    2013-12-31

    Research is proposed to investigate the feasibility of applying recent advances in semiconductor technology to fabricate direct digital Quantitative Secondary Electron Detectors (QSED) for scanning electron microscopes (SEMs). If successful, commercial versions of the QSED would transform the SEM into a quantitative, metrological system with enhanced capabilities that, in turn, would broaden research horizons across industries. This project will be conducted in collaboration with Dr. David C Joy at the University of Tennessee, who has demonstrated limited (to the 1keV range) digital collection of the energy from backscattered signals in a SEM using a modified silicon drift detector. Several detectormore » configurations will be fabricated and tested for sensitivities, background noise reduction, DC offset elimination, and metrological capabilities (linearity, accuracy, etc.) against a set of commercially important performance criteria to ascertain concept feasibility. Once feasibility is proven, the solid state digital device array and its switching frequency will be scaled-up, in Phase II, to improve temporal resolution. If successful, this work will produce a crucial advancement in electron microscopy with wide-ranging applications. The following are key advantages anticipated from direct digital QSED: 1. High signal-to-noise ratio will improve SEM resolution in nano-scale, which is critical for dimensional metrology in any application. 2. Quantitative measurement will enhance process control and design validation in semiconductors, photo-voltaics, bio-medical devices and catalysts; and will improve accuracy in predicting the reliability and the lifecycle of materials across industries. 3. Video and dynamic-imaging capabilities will advance study in nano-scale phenomena in a variety of industries, including pharmaceutical and semiconductor materials. 4. Lower cost will make high-performing electron microscopes affordable to more researchers. 5. Compact size and

  2. Massive metrology using fast e-beam technology improves OPC model accuracy by >2x at faster turnaround time

    NASA Astrophysics Data System (ADS)

    Zhao, Qian; Wang, Lei; Wang, Jazer; Wang, ChangAn; Shi, Hong-Fei; Guerrero, James; Feng, Mu; Zhang, Qiang; Liang, Jiao; Guo, Yunbo; Zhang, Chen; Wallow, Tom; Rio, David; Wang, Lester; Wang, Alvin; Wang, Jen-Shiang; Gronlund, Keith; Lang, Jun; Koh, Kar Kit; Zhang, Dong Qing; Zhang, Hongxin; Krishnamurthy, Subramanian; Fei, Ray; Lin, Chiawen; Fang, Wei; Wang, Fei

    2018-03-01

    Classical SEM metrology, CD-SEM, uses low data rate and extensive frame-averaging technique to achieve high-quality SEM imaging for high-precision metrology. The drawbacks include prolonged data collection time and larger photoresist shrinkage due to excess electron dosage. This paper will introduce a novel e-beam metrology system based on a high data rate, large probe current, and ultra-low noise electron optics design. At the same level of metrology precision, this high speed e-beam metrology system could significantly shorten data collection time and reduce electron dosage. In this work, the data collection speed is higher than 7,000 images per hr. Moreover, a novel large field of view (LFOV) capability at high resolution was enabled by an advanced electron deflection system design. The area coverage by LFOV is >100x larger than classical SEM. Superior metrology precision throughout the whole image has been achieved, and high quality metrology data could be extracted from full field. This new capability on metrology will further improve metrology data collection speed to support the need for large volume of metrology data from OPC model calibration of next generation technology. The shrinking EPE (Edge Placement Error) budget places more stringent requirement on OPC model accuracy, which is increasingly limited by metrology errors. In the current practice of metrology data collection and data processing to model calibration flow, CD-SEM throughput becomes a bottleneck that limits the amount of metrology measurements available for OPC model calibration, impacting pattern coverage and model accuracy especially for 2D pattern prediction. To address the trade-off in metrology sampling and model accuracy constrained by the cycle time requirement, this paper employs the high speed e-beam metrology system and a new computational software solution to take full advantage of the large volume data and significantly reduce both systematic and random metrology errors. The

  3. Absolute optical metrology : nanometers to kilometers

    NASA Technical Reports Server (NTRS)

    Dubovitsky, Serge; Lay, O. P.; Peters, R. D.; Liebe, C. C.

    2005-01-01

    We provide and overview of the developments in the field of high-accuracy absolute optical metrology with emphasis on space-based applications. Specific work on the Modulation Sideband Technology for Absolute Ranging (MSTAR) sensor is described along with novel applications of the sensor.

  4. Metrology Optical Power Budgeting in SIM Using Statistical Analysis Techniques

    NASA Technical Reports Server (NTRS)

    Kuan, Gary M

    2008-01-01

    The Space Interferometry Mission (SIM) is a space-based stellar interferometry instrument, consisting of up to three interferometers, which will be capable of micro-arc second resolution. Alignment knowledge of the three interferometer baselines requires a three-dimensional, 14-leg truss with each leg being monitored by an external metrology gauge. In addition, each of the three interferometers requires an internal metrology gauge to monitor the optical path length differences between the two sides. Both external and internal metrology gauges are interferometry based, operating at a wavelength of 1319 nanometers. Each gauge has fiber inputs delivering measurement and local oscillator (LO) power, split into probe-LO and reference-LO beam pairs. These beams experience power loss due to a variety of mechanisms including, but not restricted to, design efficiency, material attenuation, element misalignment, diffraction, and coupling efficiency. Since the attenuation due to these sources may degrade over time, an accounting of the range of expected attenuation is needed so an optical power margin can be book kept. A method of statistical optical power analysis and budgeting, based on a technique developed for deep space RF telecommunications, is described in this paper and provides a numerical confidence level for having sufficient optical power relative to mission metrology performance requirements.

  5. Quantitative analysis of a scar's pliability, perfusion and metrology

    NASA Astrophysics Data System (ADS)

    Gonzalez, Mariacarla; Sevilla, Nicole; Chue-Sang, Joseph; Ramella-Roman, Jessica C.

    2017-02-01

    The primary effect of scarring is the loss of function in the affected area. Scarring also leads to physical and psychological problems that could be devastating to the patient's life. Currently, scar assessment is highly subjective and physician dependent. The examination relies on the expertise of the physician to determine the characteristics of the scar by touch and visual examination using the Vancouver scar scale (VSS), which categorizes scars depending on pigmentation, pliability, height and vascularity. In order to establish diagnostic guidelines for scar formation, a quantitative, accurate assessment method needs to be developed. An instrument capable of measuring all categories was developed; three of the aforementioned parameters will be explored. In order to look at pliability, a durometer which measures the amount of resistance a surface exerts to prevent the permanent indentation of the surface is used due to its simplicity and quantitative output. To look at height and vascularity, a profilometry system that collects the location of the scar in three-dimensions and laser speckle imaging (LSI), which shows the dynamic changes in perfusion, respectively, are used. Gelatin phantoms were utilized to measure pliability. Finally, dynamic changes in skin perfusion of volunteers' forearms undergoing pressure cuff occlusion were measured, along with incisional scars.

  6. Easy parallel screening of reagent stability, quality control, and metrology in solid phase peptide synthesis (SPPS) and peptide couplings for microarrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Achyuthan, Komandoor E.; Wheeler, David R.

    Evaluating the stability of coupling reagents, quality control (QC), and surface functionalization metrology are all critical to the production of high quality peptide microarrays. We describe a broadly applicable screening technique for evaluating the fidelity of solid phase peptide synthesis (SPPS), the stability of activation/coupling reagents, and a microarray surface metrology tool. This technique was used to assess the stability of the activation reagent 1-{[1-(Cyano-2-ethoxy-2-oxo-ethylidenaminooxy)dimethylamino-morpholinomethylene]}methaneaminiumHexafluorophosphate (COMU) (Sigma-Aldrich, St. Louis, MO, USA) by SPPS of Leu-Enkephalin (YGGFL) or the coupling of commercially synthesized YGGFL peptides to (3-aminopropyl)triethyoxysilane-modified glass surfaces. Coupling efficiency was quantitated by fluorescence signaling based on immunoreactivity of themore » YGGFL motif. It was concluded that COMU solutions should be prepared fresh and used within 5 h when stored at ~23 °C and not beyond 24 h if stored refrigerated, both in closed containers. Caveats to gauging COMU stability by absorption spectroscopy are discussed. Commercial YGGFL peptides needed independent QC, due to immunoreactivity variations for the same sequence synthesized by different vendors. This technique is useful in evaluating the stability of other activation/coupling reagents besides COMU and as a metrology tool for SPPS and peptide microarrays.« less

  7. Easy parallel screening of reagent stability, quality control, and metrology in solid phase peptide synthesis (SPPS) and peptide couplings for microarrays

    DOE PAGES

    Achyuthan, Komandoor E.; Wheeler, David R.

    2015-08-27

    Evaluating the stability of coupling reagents, quality control (QC), and surface functionalization metrology are all critical to the production of high quality peptide microarrays. We describe a broadly applicable screening technique for evaluating the fidelity of solid phase peptide synthesis (SPPS), the stability of activation/coupling reagents, and a microarray surface metrology tool. This technique was used to assess the stability of the activation reagent 1-{[1-(Cyano-2-ethoxy-2-oxo-ethylidenaminooxy)dimethylamino-morpholinomethylene]}methaneaminiumHexafluorophosphate (COMU) (Sigma-Aldrich, St. Louis, MO, USA) by SPPS of Leu-Enkephalin (YGGFL) or the coupling of commercially synthesized YGGFL peptides to (3-aminopropyl)triethyoxysilane-modified glass surfaces. Coupling efficiency was quantitated by fluorescence signaling based on immunoreactivity of themore » YGGFL motif. It was concluded that COMU solutions should be prepared fresh and used within 5 h when stored at ~23 °C and not beyond 24 h if stored refrigerated, both in closed containers. Caveats to gauging COMU stability by absorption spectroscopy are discussed. Commercial YGGFL peptides needed independent QC, due to immunoreactivity variations for the same sequence synthesized by different vendors. This technique is useful in evaluating the stability of other activation/coupling reagents besides COMU and as a metrology tool for SPPS and peptide microarrays.« less

  8. XPS-XRF hybrid metrology enabling FDSOI process

    NASA Astrophysics Data System (ADS)

    Hossain, Mainul; Subramanian, Ganesh; Triyoso, Dina; Wahl, Jeremy; Mcardle, Timothy; Vaid, Alok; Bello, A. F.; Lee, Wei Ti; Klare, Mark; Kwan, Michael; Pois, Heath; Wang, Ying; Larson, Tom

    2016-03-01

    Planar fully-depleted silicon-on-insulator (FDSOI) technology potentially offers comparable transistor performance as FinFETs. pFET FDOSI devices are based on a silicon germanium (cSiGe) layer on top of a buried oxide (BOX). Ndoped interfacial layer (IL), high-k (HfO2) layer and the metal gate stacks are then successively built on top of the SiGe layer. In-line metrology is critical in precisely monitoring the thickness and composition of the gate stack and associated underlying layers in order to achieve desired process control. However, any single in-line metrology technique is insufficient to obtain the thickness of IL, high-k, cSiGe layers in addition to Ge% and N-dose in one single measurement. A hybrid approach is therefore needed that combines the capabilities of more than one measurement technique to extract multiple parameters in a given film stack. This paper will discuss the approaches, challenges, and results associated with the first-in-industry implementation of XPS-XRF hybrid metrology for simultaneous detection of high-k thickness, IL thickness, N-dose, cSiGe thickness and %Ge, all in one signal measurement on a FDSOI substrate in a manufacturing fab. Strong correlation to electrical data for one or more of these measured parameters will also be presented, establishing the reliability of this technique.

  9. 3D interconnect metrology in CMS/ITRI

    NASA Astrophysics Data System (ADS)

    Ku, Y. S.; Shyu, D. M.; Hsu, W. T.; Chang, P. Y.; Chen, Y. C.; Pang, H. L.

    2011-05-01

    Semiconductor device packaging technology is rapidly advancing, in response to the demand for thinner and smaller electronic devices. Three-dimensional chip/wafer stacking that uses through-silicon vias (TSV) is a key technical focus area, and the continuous development of this novel technology has created a need for non-contact characterization. Many of these challenges are novel to the industry due to the relatively large variety of via sizes and density, and new processes such as wafer thinning and stacked wafer bonding. This paper summarizes the developing metrology that has been used during via-middle & via-last TSV process development at EOL/ITRI. While there is a variety of metrology and inspection applications for 3D interconnect processing, the main topics covered here are via CD/depth measurement, thinned wafer inspection and wafer warpage measurement.

  10. PREFACE: Fundamental Constants in Physics and Metrology

    NASA Astrophysics Data System (ADS)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  11. Toward Advancing Nano-Object Count Metrology: A Best Practice Framework

    PubMed Central

    Boyko, Volodymyr; Meyers, Greg; Voetz, Matthias; Wohlleben, Wendel

    2013-01-01

    Background: A movement among international agencies and policy makers to classify industrial materials by their number content of sub–100-nm particles could have broad implications for the development of sustainable nanotechnologies. Objectives: Here we highlight current particle size metrology challenges faced by the chemical industry due to these emerging number percent content thresholds, provide a suggested best-practice framework for nano-object identification, and identify research needs as a path forward. Discussion: Harmonized methods for identifying nanomaterials by size and count for many real-world samples do not currently exist. Although particle size remains the sole discriminating factor for classifying a material as “nano,” inconsistencies in size metrology will continue to confound policy and decision making. Moreover, there are concerns that the casting of a wide net with still-unproven metrology methods may stifle the development and judicious implementation of sustainable nanotechnologies. Based on the current state of the art, we propose a tiered approach for evaluating materials. To enable future risk-based refinements of these emerging definitions, we recommend that this framework also be considered in environmental and human health research involving the implications of nanomaterials. Conclusion: Substantial scientific scrutiny is needed in the area of nanomaterial metrology to establish best practices and to develop suitable methods before implementing definitions based solely on number percent nano-object content for regulatory purposes. Strong cooperation between industry, academia, and research institutions will be required to fully develop and implement detailed frameworks for nanomaterial identification with respect to emerging count-based metrics. Citation: Brown SC, Boyko V, Meyers G, Voetz M, Wohlleben W. 2013. Toward advancing nano-object count metrology: a best practice framework. Environ Health Perspect 121:1282–1291;

  12. The future of 2D metrology for display manufacturing

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  13. Understanding Imaging and Metrology with the Helium Ion Microscope

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Vladár, András E.; Ming, Bin

    2009-09-01

    One barrier to innovation confronting all phases of nanotechnology is the lack of accurate metrology for the characterization of nanomaterials. Ultra-high resolution microscopy is a key technology needed to achieve this goal. But, current microscope technology is being pushed to its limits. The scanning and transmission electron microscopes have incrementally improved in performance and other scanned probe technologies such as atomic force microscopy, scanning tunneling microscopy and focused ion beam microscopes have all been applied to nanotechnology with various levels of success. A relatively new tool for nanotechnology is the scanning helium ion microscope (HIM). The HIM is a new complementary imaging and metrology technology for nanotechnology which may be able to push the current resolution barrier lower. But, successful imaging and metrology with this instrument entails new ion beam/specimen interaction physics which must be fully understood. As a new methodology, HIM is beginning to show promise and the abundance of potentially advantageous applications for nanotechnology have yet to be fully exploited. This presentation will discuss some of the progress made at NIST in understanding the science behind this new technique.

  14. Metrology requirements for the serial production of ELT primary mirror segments

    NASA Astrophysics Data System (ADS)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  15. High throughput wafer defect monitor for integrated metrology applications in photolithography

    NASA Astrophysics Data System (ADS)

    Rao, Nagaraja; Kinney, Patrick; Gupta, Anand

    2008-03-01

    The traditional approach to semiconductor wafer inspection is based on the use of stand-alone metrology tools, which while highly sensitive, are large, expensive and slow, requiring inspection to be performed off-line and on a lot sampling basis. Due to the long cycle times and sparse sampling, the current wafer inspection approach is not suited to rapid detection of process excursions that affect yield. The semiconductor industry is gradually moving towards deploying integrated metrology tools for real-time "monitoring" of product wafers during the manufacturing process. Integrated metrology aims to provide end-users with rapid feedback of problems during the manufacturing process, and the benefit of increased yield, and reduced rework and scrap. The approach of monitoring 100% of the wafers being processed requires some trade-off in sensitivity compared to traditional standalone metrology tools, but not by much. This paper describes a compact, low-cost wafer defect monitor suitable for integrated metrology applications and capable of detecting submicron defects on semiconductor wafers at an inspection rate of about 10 seconds per wafer (or 360 wafers per hour). The wafer monitor uses a whole wafer imaging approach to detect defects on both un-patterned and patterned wafers. Laboratory tests with a prototype system have demonstrated sensitivity down to 0.3 µm on un-patterned wafers and down to 1 µm on patterned wafers, at inspection rates of 10 seconds per wafer. An ideal application for this technology is preventing photolithography defects such as "hot spots" by implementing a wafer backside monitoring step prior to exposing wafers in the lithography step.

  16. Metrology to quantify wear and creep of polyethylene tibial knee inserts.

    PubMed

    Muratoglu, Orhun K; Perinchief, Rebecca S; Bragdon, Charles R; O'Connor, Daniel O; Konrad, Reto; Harris, William H

    2003-05-01

    Assessment of damage on articular surfaces of ultrahigh molecular weight polyethylene tibial knee inserts primarily has been limited to qualitative methods, such as visual observation and classification of features such as pitting, delamination, and subsurface cracking. Semiquantitative methods also have been proposed to determine the linear penetration and volume of the scar that forms on articular surfaces of tibial knee inserts. The current authors report a new metrologic method that uses a coordinate measuring machine to quantify the dimensions of this scar. The articular surface of the insert is digitized with the coordinate measuring machine before and after regular intervals of testing on a knee simulator. The volume and linear penetration of the scar are calculated by mathematically taking the difference between the digitized surface maps of the worn and unworn articular surfaces. Three conventional polyethylene tibial knee inserts of a posterior cruciate-sparing design were subjected to five million cycles of normal gait on a displacement-driven knee wear simulator in bovine serum. A metrologic method was used to calculate creep and wear contributions to the scar formation on each tibial plateau. Weight loss of the inserts was determined gravimetrically with the appropriate correction for fluid absorption. The total average wear volume was 43 +/- 9 and 41 +/- 4 mm3 measured by the metrologic and gravimetric methods, respectively. The wear rate averaged 8.3 +/- 0.9 and 8.5 +/- 1.6 mm3 per million cycles measured by the metrologic and gravimetric methods, respectively. These comparisons reflected strong agreement between the metrologic and gravimetric methods.

  17. Metrological traceability of holmium oxide solution

    NASA Astrophysics Data System (ADS)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  18. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    ERIC Educational Resources Information Center

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  19. Roles of chemical metrology in electronics industry and associated environment in Korea: a tutorial.

    PubMed

    Kang, Namgoo; Joong Kim, Kyung; Seog Kim, Jin; Hae Lee, Joung

    2015-03-01

    Chemical metrology is gaining importance in electronics industry that manufactures semiconductors, electronic displays, and microelectronics. Extensive and growing needs from this industry have raised the significance of accurate measurements of the amount of substances and material properties. For the first time, this paper presents information on how chemical metrology is being applied to meet a variety of needs in the aspects of quality control of electronics products and environmental regulations closely associated with electronics industry. For a better understanding of the roles of the chemical metrology within electronics industry, the recent research activities and results in chemical metrology are presented using typical examples in Korea where electronic industry is leading a national economy. Particular attention is paid to the applications of chemical metrology for advancing emerging electronics technology developments. Such examples are a novel technique for the accurate quantification of gas composition at nano-liter levels within a MEMS package, the surface chemical analysis of a semiconductor device. Typical metrological tools are also presented for the development of certified reference materials for fluorinated greenhouse gases and proficiency testing schemes for heavy metals and chlorinated toxic gas in order to cope properly with environmental issues within electronics industry. In addition, a recent technique is presented for the accurate measurement of the destruction and removal efficiency of a typical greenhouse gas scrubber. Copyright © 2014 Elsevier B.V. All rights reserved.

  20. Metrology laboratory requirements for third-generation synchrotron radiation sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takacs, P.Z.; Quian, Shinan

    1997-11-01

    New third-generation synchrotron radiation sources that are now, or will soon, come on line will need to decide how to handle the testing of optical components delivered for use in their beam lines. In many cases it is desirable to establish an in-house metrology laboratory to do the work. We review the history behind the formation of the Optical Metrology Laboratory at Brookhaven National Laboratory and the rationale for its continued existence. We offer suggestions to those who may be contemplating setting up similar facilities, based on our experiences over the past two decades.

  1. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicabilitymore » in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.« less

  2. DABAM: an open-source database of X-ray mirrors metrology

    PubMed Central

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele; Glass, Mark; Idir, Mourad; Metz, Jim; Raimondi, Lorenzo; Rebuffi, Luca; Reininger, Ruben; Shi, Xianbo; Siewert, Frank; Spielmann-Jaeggi, Sibylle; Takacs, Peter; Tomasset, Muriel; Tonnessen, Tom; Vivo, Amparo; Yashchuk, Valeriy

    2016-01-01

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database. PMID:27140145

  3. World wide matching of registration metrology tools of various generations

    NASA Astrophysics Data System (ADS)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  4. Results of x-ray mirror round-robin metrology measurements at the APS, ESRF, and SPring-8 optical metrology laboratories.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Assoufid, L.; Rommeveaux, A.; Ohashi, H.

    2005-01-01

    This paper presents the first series of round-robin metrology measurements of x-ray mirrors organized at the Advanced Photon Source (APS) in the USA, the European Synchrotron Radiation Facility in France, and the Super Photon Ring (SPring-8) (in a collaboration with Osaka University, ) in Japan. This work is part of the three institutions' three-way agreement to promote a direct exchange of research information and experience amongst their specialists. The purpose of the metrology round robin is to compare the performance and limitations of the instrumentation used at the optical metrology laboratories of these facilities and to set the basis formore » establishing guidelines and procedures to accurately perform the measurements. The optics used in the measurements were selected to reflect typical, as well as state of the art, in mirror fabrication. The first series of the round robin measurements focuses on flat and cylindrical mirrors with varying sizes and quality. Three mirrors (two flats and one cylinder) were successively measured using long trace profilers. Although the three facilities' LTPs are of different design, the measurements were found to be in excellent agreement. The maximum discrepancy of the rms slope error values is 0.1 {micro}rad, that of the rms shape error was 3 nm, and they all relate to the measurement of the cylindrical mirror. The next round-robin measurements will deal with elliptical and spherical optics.« less

  5. A metrological approach to improve accuracy and reliability of ammonia measurements in ambient air

    NASA Astrophysics Data System (ADS)

    Pogány, Andrea; Balslev-Harder, David; Braban, Christine F.; Cassidy, Nathan; Ebert, Volker; Ferracci, Valerio; Hieta, Tuomas; Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Peltola, Jari; Persijn, Stefan; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-11-01

    The environmental impacts of ammonia (NH3) in ambient air have become more evident in the recent decades, leading to intensifying research in this field. A number of novel analytical techniques and monitoring instruments have been developed, and the quality and availability of reference gas mixtures used for the calibration of measuring instruments has also increased significantly. However, recent inter-comparison measurements show significant discrepancies, indicating that the majority of the newly developed devices and reference materials require further thorough validation. There is a clear need for more intensive metrological research focusing on quality assurance, intercomparability and validations. MetNH3 (Metrology for ammonia in ambient air) is a three-year project within the framework of the European Metrology Research Programme (EMRP), which aims to bring metrological traceability to ambient ammonia measurements in the 0.5-500 nmol mol-1 amount fraction range. This is addressed by working in three areas: (1) improving accuracy and stability of static and dynamic reference gas mixtures, (2) developing an optical transfer standard and (3) establishing the link between high-accuracy metrological standards and field measurements. In this article we describe the concept, aims and first results of the project.

  6. Kite: Status of the External Metrology Testbed for SIM

    NASA Technical Reports Server (NTRS)

    Dekens, Frank G.; Alvarez-Salazar, Oscar; Azizi, Alireza; Moser, Steven; Nemati, Bijan; Negron, John; Neville, Timothy; Ryan, Daniel

    2004-01-01

    Kite is a system level testbed for the External Metrology system of the Space Interferometry Mission (SIM). The External Metrology System is used to track the fiducial that are located at the centers of the interferometer's siderostats. The relative changes in their positions needs to be tracked to tens of picometers in order to correct for thermal measurements, the Kite testbed was build to test both the metrology gauges and out ability to optically model the system at these levels. The Kite testbed is an over-constraint system where 6 lengths are measured, but only 5 are needed to determine the system. The agreement in the over-constrained length needs to be on the order of 140 pm for the SIM Wide-Angle observing scenario and 8 pm for the Narrow-Angle observing scenario. We demonstrate that we have met the Wide-Angle goal with our current setup. For the Narrow-Angle case, we have only reached the goal for on-axis observations. We describe the testbed improvements that have been made since our initial results, and outline the future Kite changes that will add further effects that SIM faces in order to make the testbed more SIM like.

  7. Dynamic metrology and data processing for precision freeform optics fabrication and testing

    NASA Astrophysics Data System (ADS)

    Aftab, Maham; Trumper, Isaac; Huang, Lei; Choi, Heejoo; Zhao, Wenchuan; Graves, Logan; Oh, Chang Jin; Kim, Dae Wook

    2017-06-01

    Dynamic metrology holds the key to overcoming several challenging limitations of conventional optical metrology, especially with regards to precision freeform optical elements. We present two dynamic metrology systems: 1) adaptive interferometric null testing; and 2) instantaneous phase shifting deflectometry, along with an overview of a gradient data processing and surface reconstruction technique. The adaptive null testing method, utilizing a deformable mirror, adopts a stochastic parallel gradient descent search algorithm in order to dynamically create a null testing condition for unknown freeform optics. The single-shot deflectometry system implemented on an iPhone uses a multiplexed display pattern to enable dynamic measurements of time-varying optical components or optics in vibration. Experimental data, measurement accuracy / precision, and data processing algorithms are discussed.

  8. Earthquakes and sea level - Space and terrestrial metrology on a changing planet

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bilham, R.

    1991-02-01

    A review is presented of the stability and scale of crustal deformation metrology which has particular relevance to monitoring deformation associated with sea level and earthquakes. Developments in space geodesy and crustal deformation metrology in the last two decades have the potential to acquire a homogeneous global data set for monitoring relative horizontal and vertical motions of the earth's surface to within several millimeters. New tools discussed for forecasting sea level rise and damaging earthquakes include: very long baseline interferometry, satellite laser ranging, the principles of GPS geodesy, and new sea level sensors. Space geodesy permits a unified global basismore » for future metrology of the earth, and the continued availability of the GPS is currently fundamental to this unification.« less

  9. Gloss evaluation from soft and hard metrologies.

    PubMed

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  10. Remote laboratories for optical metrology: from the lab to the cloud

    NASA Astrophysics Data System (ADS)

    Osten, W.; Wilke, M.; Pedrini, G.

    2012-10-01

    The idea of remote and virtual metrology has been reported already in 2000 with a conceptual illustration by use of comparative digital holography, aimed at the comparison of two nominally identical but physically different objects, e.g., master and sample, in industrial inspection processes. However, the concept of remote and virtual metrology can be extended far beyond this. For example, it does not only allow for the transmission of static holograms over the Internet, but also provides an opportunity to communicate with and eventually control the physical set-up of a remote metrology system. Furthermore, the metrology system can be modeled in the environment of a 3D virtual reality using CAD or similar technology, providing a more intuitive interface to the physical setup within the virtual world. An engineer or scientist who would like to access the remote real world system can log on to the virtual system, moving and manipulating the setup through an avatar and take the desired measurements. The real metrology system responds to the interaction between the avatar and the 3D virtual representation, providing a more intuitive interface to the physical setup within the virtual world. The measurement data are stored and interpreted automatically for appropriate display within the virtual world, providing the necessary feedback to the experimenter. Such a system opens up many novel opportunities in industrial inspection such as the remote master-sample-comparison and the virtual assembling of parts that are fabricated at different places. Moreover, a multitude of new techniques can be envisaged. To them belong modern ways for documenting, efficient methods for metadata storage, the possibility for remote reviewing of experimental results, the adding of real experiments to publications by providing remote access to the metadata and to the experimental setup via Internet, the presentation of complex experiments in classrooms and lecture halls, the sharing of

  11. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    NASA Astrophysics Data System (ADS)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  12. Reference metrology in a research fab: the NIST clean calibrations thrust

    NASA Astrophysics Data System (ADS)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  13. DABAM: an open-source database of X-ray mirrors metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele

    2016-04-20

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  14. DABAM: an open-source database of X-ray mirrors metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  15. DABAM: An open-source database of X-ray mirrors metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. In conclusion, some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  16. DABAM: An open-source database of X-ray mirrors metrology

    DOE PAGES

    Sanchez del Rio, Manuel; Bianchi, Davide; Cocco, Daniele; ...

    2016-05-01

    An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper,more » with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. In conclusion, some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.« less

  17. Preliminary results for mask metrology using spatial heterodyne interferometry

    NASA Astrophysics Data System (ADS)

    Bingham, Philip R.; Tobin, Kenneth; Bennett, Marylyn H.; Marmillion, Pat

    2003-12-01

    Spatial heterodyne interferometry (SHI) is an imaging technique that captures both the phase and amplitude of a complex wavefront in a single high-speed image. This technology was developed at the Oak Ridge National Laboratory (ORNL) and is currently being implemented for semiconductor wafer inspection by nLine Corporation. As with any system that measures phase, metrology and inspection of surface structures is possible by capturing a wavefront reflected from the surface. The interpretation of surface structure heights for metrology applications can become very difficult with the many layers of various materials used on semiconductor wafers, so inspection (defect detection) has been the primary focus for semiconductor wafers. However, masks used for photolithography typically only contain a couple well-defined materials opening the doors to high-speed mask metrology in 3 dimensions in addition to inspection. Phase shift masks often contain structures etched out of the transparent substrate material for phase shifting. While these structures are difficult to inspect using only intensity, the phase and amplitude images captured with SHI can produce very good resolution of these structures. The phase images also provide depth information that is crucial for these phase shift regions. Preliminary testing has been performed to determine the feasibility of SHI for high-speed non-contact mask metrology using a prototype SHI system with 532 nm wavelength illumination named the Visible Alpha Tool (VAT). These results show that prototype SHI system is capable of performing critical dimension measurements on 400nm lines with a repeatability of 1.4nm and line height measurements with a repeatability of 0.26nm. Additionally initial imaging of an alternating aperture phase shift mask has shown the ability of SHI to discriminate between typical phase shift heights.

  18. Evaluating diffraction based overlay metrology for double patterning technologies

    NASA Astrophysics Data System (ADS)

    Saravanan, Chandra Saru; Liu, Yongdong; Dasari, Prasad; Kritsun, Oleg; Volkman, Catherine; Acheta, Alden; La Fontaine, Bruno

    2008-03-01

    Demanding sub-45 nm node lithographic methodologies such as double patterning (DPT) pose significant challenges for overlay metrology. In this paper, we investigate scatterometry methods as an alternative approach to meet these stringent new metrology requirements. We used a spectroscopic diffraction-based overlay (DBO) measurement technique in which registration errors are extracted from specially designed diffraction targets for double patterning. The results of overlay measurements are compared to traditional bar-in-bar targets. A comparison between DBO measurements and CD-SEM measurements is done to show the correlation between the two approaches. We discuss the total measurement uncertainty (TMU) requirements for sub-45 nm nodes and compare TMU from the different overlay approaches.

  19. EUV wavefront metrology system in EUVA

    NASA Astrophysics Data System (ADS)

    Hasegawa, Takayuki; Ouchi, Chidane; Hasegawa, Masanobu; Kato, Seima; Suzuki, Akiyoshi; Sugisaki, Katsumi; Murakami, Katsuhiko; Saito, Jun; Niibe, Masahito

    2004-05-01

    An Experimental extreme ultraviolet (EUV) interferometer (EEI) using an undulator as a light source was installed in New SUBARU synchrotron facility at Himeji Institute of Technology (HIT). The EEI can evaluate the five metrology methods reported before. (1) A purpose of the EEI is to determine the most suitable method for measuring the projection optics of EUV lithography systems for mass production tools.

  20. On the benefit of high resolution and low aberrations for in-die mask registration metrology

    NASA Astrophysics Data System (ADS)

    Beyer, Dirk; Seidel, Dirk; Heisig, Sven; Steinert, Steffen; Töpfer, Susanne; Scherübl, Thomas; Hetzler, Jochen

    2014-10-01

    With the introduction of complex lithography schemes like double and multi - patterning and new design principles like gridded designs with cut masks the requirements for mask to mask overlay have increased dramatically. Still, there are some good news too for the mask industry since more mask are needed and qualified. Although always confronted with throughput demands, latest writing tool developments are able to keep pace with ever increasing pattern placement specs not only for global signatures but for in-die features within the active area. Placement specs less than 3nm (max. 3 Sigma) are expected and needed in all cases in order to keep the mask contribution to the overall overlay budget at an accepted level. The qualification of these masks relies on high precision metrology tools which have to fulfill stringent metrology as well as resolution constrains at the same time. Furthermore, multi-patterning and gridded designs with pinhole type cut masks are drivers for a paradigm shift in registration metrology from classical registration crosses to in-die registration metrology on production features. These requirements result in several challenges for registration metrology tools. The resolution of the system must be sufficiently high to resolve small production features. At the same time tighter repeatability is required. Furthermore, tool induced shift (TIS) limit the accuracy of in-die measurements. This paper discusses and demonstrates the importance of low illumination wavelength together with low aberrations for best contrast imaging for in-die registration metrology. Typical effects like tool induced shift are analyzed and evaluated using the ZEISS PROVE® registration metrology tool. Additionally, we will address performance gains when going to higher resolution. The direct impact on repeatability for small features by registration measurements will be discussed as well.

  1. Target-Tracking Camera for a Metrology System

    NASA Technical Reports Server (NTRS)

    Liebe, Carl; Bartman, Randall; Chapsky, Jacob; Abramovici, Alexander; Brown, David

    2009-01-01

    An analog electronic camera that is part of a metrology system measures the varying direction to a light-emitting diode that serves as a bright point target. In the original application for which the camera was developed, the metrological system is used to determine the varying relative positions of radiating elements of an airborne synthetic aperture-radar (SAR) antenna as the airplane flexes during flight; precise knowledge of the relative positions as a function of time is needed for processing SAR readings. It has been common metrology system practice to measure the varying direction to a bright target by use of an electronic camera of the charge-coupled-device or active-pixel-sensor type. A major disadvantage of this practice arises from the necessity of reading out and digitizing the outputs from a large number of pixels and processing the resulting digital values in a computer to determine the centroid of a target: Because of the time taken by the readout, digitization, and computation, the update rate is limited to tens of hertz. In contrast, the analog nature of the present camera makes it possible to achieve an update rate of hundreds of hertz, and no computer is needed to determine the centroid. The camera is based on a position-sensitive detector (PSD), which is a rectangular photodiode with output contacts at opposite ends. PSDs are usually used in triangulation for measuring small distances. PSDs are manufactured in both one- and two-dimensional versions. Because it is very difficult to calibrate two-dimensional PSDs accurately, the focal-plane sensors used in this camera are two orthogonally mounted one-dimensional PSDs.

  2. 1.5 nm fabrication of test patterns for characterization of metrological systems

    DOE PAGES

    Babin, Sergey; Calafiore, Giuseppe; Peroz, Christophe; ...

    2015-11-06

    Any metrology tool is only as good as it is calibrated. The characterization of metrology systems requires test patterns at a scale about ten times smaller than the measured features. The fabrication of patterns with linewidths down to 1.5 nm is described. The test sample was designed in such a way that the distribution of linewidths appears to be random at any location. This pseudorandom test pattern is used to characterize dimensional metrology equipment over its entire dynamic range by extracting the modulation transfer function of the system. The test pattern contains alternating lines of silicon and tungsten silicide, eachmore » according to its designed width. As a result, the fabricated test samples were imaged using a transmission electron microscope, a scanning electron microscope, and an atomic force microscope. (C) 2015 American Vacuum Society.« less

  3. Recent progress in understanding the imaging and metrology using the helium ion microscope

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Vladar, Andras E.; Ming, Bin

    2009-05-01

    Nanotechnology is pushing imaging and measurement instrument technology to high levels of required performance. As this continues, new barriers confronting innovation in this field are encountered. Particle beam instrument resolution remains one of these barriers. A new tool for imaging and metrology for nanotechnology is the scanning Helium Ion Microscope (HIM). The HIM is a new approach to imaging and metrology for nanotechnology which may be able to push this barrier lower. As a new methodology, it is just beginning to show promise and the number of potentially advantageous applications for nanotechnology and nanometrology has yet to be fully exploited. This presentation will discuss some of the progress made at NIST in collaboration with the manufacturing community in understanding the imaging and metrology for this new technology.

  4. Non-null full field X-ray mirror metrology using SCOTS: a reflection deflectometry approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Su P.; Kaznatcheev K.; Wang, Y.

    In a previous paper, the University of Arizona (UA) has developed a measurement technique called: Software Configurable Optical Test System (SCOTS) based on the principle of reflection deflectometry. In this paper, we present results of this very efficient optical metrology method applied to the metrology of X-ray mirrors. We used this technique to measure surface slope errors with precision and accuracy better than 100 nrad (rms) and {approx}200 nrad (rms), respectively, with a lateral resolution of few mm or less. We present results of the calibration of the metrology systems, discuss their accuracy and address the precision in measuring amore » spherical mirror.« less

  5. Metrology for industrial quantum communications: the MIQC project

    NASA Astrophysics Data System (ADS)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  6. Coordinate metrology of a primary surface composite panel from the Large Millimeter Telescope

    NASA Astrophysics Data System (ADS)

    Gale, David M.; Lucero Álvarez, Maribel; Cabrera Cuevas, Lizeth; Leon-Huerta, Andrea; Arizmendi Reyes, Edgar; Icasio Hernández, Octavio; Castro Santos, David; Hernández Ríos, Emilio; Tecuapetla Sosa, Esteban; Tzile Torres, Carlos; Viliesid Alonso, Miguel

    2016-07-01

    The Large Millimeter Telescope (LMT) is a single-dish fully-steerable radio telescope presently operating with a 32.5 m parabolic primary reflector, in the process of extension to 50 m. The project is managed by the Instituto Nacional de Astrofísica, Óptica y Electrónica (INAOE) in México, and the University of Massachusetts Amherst, USA. A laminated surface panel from the LMT primary reflector has been subjected to a surface measurement assay at Mexico's National Metrology Center (CENAM). Data obtained using a coordinate measuring machine and laser tracker owned by CENAM is compared with measurements using an identical model laser tracker and the photogrammetry technique, the latter systems owned and operated by the LMT. All measurements were performed within the controlled metrology environment at CENAM. The measurement exercise is intended to prepare the groundwork for converting this spare surface panel into a calibrated work-piece. The establishment of a calibrated work-piece provides quality assurance for metrology through measurement traceability. It also simplifies the evaluation of measurement uncertainty for coordinate metrology procedures used by the LMT project during reflector surface qualification.

  7. A laser scanning system for metrology and viewing in ITER

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Spampinato, P.T.; Barry, R.E.; Menon, M.M.

    1996-05-01

    The construction and operation of a next-generation fusion reactor will require metrology to achieve and verify precise alignment of plasma-facing components and inspection in the reactor vessel. The system must be compatible with the vessel environment of high gamma radiation (10{sup 4} Gy/h), ultra-high-vacuum (10{sup {minus}8} torr), and elevated temperature (200 C). The high radiation requires that the system be remotely deployed. A coherent frequency modulated laser radar-based system will be integrated with a remotely operated deployment mechanism to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics tomore » the laser source and imaging units that are located outside of a biological shield. The deployment mechanism will be a mast-like positioning system. Radiation-damage tests will be conducted on critical sensor components at Oak Ridge National Laboratory to determine threshold damage levels and effects on data transmission. This paper identifies the requirements for International Thermonuclear Experimental Reactor metrology and viewing and describes a remotely operated precision ranging and surface mapping system.« less

  8. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    PubMed

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  9. Diffraction gratings metrology and ray-tracing results for an XUV Raman spectrometer at FLASH

    PubMed Central

    Dziarzhytski, Siarhei; Siewert, Frank; Gwalt, Grzegorz; Seliger, Tino; Rübhausen, Michael; Weigelt, Holger; Brenner, Günter

    2018-01-01

    The extreme-ultraviolet double-stage imaging Raman spectrometer is a permanent experimental endstation at the plane-grating monochromator beamline branch PG1 at FLASH at DESY in Hamburg, Germany. This unique instrument covers the photon energy range from 20 to 200 eV with high energy resolution of about 2 to 20 meV (design values) featuring an efficient elastic line suppression as well as effective stray light rejection. Such a design enables studies of low-energy excitations like, for example, phonons in solids close to the vicinity of the elastic line. The Raman spectrometer effectively operates with four reflective off-axial parabolic mirrors and two plane-grating units. The optics quality and their precise alignment are crucial to guarantee best performance of the instrument. Here, results on a comprehensive investigation of the quality of the spectrometer diffraction gratings are presented. The gratings have been characterized by ex situ metrology at the BESSY-II Optics Laboratory, employing slope measuring deflectometry and interferometry as well as atomic force microscopy studies. The efficiency of these key optical elements has been measured at the at-wavelength metrology laboratory using the reflectometer at the BESSY-II Optics beamline. Also, the metrology results are discussed with respect to the expected resolving power of the instrument by including them in ray-tracing studies of the instrument. PMID:29271763

  10. Programmed LWR metrology by multi-techniques approach

    NASA Astrophysics Data System (ADS)

    Reche, Jérôme; Besacier, Maxime; Gergaud, Patrice; Blancquaert, Yoann; Freychet, Guillaume; Labbaye, Thibault

    2018-03-01

    Nowadays, roughness control presents a huge challenge for the lithography step. For advanced nodes, this morphological aspect reaches the same order of magnitude than the Critical Dimension. Hence, the control of roughness needs an adapted metrology. In this study, specific samples with designed roughness have been manufactured using e-beam lithography. These samples have been characterized with three different methodologies: CD-SEM, OCD and SAXS. The main goal of the project is to compare the capability of each of these techniques in terms of reliability, type of information obtained, time to obtain the measurements and level of maturity for the industry.

  11. Influence of the air’s refractive index on precision angle metrology with autocollimators

    NASA Astrophysics Data System (ADS)

    Geckeler, Ralf D.; Křen, Petr; Just, Andreas; Schumann, Matthias; Krause, Michael

    2018-07-01

    In this paper, we discuss a substantial—though previously neglected—error source in precision metrology with autocollimators, specifically, changes in the air’s refractive index, with a focus on the dominant impact of pressure changes. Pressure decreases with increasing elevation above sea level and is subject to substantial variation due to weather changes. It causes changes in an autocollimator’s angle response which are proportional to the measured angle and which increase linearly with the beam length and air pressure. We characterise this important influence in detail by using extended theoretical and experimental investigations and derive strategies for correcting it. We discuss its implications for the comparison of autocollimator calibrations performed at different metrology institutes which is crucial for validating their calibration capabilities. This work aims at approaching fundamental limits in angle metrology with autocollimators.

  12. Optical truss and retroreflector modeling for picometer laser metrology

    NASA Astrophysics Data System (ADS)

    Hines, Braden E.

    1993-09-01

    Space-based astrometric interferometer concepts typically have a requirement for the measurement of the internal dimensions of the instrument to accuracies in the picometer range. While this level of resolution has already been achieved for certain special types of laser gauges, techniques for picometer-level accuracy need to be developed to enable all the various kinds of laser gauges needed for space-based interferometers. Systematic errors due to retroreflector imperfections become important as soon as the retroreflector is allowed to either translate in position or articulate in angle away from its nominal zero-point. Also, when combining several laser interferometers to form a three-dimensional laser gauge (a laser optical truss), systematic errors due to imperfect knowledge of the truss geometry are important as the retroreflector translates away from its nominal zero-point. In order to assess the astrometric performance of a proposed instrument, it is necessary to determine how the effects of an imperfect laser metrology system impact the astrometric accuracy. This paper show the development of an error propagation model from errors in the 1-D metrology measurements through the impact on the overall astrometric accuracy for OSI. Simulations are then presented based on this development which were used to define a multiplier which determines the 1-D metrology accuracy required to produce a given amount of fringe position error.

  13. Nonlinear Quantum Metrology of Many-Body Open Systems

    NASA Astrophysics Data System (ADS)

    Beau, M.; del Campo, A.

    2017-07-01

    We introduce general bounds for the parameter estimation error in nonlinear quantum metrology of many-body open systems in the Markovian limit. Given a k -body Hamiltonian and p -body Lindblad operators, the estimation error of a Hamiltonian parameter using a Greenberger-Horne-Zeilinger state as a probe is shown to scale as N-[k -(p /2 )], surpassing the shot-noise limit for 2 k >p +1 . Metrology equivalence between initial product states and maximally entangled states is established for p ≥1 . We further show that one can estimate the system-environment coupling parameter with precision N-(p /2 ), while many-body decoherence enhances the precision to N-k in the noise-amplitude estimation of a fluctuating k -body Hamiltonian. For the long-range Ising model, we show that the precision of this parameter beats the shot-noise limit when the range of interactions is below a threshold value.

  14. Measuring optical phase digitally in coherent metrology systems

    NASA Astrophysics Data System (ADS)

    Kelly, Damien P.; Ryle, James; Zhao, Liang; Sheridan, John T.

    2017-05-01

    The accurate measurement of optical phase has many applications in metrology. For biological samples, which appear transparent, the phase data provides information about the refractive index of the sample. In speckle metrology, the phase can be used to estimate stress and strains of a rough surface with high sensitivity. In this theoretical manuscript we compare and contrast the properties of two techniques for estimating the phase distribution of a wave field under the paraxial approximation: (I) A digital holographic system, and (II) An idealized phase retrieval system. Both systems use a CCD or CMOS array to measure the intensities of the wave fields that are reflected from or transmitted through the sample of interest. This introduces a numerical aspect to the problem. For the two systems above we examine how numerical calculations can limit the performance of these systems leading to a near-infinite number of possible solutions.

  15. Importance of education and competence maintenance in metrology field (measurement science)

    NASA Astrophysics Data System (ADS)

    Dobiliene, J.; Meskuotiene, A.

    2015-02-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers.

  16. In-line height profiling metrology sensor for zero defect production control

    NASA Astrophysics Data System (ADS)

    Snel, Rob; Winters, Jasper; Liebig, Thomas; Jonker, Wouter

    2017-06-01

    Contemporary production systems of mechanical precision parts show challenges as increased complexity, tolerances shrinking to sub-microns and yield losses that must be mastered to the extreme. More advanced automation and process control is required to accomplish this task. Often a solution based on feedforward/feedback control is chosen requiring innovative and more advanced in line metrology. This article concentrates first on the context of in line metrology for process control and then on the development of a specific in line height profiling sensor. The novel sensor technology is based on full field time domain white light interferometry which is well know from the quality lab. The novel metrology system is to be mounted close to the production equipment, as required to minimize time delay in the control loop, and is thereby fully exposed to vibrations. This sensor is innovated to perform in line with an orders of magnitude faster throughput than laboratory instruments; it's robust to withstand the rigors of workshops and has a height resolution that is in the nanometer range.

  17. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level

  18. Instrumentation, metrology, and standards: key elements for the future of nanomanufacturing

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Lyons, Kevin

    2007-09-01

    Nanomanufacturing is the essential bridge between the discoveries of nanoscience and real world nanotech products and is the vehicle by which the Nation and the World will realize the promise of major technological innovation across a spectrum of products that will affect virtually every industrial sector. For nanotech products to achieve the broad impacts envisioned, they must be manufactured in market-appropriate quantities in a reliable, repeatable, economical and commercially viable manner. In addition, they must be manufactured so that environmental and human health concerns are met, worker safety issues are appropriately assessed and handled, and liability issues are addressed. Critical to this realization of robust nanomanufacturing is the development of the necessary instrumentation, metrology, and standards. Integration of the instruments, their interoperability, and appropriate information management are also critical elements that must be considered for viable nanomanufacturing. Advanced instrumentation, metrology and standards will allow the physical dimensions, properties, functionality, and purity of the materials, processes, tools, systems, products, and emissions that will constitute nanomanufacturing to be measured and characterized. This will in turn enable production to be scaleable, controllable, predictable, and repeatable to meet market needs. If a nano-product cannot be measured it cannot be manufactured; additionally if that product cannot be made safely it should not be manufactured. This presentation introduces the Instrumentation, Metrology, and Standards for Nanomanufacturing Conference at the 2007 SPIE Optics and Photonics. This conference will become the leading forum for the exchange of foundational information and discussion of instrumentation, metrology and standards which are key elements for the success of nanomanufacturing.

  19. Spectroscopic metrology for isotope composition measurements and transfer standards

    NASA Astrophysics Data System (ADS)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    The World Meteorological Organization (WMO) has identified greenhouse gases such as CO2, CH4 and N2O as critical for global climate monitoring. Other molecules such as CO that has an indirect effect of enhancing global warming are also monitored. WMO has stated compatibility goals for atmospheric concentration and isotope ratio measurements of these gases, e.g. 0.1 ppm for CO2 concentration measurements in the northern hemisphere and 0.01 ‰ for δ13C-CO2. For measurements of the concentration of greenhouse gases, gas analysers are typically calibrated with static gas standards e.g. traceable to the WMO scale or to the International System of Units (SI) through a national metrology institute. However, concentrations of target components, e.g. CO, in static gas standards have been observed to drift, and typically the gas matrix as well as the isotopic composition of the target component does not always reflect field gas composition, leading to deviations of the analyser response, even after calibration. The deviations are dependent on the measurement technique. To address this issue, part of the HIGHGAS (Metrology for high-impact greenhouse gases) project [1] focused on the development of optical transfer standards (OTSs) for greenhouse gases, e.g. CO2 and CO, potentially complementing gas standards. Isotope ratio mass spectrometry (IRMS) [2] is currently used to provide state-of-the-art high precision (in the 0.01 ‰ range) measurements for the isotopic composition of greenhouse gases. However, there is a need for field-deployable techniques such as optical isotope ratio spectroscopy (OIRS) that can be combined with metrological measurement methods. Within the HIGHGAS project, OIRS methods and procedures based on e.g. cavity enhanced spectroscopy (CES) and tunable diode laser absorption spectroscopy (TDLAS), matched to metrological principles have been established for the measurement of 13C/12C and 18O/16O ratios in CO2, 15N/14N ratios in N2O, and 13C/12C and 2H

  20. Linear Optical Quantum Metrology with Single Photons: Exploiting Spontaneously Generated Entanglement to Beat the Shot-Noise Limit

    NASA Astrophysics Data System (ADS)

    Motes, Keith R.; Olson, Jonathan P.; Rabeaux, Evan J.; Dowling, Jonathan P.; Olson, S. Jay; Rohde, Peter P.

    2015-05-01

    Quantum number-path entanglement is a resource for supersensitive quantum metrology and in particular provides for sub-shot-noise or even Heisenberg-limited sensitivity. However, such number-path entanglement has been thought to be resource intensive to create in the first place—typically requiring either very strong nonlinearities, or nondeterministic preparation schemes with feedforward, which are difficult to implement. Very recently, arising from the study of quantum random walks with multiphoton walkers, as well as the study of the computational complexity of passive linear optical interferometers fed with single-photon inputs, it has been shown that such passive linear optical devices generate a superexponentially large amount of number-path entanglement. A logical question to ask is whether this entanglement may be exploited for quantum metrology. We answer that question here in the affirmative by showing that a simple, passive, linear-optical interferometer—fed with only uncorrelated, single-photon inputs, coupled with simple, single-mode, disjoint photodetection—is capable of significantly beating the shot-noise limit. Our result implies a pathway forward to practical quantum metrology with readily available technology.

  1. Linear optical quantum metrology with single photons: exploiting spontaneously generated entanglement to beat the shot-noise limit.

    PubMed

    Motes, Keith R; Olson, Jonathan P; Rabeaux, Evan J; Dowling, Jonathan P; Olson, S Jay; Rohde, Peter P

    2015-05-01

    Quantum number-path entanglement is a resource for supersensitive quantum metrology and in particular provides for sub-shot-noise or even Heisenberg-limited sensitivity. However, such number-path entanglement has been thought to be resource intensive to create in the first place--typically requiring either very strong nonlinearities, or nondeterministic preparation schemes with feedforward, which are difficult to implement. Very recently, arising from the study of quantum random walks with multiphoton walkers, as well as the study of the computational complexity of passive linear optical interferometers fed with single-photon inputs, it has been shown that such passive linear optical devices generate a superexponentially large amount of number-path entanglement. A logical question to ask is whether this entanglement may be exploited for quantum metrology. We answer that question here in the affirmative by showing that a simple, passive, linear-optical interferometer--fed with only uncorrelated, single-photon inputs, coupled with simple, single-mode, disjoint photodetection--is capable of significantly beating the shot-noise limit. Our result implies a pathway forward to practical quantum metrology with readily available technology.

  2. NPL scoops £25m for advanced metrology centre

    NASA Astrophysics Data System (ADS)

    Singh Chadha, Kulvinder

    2013-03-01

    The National Physical Laboratory (NPL) in Teddington, UK, is to receive £25m towards the construction of an Advanced Metrology Laboratory (AML) that will contain up to 20 labs and be complete by 2017.

  3. Error Modeling of Multi-baseline Optical Truss. Part II; Application to SIM Metrology Truss Field Dependent Error

    NASA Technical Reports Server (NTRS)

    Zhang, Liwei Dennis; Milman, Mark; Korechoff, Robert

    2004-01-01

    The current design of the Space Interferometry Mission (SIM) employs a 19 laser-metrology-beam system (also called L19 external metrology truss) to monitor changes of distances between the fiducials of the flight system's multiple baselines. The function of the external metrology truss is to aid in the determination of the time-variations of the interferometer baseline. The largest contributor to truss error occurs in SIM wide-angle observations when the articulation of the siderostat mirrors (in order to gather starlight from different sky coordinates) brings to light systematic errors due to offsets at levels of instrument components (which include comer cube retro-reflectors, etc.). This error is labeled external metrology wide-angle field-dependent error. Physics-based model of field-dependent error at single metrology gauge level is developed and linearly propagated to errors in interferometer delay. In this manner delay error sensitivity to various error parameters or their combination can be studied using eigenvalue/eigenvector analysis. Also validation of physics-based field-dependent model on SIM testbed lends support to the present approach. As a first example, dihedral error model is developed for the comer cubes (CC) attached to the siderostat mirrors. Then the delay errors due to this effect can be characterized using the eigenvectors of composite CC dihedral error. The essence of the linear error model is contained in an error-mapping matrix. A corresponding Zernike component matrix approach is developed in parallel, first for convenience of describing the RMS of errors across the field-of-regard (FOR), and second for convenience of combining with additional models. Average and worst case residual errors are computed when various orders of field-dependent terms are removed from the delay error. Results of the residual errors are important in arriving at external metrology system component requirements. Double CCs with ideally co-incident vertices

  4. Self-Mixing Thin-Slice Solid-State Laser Metrology

    PubMed Central

    Otsuka, Kenju

    2011-01-01

    This paper reviews the dynamic effect of thin-slice solid-state lasers subjected to frequency-shifted optical feedback, which led to the discovery of the self-mixing modulation effect, and its applications to quantum-noise-limited versatile laser metrology systems with extreme optical sensitivity. PMID:22319406

  5. Metrological traceability of carbon dioxide measurements in atmosphere and seawater

    NASA Astrophysics Data System (ADS)

    Rolle, F.; Pessana, E.; Sega, M.

    2017-05-01

    The accurate determination of gaseous pollutants is fundamental for the monitoring of the trends of these analytes in the environment and the application of the metrological concepts to this field is necessary to assure the reliability of the measurement results. In this work, an overview of the activity carried out at Istituto Nazionale di Ricerca Metrologica to establish the metrological traceability of the measurements of gaseous atmospheric pollutants, in particular of carbon dioxide (CO2), is presented. Two primary methods, the gravimetry and the dynamic dilution, are used for the preparation of reference standards for composition which can be used to calibrate sensors and analytical instrumentation. At present, research is carried out to lower the measurement uncertainties of the primary gas mixtures and to extend their application to the oceanic field. The reason of such investigation is due to the evidence of the changes occurring in seawater carbonate chemistry, connected to the rising level of CO2 in the atmosphere. The well established activity to assure the metrological traceability of CO2 in the atmosphere will be applied to the determination of CO2 in seawater, by developing suitable reference materials for calibration and control of the sensors during their routine use.

  6. Relativistic Quantum Metrology: Exploiting relativity to improve quantum measurement technologies

    PubMed Central

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-01-01

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects. PMID:24851858

  7. Applications of surface metrology in firearm identification

    NASA Astrophysics Data System (ADS)

    Zheng, X.; Soons, J.; Vorburger, T. V.; Song, J.; Renegar, T.; Thompson, R.

    2014-01-01

    Surface metrology is commonly used to characterize functional engineering surfaces. The technologies developed offer opportunities to improve forensic toolmark identification. Toolmarks are created when a hard surface, the tool, comes into contact with a softer surface and causes plastic deformation. Toolmarks are commonly found on fired bullets and cartridge cases. Trained firearms examiners use these toolmarks to link an evidence bullet or cartridge case to a specific firearm, which can lead to a criminal conviction. Currently, identification is typically based on qualitative visual comparison by a trained examiner using a comparison microscope. In 2009, a report by the National Academies called this method into question. Amongst other issues, they questioned the objectivity of visual toolmark identification by firearms examiners. The National Academies recommended the development of objective toolmark identification criteria and confidence limits. The National Institute of Standards and Technology (NIST) have applied its experience in surface metrology to develop objective identification criteria, measurement methods, and reference artefacts for toolmark identification. NIST developed the Standard Reference Material SRM 2460 standard bullet and SRM 2461 standard cartridge case to facilitate quality control and traceability of identifications performed in crime laboratories. Objectivity is improved through measurement of surface topography and application of unambiguous surface similarity metrics, such as the maximum value (ACCFMAX) of the areal cross correlation function. Case studies were performed on consecutively manufactured tools, such as gun barrels and breech faces, to demonstrate that, even in this worst case scenario, all the tested tools imparted unique surface topographies that were identifiable. These studies provide scientific support for toolmark evidence admissibility in criminal court cases.

  8. The elusive Heisenberg limit in quantum-enhanced metrology

    PubMed Central

    Demkowicz-Dobrzański, Rafał; Kołodyński, Jan; Guţă, Mădălin

    2012-01-01

    Quantum precision enhancement is of fundamental importance for the development of advanced metrological optical experiments, such as gravitational wave detection and frequency calibration with atomic clocks. Precision in these experiments is strongly limited by the 1/√N shot noise factor with N being the number of probes (photons, atoms) employed in the experiment. Quantum theory provides tools to overcome the bound by using entangled probes. In an idealized scenario this gives rise to the Heisenberg scaling of precision 1/N. Here we show that when decoherence is taken into account, the maximal possible quantum enhancement in the asymptotic limit of infinite N amounts generically to a constant factor rather than quadratic improvement. We provide efficient and intuitive tools for deriving the bounds based on the geometry of quantum channels and semi-definite programming. We apply these tools to derive bounds for models of decoherence relevant for metrological applications including: depolarization, dephasing, spontaneous emission and photon loss. PMID:22990859

  9. Quantitative approach for optimizing e-beam condition of photoresist inspection and measurement

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Jen; Teng, Chia-Hao; Cheng, Po-Chung; Sato, Yoshishige; Huang, Shang-Chieh; Chen, Chu-En; Maruyama, Kotaro; Yamazaki, Yuichiro

    2018-03-01

    Severe process margin in advanced technology node of semiconductor device is controlled by e-beam metrology system and e-beam inspection system with scanning electron microscopy (SEM) image. By using SEM, larger area image with higher image quality is required to collect massive amount of data for metrology and to detect defect in a large area for inspection. Although photoresist is the one of the critical process in semiconductor device manufacturing, observing photoresist pattern by SEM image is crucial and troublesome especially in the case of large image. The charging effect by e-beam irradiation on photoresist pattern causes deterioration of image quality, and it affect CD variation on metrology system and causes difficulties to continue defect inspection in a long time for a large area. In this study, we established a quantitative approach for optimizing e-beam condition with "Die to Database" algorithm of NGR3500 on photoresist pattern to minimize charging effect. And we enhanced the performance of measurement and inspection on photoresist pattern by using optimized e-beam condition. NGR3500 is the geometry verification system based on "Die to Database" algorithm which compares SEM image with design data [1]. By comparing SEM image and design data, key performance indicator (KPI) of SEM image such as "Sharpness", "S/N", "Gray level variation in FOV", "Image shift" can be retrieved. These KPIs were analyzed with different e-beam conditions which consist of "Landing Energy", "Probe Current", "Scanning Speed" and "Scanning Method", and the best e-beam condition could be achieved with maximum image quality, maximum scanning speed and minimum image shift. On this quantitative approach of optimizing e-beam condition, we could observe dependency of SEM condition on photoresist charging. By using optimized e-beam condition, measurement could be continued on photoresist pattern over 24 hours stably. KPIs of SEM image proved image quality during measurement and

  10. Sub-Planck structures and Quantum Metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Panigrahi, Prasanta K.; Kumar, Abhijeet; Roy, Utpal

    The significance of sub-Planck structures in relation to quantum metrology is explored, in close contact with experimental setups. It is shown that an entangled cat state can enhance the accuracy of parameter estimations. The possibility of generating this state, in dissipative systems has also been demonstrated. Thereafter, the quantum Cramer-Rao bound for phase estimation through a pair coherent state is calculated, which achieves the maximum possible resolution in an interferometer.

  11. Optical Metrology for the Segmented Optics on the Constellation-X Spectroscopy X-Ray Telescope

    NASA Technical Reports Server (NTRS)

    Content, David; Colella, David; Fleetwood, Charles; Hadjimichael, Theo; Lehan, John; McMann, Joseph; Reid, Paul; Saha, Timo; Wright, Geraldine; Zhang, William

    2004-01-01

    We present the metrology requirements and metrology implementation necessary to prove out the reflector technology for the Constellation X(C-X) spectroscopy X-ray telescope (SXT). This segmented, 1.6m diameter highly nested Wolter-1 telescope presents many metrology and alignment challenges. In particular, these mirrors have a stringent imaging error budget as compared to their intrinsic stiffness; This is required for Constellation-X to have sufficient effective area with the weight requirement. This has implications for the metrology that can be used. A variety of contract and noncontact optical profiling and interferometric methods are combined to test the formed glass substrates before replication and the replicated reflector segments.The reflectors are tested both stand-alone and in-situ in an alignment tower.Some of these methods have not been used on prior X-ray telescopes and some are feasible only because of the segmented approach used on the SXT. Methods discussed include high precision coordinate measurement machines using very low force or optical probe axial interferometric profiling azimuthal circularity profiling and use of advanced null optics such as conical computer generated hologram (CGHs).

  12. Signal processing for order 10 PM accuracy displacement metrology in real-world scientific applications

    NASA Astrophysics Data System (ADS)

    Halverson, Peter G.; Loya, Frank M.

    2017-11-01

    Projects such as the Space Interferometry Mission (SIM) [1] and Terrestrial Planet Finder (TPF) [2] rely heavily on sub-nanometer accuracy metrology systems to define their optical paths and geometries. The James Web Space Telescope (JWST) is using this metrology in a cryogenic dilatometer for characterizing material properties (thermal expansion, creep) of optical materials. For all these projects, a key issue has been the reliability and stability of the electronics that convert displacement metrology signals into real-time distance determinations. A particular concern is the behavior of the electronics in situations where laser heterodyne signals are weak or noisy and subject to abrupt Doppler shifts due to vibrations or the slewing of motorized optics. A second concern is the long-term (hours to days) stability of the distance measurements under conditions of drifting laser power and ambient temperature. This paper describes heterodyne displacement metrology gauge signal processing methods that achieve satisfactory robustness against low signal strength and spurious signals, and good long-term stability. We have a proven displacement-measuring approach that is useful not only to space-optical projects at JPL, but also to the wider field of distance measurements.

  13. What metrology can do to improve the quality of your atmospheric ammonia measurements

    NASA Astrophysics Data System (ADS)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    field. Both devices apply the method of temperature and pressure dependant permeation of a pure substance through a membrane into a stream of pre-purified matrix gas and subsequent dilution to required amount fractions. All relevant parameters are fully traceable to SI-units. Extractive optical analysers can be connected directly to both, stationary and mobile systems for calibration. Moreover, the resulting gas mixture can also be pressurised into coated cylinders by cryo-filling. The mobile system as well as these cylinders can be applied for calibrations of optical instruments in other laboratories and in the field. In addition, an SI-traceable dilution system based on a cascade of critical orifices has been established to dilute NH3 mixtures in the order of μmol/mol stored in cylinders. It is planned to apply this system to calibrate and re-sample gas mixtures in cylinders due to its very economical gas use. Here we present insights into the development of said infrastructure and results performance tests. Moreover, we include results of the study on adsorption/desorption effects in dry as well as humidified matrix gas into the discussion on the generation of reference gas mixtures. Acknowledgement: This work was supported by the European Metrology Research Programme (EMRP). The EMRP is jointly funded by the EMRP participating countries within EURAMET and the European Union.

  14. The role of metrology in mediating and mobilizing the language and culture of scientific facts

    NASA Astrophysics Data System (ADS)

    Fisher, W. P., Jr.; Stenner, A. J.

    2015-02-01

    The self-conscious awareness of language and its use is arguably nowhere more intense than in metrology. The careful and deliberate coordination and alignment of shared metrological frames of reference for theory, experiment, and practical application have been characteristics of scientific culture at least since the origins of the SI units in revolutionary France. Though close attention has been focused on the logical and analytical aspects of language use in science, little concern has been shown for understanding how the social and historical aspects of everyday language may have foreshadowed and influenced the development and character of metrological language, especially relative to the inevitably partial knowledge possessed by any given stakeholder participating in the scientific enterprise. Insight in this regard may be helpful in discerning how and if an analogous role for metrology might be created in psychology and the social sciences. It may be that the success of psychology as a science will depend less on taking physics as the relevant model than on attending to the interplay of concepts, models, and social organization that make any culture effective.

  15. Single-Grating Talbot Imaging for Wavefront Sensing and X-Ray Metrology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grizolli, Walan; Shi, Xianbo; Kolodziej, Tomasz

    2017-01-01

    Single-grating Talbot imaging relies on high-spatial-resolution detectors to perform accurate measurements of X-ray beam wavefronts. The wavefront can be retrieved with a single image, and a typical measurement and data analysis can be performed in few seconds. These qualities make it an ideal tool for synchrotron beamline diagnostics and in-situ metrology. The wavefront measurement can be used both to obtain a phase contrast image of an object and to characterize an X-ray beam. In this work, we explore the concept in two cases: at-wavelength metrology of 2D parabolic beryllium lenses and a wavefront sensor using a diamond crystal beam splitter.

  16. Characterization and metrology implications of the 1997 NTRS

    NASA Astrophysics Data System (ADS)

    Class, W.; Wortman, J. J.

    1998-11-01

    In the Front-end (transistor forming) area of silicon CMOS device processing, several NTRS difficult challenges have been identified including; scaled and alternate gate dielectric materials, new DRAM dielectric materials, alternate gate materials, elevated contact structures, engineered channels, and large-area cost-effective silicon substrates. This paper deals with some of the characterization and metrology challenges facing the industry if it is to meet the projected needs identified in the NTRS. In the areas of gate and DRAM dielectric, scaling requires that existing material layers be thinned to maximize capacitance. For the current gate dielectric, SiO2 and its nitrided derivatives, direct tunneling will limit scaling to approximately 1.5nm for logic applications before power losses become unacceptable. Low power logic and memory applications may limit scaling to the 2.0-2.2nm range. Beyond these limits, dielectric materials having higher dielectric constant, will permit continued capacitance increases while allowing for the use of thicker dielectric layers, where tunneling may be minimized. In the near term silicon nitride is a promising SiO2 substitute material while in the longer term "high-k" materials such as tantalum pentoxide and barium strontium titanate (BST) will be required. For these latter materials, it is likely that a multilayer dielectric stack will be needed, consisting of an ultra-thin (1-2 atom layer) interfacial SiO2 layer and a high-k overlayer. Silicon wafer surface preparation control, as well as the control of composition, crystal structure, and thickness for such stacks pose significant characterization and metrology challenges. In addition to the need for new gate dielectric materials, new gate materials will be required to overcome the limitations of the current doped polysilicon gate materials. Such a change has broad ramifications on device electrical performance and manufacturing process robustness which again implies a broad

  17. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    NASA Astrophysics Data System (ADS)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  18. Implementation and performance of the metrology system for the multi-object optical and near-infrared spectrograph MOONS

    NASA Astrophysics Data System (ADS)

    Drass, Holger; Vanzi, Leonardo; Torres-Torriti, Miguel; Dünner, Rolando; Shen, Tzu-Chiang; Belmar, Francisco; Dauvin, Lousie; Staig, Tomás.; Antognini, Jonathan; Flores, Mauricio; Luco, Yerko; Béchet, Clémentine; Boettger, David; Beard, Steven; Montgomery, David; Watson, Stephen; Cabral, Alexandre; Hayati, Mahmoud; Abreu, Manuel; Rees, Phil; Cirasuolo, Michele; Taylor, William; Fairley, Alasdair

    2016-08-01

    The Multi-Object Optical and Near-infrared Spectrograph (MOONS) will cover the Very Large Telescope's (VLT) field of view with 1000 fibres. The fibres will be mounted on fibre positioning units (FPU) implemented as two-DOF robot arms to ensure a homogeneous coverage of the 500 square arcmin field of view. To accurately and fast determine the position of the 1000 fibres a metrology system has been designed. This paper presents the hardware and software design and performance of the metrology system. The metrology system is based on the analysis of images taken by a circular array of 12 cameras located close to the VLTs derotator ring around the Nasmyth focus. The system includes 24 individually adjustable lamps. The fibre positions are measured through dedicated metrology targets mounted on top of the FPUs and fiducial markers connected to the FPU support plate which are imaged at the same time. A flexible pipeline based on VLT standards is used to process the images. The position accuracy was determined to 5 μm in the central region of the images. Including the outer regions the overall positioning accuracy is 25 μm. The MOONS metrology system is fully set up with a working prototype. The results in parts of the images are already excellent. By using upcoming hardware and improving the calibration it is expected to fulfil the accuracy requirement over the complete field of view for all metrology cameras.

  19. Improving the accuracy of CT dimensional metrology by a novel beam hardening correction method

    NASA Astrophysics Data System (ADS)

    Zhang, Xiang; Li, Lei; Zhang, Feng; Xi, Xiaoqi; Deng, Lin; Yan, Bin

    2015-01-01

    Its powerful nondestructive characteristics are attracting more and more research into the study of computed tomography (CT) for dimensional metrology, which offers a practical alternative to the common measurement methods. However, the inaccuracy and uncertainty severely limit the further utilization of CT for dimensional metrology due to many factors, among which the beam hardening (BH) effect plays a vital role. This paper mainly focuses on eliminating the influence of the BH effect in the accuracy of CT dimensional metrology. To correct the BH effect, a novel exponential correction model is proposed. The parameters of the model are determined by minimizing the gray entropy of the reconstructed volume. In order to maintain the consistency and contrast of the corrected volume, a punishment term is added to the cost function, enabling more accurate measurement results to be obtained by the simple global threshold method. The proposed method is efficient, and especially suited to the case where there is a large difference in gray value between material and background. Different spheres with known diameters are used to verify the accuracy of dimensional measurement. Both simulation and real experimental results demonstrate the improvement in measurement precision. Moreover, a more complex workpiece is also tested to show that the proposed method is of general feasibility.

  20. Quantum Error Correction for Metrology

    NASA Astrophysics Data System (ADS)

    Sushkov, Alex; Kessler, Eric; Lovchinsky, Igor; Lukin, Mikhail

    2014-05-01

    The question of the best achievable sensitivity in a quantum measurement is of great experimental relevance, and has seen a lot of attention in recent years. Recent studies [e.g., Nat. Phys. 7, 406 (2011), Nat. Comms. 3, 1063 (2012)] suggest that in most generic scenarios any potential quantum gain (e.g. through the use of entangled states) vanishes in the presence of environmental noise. To overcome these limitations, we propose and analyze a new approach to improve quantum metrology based on quantum error correction (QEC). We identify the conditions under which QEC allows one to improve the signal-to-noise ratio in quantum-limited measurements, and we demonstrate that it enables, in certain situations, Heisenberg-limited sensitivity. We discuss specific applications to nanoscale sensing using nitrogen-vacancy centers in diamond in which QEC can significantly improve the measurement sensitivity and bandwidth under realistic experimental conditions.

  1. Metrological aspects of enzyme production

    NASA Astrophysics Data System (ADS)

    Kerber, T. M.; Dellamora-Ortiz, G. M.; Pereira-Meirelles, F. V.

    2010-05-01

    Enzymes are frequently used in biotechnology to carry out specific biological reactions, either in industrial processes or for the production of bioproducts and drugs. Microbial lipases are an important group of biotechnologically valuable enzymes that present widely diversified applications. Lipase production by microorganisms is described in several published papers; however, none of them refer to metrological evaluation and the estimation of the uncertainty in measurement. Moreover, few of them refer to process optimization through experimental design. The objectives of this work were to enhance lipase production in shaken-flasks with Yarrowia lipolytica cells employing experimental design and to evaluate the uncertainty in measurement of lipase activity. The highest lipolytic activity obtained was about three- and fivefold higher than the reported activities of CRMs BCR-693 and BCR-694, respectively. Lipase production by Y. lipolytica cells aiming the classification as certified reference material is recommended after further purification and stability studies.

  2. Data fusion for CD metrology: heterogeneous hybridization of scatterometry, CDSEM, and AFM data

    NASA Astrophysics Data System (ADS)

    Hazart, J.; Chesneau, N.; Evin, G.; Largent, A.; Derville, A.; Thérèse, R.; Bos, S.; Bouyssou, R.; Dezauzier, C.; Foucher, J.

    2014-04-01

    The manufacturing of next generation semiconductor devices forces metrology tool providers for an exceptional effort in order to meet the requirements for precision, accuracy and throughput stated in the ITRS. In the past years hybrid metrology (based on data fusion theories) has been investigated as a new methodology for advanced metrology [1][2][3]. This paper provides a new point of view of data fusion for metrology through some experiments and simulations. The techniques are presented concretely in terms of equations to be solved. The first point of view is High Level Fusion which is the use of simple numbers with their associated uncertainty postprocessed by tools. In this paper, it is divided into two stages: one for calibration to reach accuracy, the second to reach precision thanks to Bayesian Fusion. From our perspective, the first stage is mandatory before applying the second stage which is commonly presented [1]. However a reference metrology system is necessary for this fusion. So, precision can be improved if and only if the tools to be fused are perfectly matched at least for some parameters. We provide a methodology similar to a multidimensional TMU able to perform this matching exercise. It is demonstrated on a 28 nm node backend lithography case. The second point of view is Deep Level Fusion which works on the contrary with raw data and their combination. In the approach presented here, the analysis of each raw data is based on a parametric model and connections between the parameters of each tool. In order to allow OCD/SEM Deep Level Fusion, a SEM Compact Model derived from [4] has been developed and compared to AFM. As far as we know, this is the first time such techniques have been coupled at Deep Level. A numerical study on the case of a simple stack for lithography is performed. We show strict equivalence of Deep Level Fusion and High Level Fusion when tools are sensitive and models are perfect. When one of the tools can be considered as a

  3. Metrology-based control and profitability in the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Weber, Charles

    2001-06-01

    This paper summarizes three studies of the semiconductor industry conducted at SEMATECH and MIT's Sloan School of Management. In conjunction they lead to the conclusion that rapid problem solving is an essential component of profitability in the semiconductor industry, and that metrology-based control is instrumental to rapid problem solving. The studies also identify the need for defect attribution. Once a source of a defect has been identified, the appropriate resources--human and technological--need to be brought into the physically optimal location for corrective action. The Internet is likely to enable effective defect attribution by inducing collaboration between different companies.

  4. High pressure metrology for industrial applications

    NASA Astrophysics Data System (ADS)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  5. Development of at-wavelength metrology for x-ray optics at the ALS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Yuan, Sheng

    2010-07-09

    The comprehensive realization of the exciting advantages of new third- and forth-generation synchrotron radiation light sources requires concomitant development of reflecting and diffractive x-ray optics capable of micro- and nano-focusing, brightness preservation, and super high resolution. The fabrication, tuning, and alignment of the optics are impossible without adequate metrology instrumentation, methods, and techniques. While the accuracy of ex situ optical metrology at the Advanced Light Source (ALS) has reached a state-of-the-art level, wavefront control on beamlines is often limited by environmental and systematic alignment factors, and inadequate in situ feedback. At ALS beamline 5.3.1, we are developing broadly applicable, high-accuracy,more » in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad slope measurement accuracy for Kirkpatrick-Baez (KB) mirrors. The at-wavelength methodology we are developing relies on a series of tests with increasing accuracy and sensitivity. Geometric Hartmann tests, performed with a scanning illuminated sub-aperture determine the wavefront slope across the full mirror aperture. Shearing interferometry techniques use coherent illumination and provide higher sensitivity wavefront measurements. Combining these techniques with high precision optical metrology and experimental methods will enable us to provide in situ setting and alignment of bendable x-ray optics to realize diffraction-limited, sub 50 nm focusing at beamlines. We describe here details of the metrology beamline endstation, the x-ray beam diagnostic system, and original experimental techniques that have already allowed us to precisely set a bendable KB mirror to achieve a focused spot size of 150 nm.« less

  6. An image-processing software package: UU and Fig for optical metrology applications

    NASA Astrophysics Data System (ADS)

    Chen, Lujie

    2013-06-01

    Modern optical metrology applications are largely supported by computational methods, such as phase shifting [1], Fourier Transform [2], digital image correlation [3], camera calibration [4], etc, in which image processing is a critical and indispensable component. While it is not too difficult to obtain a wide variety of image-processing programs from the internet; few are catered for the relatively special area of optical metrology. This paper introduces an image-processing software package: UU (data processing) and Fig (data rendering) that incorporates many useful functions to process optical metrological data. The cross-platform programs UU and Fig are developed based on wxWidgets. At the time of writing, it has been tested on Windows, Linux and Mac OS. The userinterface is designed to offer precise control of the underline processing procedures in a scientific manner. The data input/output mechanism is designed to accommodate diverse file formats and to facilitate the interaction with other independent programs. In terms of robustness, although the software was initially developed for personal use, it is comparably stable and accurate to most of the commercial software of similar nature. In addition to functions for optical metrology, the software package has a rich collection of useful tools in the following areas: real-time image streaming from USB and GigE cameras, computational geometry, computer vision, fitting of data, 3D image processing, vector image processing, precision device control (rotary stage, PZT stage, etc), point cloud to surface reconstruction, volume rendering, batch processing, etc. The software package is currently used in a number of universities for teaching and research.

  7. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    PubMed

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (<100 nW) of the radiation emitted from the quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  8. High-intensity therapeutic ultrasound: metrological requirements versus clinical usage

    NASA Astrophysics Data System (ADS)

    Aubry, J.-F.

    2012-10-01

    High-intensity therapeutic ultrasound (HITU) is an appealing non-invasive, non-ionizing therapeutic modality with a wide range of tissue interactions ranging from transient permeabilization of cell membranes to thermal ablation. The ability to guide and monitor the treatment with an associated ultrasonic or magnetic resonance imaging device has resulted in a dramatic rise in the clinical use of therapeutic ultrasound in the past two decades. Nevertheless, the range of clinical applications and the number of patients treated has grown at a much higher pace than the definition of standards. In this paper the metrological requirements of the therapeutic beams are reviewed and are compared with the current clinical use of image-guided HITU mostly based on a practical approach. Liver therapy, a particularly challenging clinical application, is discussed to highlight the differences between some complex clinical situations and the experimental conditions of the metrological characterization of ultrasonic transducers.

  9. Quantum Metrology Assisted by Abstention

    NASA Astrophysics Data System (ADS)

    Gendra, B.; Ronco-Bonvehi, E.; Calsamiglia, J.; Muñoz-Tapia, R.; Bagan, E.

    2013-03-01

    The main goal of quantum metrology is to obtain accurate values of physical parameters using quantum probes. In this context, we show that abstention, i.e., the possibility of getting an inconclusive answer at readout, can drastically improve the measurement precision and even lead to a change in its asymptotic behavior, from the shot-noise to the Heisenberg scaling. We focus on phase estimation and quantify the required amount of abstention for a given precision. We also develop analytical tools to obtain the asymptotic behavior of the precision and required rate of abstention for arbitrary pure states.

  10. Sub-microradian Surface Slope Metrology with the ALS Developmental Long Trace Profiler

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yashchuk, Valeriy V; Barber, Samuel; Domning, Edward E.

    2009-09-11

    A new low budget slope measuring instrument, the Developmental Long Trace Profiler (DLTP), was recently brought to operation at the ALS Optical Metrology Laboratory. The design, instrumental control and data acquisition system, initial alignment and calibration procedures, as well as the developed experimental precautions and procedures are described in detail. The capability of the DLTP to achieve sub-microradian surface slope metrology is verified via cross-comparison measurements with other high performance slope measuring instruments when measuring the same high quality test optics. The directions of future work to develop a surface slope measuring profiler with nano-radian performance are also discussed.

  11. Improving automated 3D reconstruction methods via vision metrology

    NASA Astrophysics Data System (ADS)

    Toschi, Isabella; Nocerino, Erica; Hess, Mona; Menna, Fabio; Sargeant, Ben; MacDonald, Lindsay; Remondino, Fabio; Robson, Stuart

    2015-05-01

    This paper aims to provide a procedure for improving automated 3D reconstruction methods via vision metrology. The 3D reconstruction problem is generally addressed using two different approaches. On the one hand, vision metrology (VM) systems try to accurately derive 3D coordinates of few sparse object points for industrial measurement and inspection applications; on the other, recent dense image matching (DIM) algorithms are designed to produce dense point clouds for surface representations and analyses. This paper strives to demonstrate a step towards narrowing the gap between traditional VM and DIM approaches. Efforts are therefore intended to (i) test the metric performance of the automated photogrammetric 3D reconstruction procedure, (ii) enhance the accuracy of the final results and (iii) obtain statistical indicators of the quality achieved in the orientation step. VM tools are exploited to integrate their main functionalities (centroid measurement, photogrammetric network adjustment, precision assessment, etc.) into the pipeline of 3D dense reconstruction. Finally, geometric analyses and accuracy evaluations are performed on the raw output of the matching (i.e. the point clouds) by adopting a metrological approach. The latter is based on the use of known geometric shapes and quality parameters derived from VDI/VDE guidelines. Tests are carried out by imaging the calibrated Portable Metric Test Object, designed and built at University College London (UCL), UK. It allows assessment of the performance of the image orientation and matching procedures within a typical industrial scenario, characterised by poor texture and known 3D/2D shapes.

  12. Metrology of human-based and other qualitative measurements

    NASA Astrophysics Data System (ADS)

    Pendrill, Leslie; Petersson, Niclas

    2016-09-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  13. Industrial Photogrammetry - Accepted Metrology Tool or Exotic Niche

    NASA Astrophysics Data System (ADS)

    Bösemann, Werner

    2016-06-01

    New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]). This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly) illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM's. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  14. Diffraction-based overlay metrology for double patterning technologies

    NASA Astrophysics Data System (ADS)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  15. Technique for the metrology calibration of a Fourier transform spectrometer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Spencer, Locke D.; Naylor, David A

    2008-11-10

    A method is presented for using a Fourier transform spectrometer (FTS) to calibrate the metrology of a second FTS. This technique is particularly useful when the second FTS is inside a cryostat or otherwise inaccessible.

  16. Combined dry plasma etching and online metrology for manufacturing highly focusing x-ray mirrors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berujon, S., E-mail: berujon@esrf.eu; Ziegler, E., E-mail: ziegler@esrf.eu; Cunha, S. da

    A new figuring station was designed and installed at the ESRF beamline BM05. It allows the figuring of mirrors within an iterative process combining the advantage of online metrology with dry etching. The complete process takes place under a vacuum environment to minimize surface contamination while non-contact surfacing tools open up the possibility of performing at-wavelength metrology and eliminating placement errors. The aim is to produce mirrors whose slopes do not deviate from the stigmatic profile by more than 0.1 µrad rms while keeping surface roughness in the acceptable limit of 0.1-0.2 nm rms. The desired elliptical mirror surface shapemore » can be achieved in a few iterations in about a one day time span. This paper describes some of the important aspects of the process regarding both the online metrology and the etching process.« less

  17. Phase shifting white light interferometry using colour CCD for optical metrology and bio-imaging applications

    NASA Astrophysics Data System (ADS)

    Upputuri, Paul Kumar; Pramanik, Manojit

    2018-02-01

    Phase shifting white light interferometry (PSWLI) has been widely used for optical metrology applications because of their precision, reliability, and versatility. White light interferometry using monochrome CCD makes the measurement process slow for metrology applications. WLI integrated with Red-Green-Blue (RGB) CCD camera is finding imaging applications in the fields optical metrology and bio-imaging. Wavelength dependent refractive index profiles of biological samples were computed from colour white light interferograms. In recent years, whole-filed refractive index profiles of red blood cells (RBCs), onion skin, fish cornea, etc. were measured from RGB interferograms. In this paper, we discuss the bio-imaging applications of colour CCD based white light interferometry. The approach makes the measurement faster, easier, cost-effective, and even dynamic by using single fringe analysis methods, for industrial applications.

  18. Half a century of light scatter metrology and counting

    NASA Astrophysics Data System (ADS)

    Stover, John C.

    2014-09-01

    Back in the early days Bill Wolf once said something like: "The guy with the lowest scatter measurement is closest to the right answer." He was often right then - but not anymore. Everything has changed. Today measurements are limited by Rayleigh scatter from the air - not the instrument. We have both written and physical standards and everybody spells BRDF the same way. In the time it takes to give this talk, over 100,000 silicon wafers will be inspected around the world using a few thousand scatterometers - average price about one million dollars each. The way the world illuminates everything from homes to football fields is changing with the advent of high brightness LED's and these lighting systems are designed using a combination of scatter metrology and analysis techniques - many of which were started at The Optical Sciences Center. This paper reviews two major highlights in half a century of scatter metrology progress.

  19. Development of the metrology and imaging of cellulose nanocrystals

    NASA Astrophysics Data System (ADS)

    Postek, Michael T.; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J.; Sabo, Ronald; Wegner, Theodore H.; Beecher, James

    2011-02-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs.

  20. Metrology System for a Large, Somewhat Flexible Telescope

    NASA Technical Reports Server (NTRS)

    Liebe, Carl Christian; Bartman, Randall; Cook, Walter; Craig, William

    2009-01-01

    A proposed metrology system would be incorporated into a proposed telescope that would include focusing optics on a rigid bench connected via a deployable mast to another rigid bench holding a focal-plane array of photon counting photodetectors. Deformations of the deployable mast would give rise to optical misalignments that would alter the directions (and, hence, locations) of incidence of photons on the focal plane. The metrology system would measure the relative displacement of the focusing- optics bench and the focal-plane array bench. The measurement data would be used in post-processing of the digitized photodetector outputs to compensate for the mast-deformation-induced changes in the locations of incidence of photons on the focal plane, thereby making it possible to determine the original directions of incidence of photons with greater accuracy. The proposed metrology system is designed specifically for the Nuclear Spectroscopic Telescope Array (NuSTAR) a proposed spaceborne x-ray telescope. The basic principles of design and operation are also applicable to other large, somewhat flexible telescopes, both terrestrial and spaceborne. In the NuSTAR, the structural member connecting the optical bench and the photodetector array would be a 10-m-long deployable mast, and there is a requirement to keep errors in measured directions of incidence of photons below 10 arc seconds (3 sigma). The proposed system would include three diode lasers that would be mounted on the focusing-optics bench. For clarity, only one laser is shown in the figure, which is a greatly simplified schematic diagram of the system. Each laser would be aimed at a position-sensitive photodiode that would be mounted on the detector bench alongside the aforementioned telescope photodetector array. The diode lasers would operate at a wavelength of 830 nm, each at a power of 200 mW. Each laser beam would be focused to a spot of .1-mm diameter on the corresponding position-sensitive photodiode. To

  1. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    NASA Astrophysics Data System (ADS)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of <100 nrad (root-mean-square) and height error of <1-2 nm (peak-tovalley). These are for optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed

  2. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    NASA Astrophysics Data System (ADS)

    Acero, R.; Santolaria, J.; Pueo, M.; Aguilar, J. J.; Brau, A.

    2015-11-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures.

  3. DFM flow by using combination between design based metrology system and model based verification at sub-50nm memory device

    NASA Astrophysics Data System (ADS)

    Kim, Cheol-kyun; Kim, Jungchan; Choi, Jaeseung; Yang, Hyunjo; Yim, Donggyu; Kim, Jinwoong

    2007-03-01

    As the minimum transistor length is getting smaller, the variation and uniformity of transistor length seriously effect device performance. So, the importance of optical proximity effects correction (OPC) and resolution enhancement technology (RET) cannot be overemphasized. However, OPC process is regarded by some as a necessary evil in device performance. In fact, every group which includes process and design, are interested in whole chip CD variation trend and CD uniformity, which represent real wafer. Recently, design based metrology systems are capable of detecting difference between data base to wafer SEM image. Design based metrology systems are able to extract information of whole chip CD variation. According to the results, OPC abnormality was identified and design feedback items are also disclosed. The other approaches are accomplished on EDA companies, like model based OPC verifications. Model based verification will be done for full chip area by using well-calibrated model. The object of model based verification is the prediction of potential weak point on wafer and fast feed back to OPC and design before reticle fabrication. In order to achieve robust design and sufficient device margin, appropriate combination between design based metrology system and model based verification tools is very important. Therefore, we evaluated design based metrology system and matched model based verification system for optimum combination between two systems. In our study, huge amount of data from wafer results are classified and analyzed by statistical method and classified by OPC feedback and design feedback items. Additionally, novel DFM flow would be proposed by using combination of design based metrology and model based verification tools.

  4. Ambient Optomechanical Alignment and Pupil Metrology for the Flight Instruments Aboard the James Webb Space Telescope

    NASA Technical Reports Server (NTRS)

    Coulter, Phillip; Beaton, Alexander; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hayden, Joseph E.; Hummel, Susann; Hylan, Jason E.; Lee, David; Madison, Timothy J.; Maszkiewicz, Michael; hide

    2014-01-01

    The James Webb Space Telescope science instruments are in the final stages of being integrated into the Integrated Science Instrument Module (ISIM) element. Each instrument is tied into a common coordinate system through mechanical references that are used for optical alignment and metrology within ISIM after element-level assembly. In addition, a set of ground support equipment (GSE) consisting of large, precisely calibrated, ambient, and cryogenic structures are used as alignment references and gauges during various phases of integration and test (I&T). This GSE, the flight instruments, and ISIM structure feature different types of complimentary metrology targeting. These GSE targets are used to establish and track six degrees of freedom instrument alignment during I&T in the vehicle coordinate system (VCS). This paper describes the optomechanical metrology conducted during science instrument integration and alignment in the Spacecraft Systems Development and Integration Facility (SSDIF) cleanroom at NASA Goddard Space Flight Center (GSFC). The measurement of each instrument's ambient entrance pupil location in the telescope coordinate system is discussed. The construction of the database of target locations and the development of metrology uncertainties is also discussed.

  5. XUV frequency-comb metrology on the ground state of helium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kandula, Dominik Z.; Gohle, Christoph; Pinkert, Tjeerd J.

    2011-12-15

    The operation of a frequency comb at extreme ultraviolet (xuv) wavelengths based on pairwise amplification and nonlinear upconversion to the 15th harmonic of pulses from a frequency-comb laser in the near-infrared range is reported. It is experimentally demonstrated that the resulting spectrum at 51 nm is fully phase coherent and can be applied to precision metrology. The pulses are used in a scheme of direct-frequency-comb excitation of helium atoms from the ground state to the 1s4p and 1s5p {sup 1} P{sub 1} states. Laser ionization by auxiliary 1064 nm pulses is used to detect the excited-state population, resulting in amore » cosine-like signal as a function of the repetition rate of the frequency comb with a modulation contrast of up to 55%. Analysis of the visibility of this comb structure, thereby using the helium atom as a precision phase ruler, yields an estimated timing jitter between the two upconverted-comb laser pulses of 50 attoseconds, which is equivalent to a phase jitter of 0.38 (6) cycles in the xuv at 51 nm. This sets a quantitative figure of merit for the operation of the xuv comb and indicates that extension to even shorter wavelengths should be feasible. The helium metrology investigation results in transition frequencies of 5 740 806 993 (10) and 5 814 248 672 (6) MHz for excitation of the 1s4p and 1s5p {sup 1} P{sub 1} states, respectively. This constitutes an important frequency measurement in the xuv, attaining high accuracy in this windowless part of the electromagnetic spectrum. From the measured transition frequencies an eight-fold-improved {sup 4}He ionization energy of 5 945 204 212 (6) MHz is derived. Also, a new value for the {sup 4}He ground-state Lamb shift is found of 41 247 (6) MHz. This experimental value is in agreement with recent theoretical calculations up to order m{alpha}{sup 6} and m{sup 2}/M{alpha}{sup 5}, but with a six-times-higher precision, therewith providing a stringent test of quantum electrodynamics in bound

  6. Metrology for decommissioning nuclear facilities: Partial outcomes of joint research project within the European Metrology Research Program.

    PubMed

    Suran, Jiri; Kovar, Petr; Smoldasova, Jana; Solc, Jaroslav; Van Ammel, Raf; Garcia Miranda, Maria; Russell, Ben; Arnold, Dirk; Zapata-García, Daniel; Boden, Sven; Rogiers, Bart; Sand, Johan; Peräjärvi, Kari; Holm, Philip; Hay, Bruno; Failleau, Guillaume; Plumeri, Stephane; Laurent Beck, Yves; Grisa, Tomas

    2018-04-01

    Decommissioning of nuclear facilities incurs high costs regarding the accurate characterisation and correct disposal of the decommissioned materials. Therefore, there is a need for the implementation of new and traceable measurement technologies to select the appropriate release or disposal route of radioactive wastes. This paper addresses some of the innovative outcomes of the project "Metrology for Decommissioning Nuclear Facilities" related to mapping of contamination inside nuclear facilities, waste clearance measurement, Raman distributed temperature sensing for long term repository integrity monitoring and validation of radiochemical procedures. Copyright © 2017 Elsevier Ltd. All rights reserved.

  7. Advanced applications of scatterometry based optical metrology

    NASA Astrophysics Data System (ADS)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  8. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of newmore » light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area. But the situation isn

  9. Dimensional metrology of lab-on-a-chip internal structures: a comparison of optical coherence tomography with confocal fluorescence microscopy.

    PubMed

    Reyes, D R; Halter, M; Hwang, J

    2015-07-01

    The characterization of internal structures in a polymeric microfluidic device, especially of a final product, will require a different set of optical metrology tools than those traditionally used for microelectronic devices. We demonstrate that optical coherence tomography (OCT) imaging is a promising technique to characterize the internal structures of poly(methyl methacrylate) devices where the subsurface structures often cannot be imaged by conventional wide field optical microscopy. The structural details of channels in the devices were imaged with OCT and analyzed with an in-house written ImageJ macro in an effort to identify the structural details of the channel. The dimensional values obtained with OCT were compared with laser-scanning confocal microscopy images of channels filled with a fluorophore solution. Attempts were also made using confocal reflectance and interferometry microscopy to measure the channel dimensions, but artefacts present in the images precluded quantitative analysis. OCT provided the most accurate estimates for the channel height based on an analysis of optical micrographs obtained after destructively slicing the channel with a microtome. OCT may be a promising technique for the future of three-dimensional metrology of critical internal structures in lab-on-a-chip devices because scans can be performed rapidly and noninvasively prior to their use. © 2015 The Authors Journal of Microscopy © 2015 Royal Microscopical Society.

  10. Development of the metrology and imaging of cellulose nanocrystals

    Treesearch

    Michael T. Postek; Andras Vladar; John Dagata; Natalia Farkas; Bin Ming; Ryan Wagner; Arvind Raman; Robert J. Moon; Ronald Sabo; Theodore H. Wegner; James Beecher

    2011-01-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the...

  11. Metrology applied to ultrasound characterization of trabecular bones using the AIB parameter

    NASA Astrophysics Data System (ADS)

    Braz, D. S.; Silva, C. E.; Alvarenga, A. V.; Junior, D. S.; Costa-Félix, R. P. B.

    2016-07-01

    Apparent Integrated Backscattering (AIB) presents correlation between Apparent Backscatter Transfer Function and the transducer bandwidth. Replicas of trabecular bones (cubes of 20 mm side length) created by 3D printing technique were characterized using AIB with a 2.25 MHz center frequency transducer. A mechanical scanning system was used to acquire multiple backscatter signals. An uncertainty model in measurement was proposed based on the Guide to the Expression of Uncertainty in Measurement. Initial AIB results are not metrologically reliable, presenting high measurement uncertainties (sample: 5_0.2032/AIB: -15.1 dB ± 13.9 dB). It is noteworthy that the uncertainty model proposed contributes as unprecedented way for metrological assessment of trabecular bone characterization using AIB.

  12. National Needs for Appearance Metrology

    NASA Astrophysics Data System (ADS)

    Nadal, Maria E.

    2003-04-01

    Appearance greatly influences a customer's judgement of the quality and acceptability of manufactured products, as yearly there is approximately $700 billion worth of shipped goods for which overall appearance is critical to their sale. For example, appearance is reported to be a major factor in about half of automobile purchases. The appearance of an object is the result of a complex interaction of the light field incident upon the object, the scattering and absorption properties of the object, and human perception. The measurable attributes of appearance are divided into color (hue, saturation, and lightness) and geometry (gloss, haze). The nature of the global economy has increased international competition and the need to improve the quality of many manufactured products. Since the manufacturing and marketing of these products is international in scope, the lack of national appearance standard artifacts and measurement protocols results in a direct loss to the supplier. One of the primary missions of the National Institute of Standards and Technology (NIST) is to strengthen the U.S. economy by working with industry to develop and apply technology, measurements and standards. The NIST Physics Laboratory has established an appearance metrology laboratory. This new laboratory provides calibration services for 0^o/45^o color standards and 20^o°, 60^o°, and 85^o° specular gloss, and research in the colorimetric characterization of gonioapparent including a new Standard Reference Material for metallic coatings (SRM 2017) and measurement protocols for pearlescent coatings. These services are NIST's first appearance metrology efforts in many years; a response to needs articulated by industry. These services are designed to meet demands for improved measurements and standards to enhance the acceptability of final products since appearance often plays a major role in their acceptability.

  13. Optimized Biasing of Pump Laser Diodes in a Highly Reliable Metrology Source for Long-Duration Space Missions

    NASA Technical Reports Server (NTRS)

    Poberezhskiy, Ilya Y; Chang, Daniel H.; Erlig, Herman

    2011-01-01

    Optical metrology system reliability during a prolonged space mission is often limited by the reliability of pump laser diodes. We developed a metrology laser pump module architecture that meets NASA SIM Lite instrument optical power and reliability requirements by combining the outputs of multiple single-mode pump diodes in a low-loss, high port count fiber coupler. We describe Monte-Carlo simulations used to calculate the reliability of the laser pump module and introduce a combined laser farm aging parameter that serves as a load-sharing optimization metric. Employing these tools, we select pump module architecture, operating conditions, biasing approach and perform parameter sensitivity studies to investigate the robustness of the obtained solution.

  14. Comparison of contact and non-contact asphere surface metrology devices

    NASA Astrophysics Data System (ADS)

    DeFisher, Scott; Fess, Edward M.

    2013-09-01

    Metrology of asphere surfaces is critical in the precision optics industry. Surface metrology serves as feedback into deterministic grinding and polishing platforms. Many different techniques and devices are used to qualify an asphere surface during fabrication. A contact profilometer is one of the most common measurement technologies used in asphere manufacturing. A profilometer uses a fine stylus to drag a diamond or ruby tip over the surface, resulting in a high resolution curved profile. Coordinate measuring machines (CMM) apply a similar concept by touching the optic with a ruby or silicon carbine sphere. A CMM is able to move in three dimensions while collecting data points along the asphere surface. Optical interferometers use a helium-neon laser with transmission spheres to compare a reflected wavefront from an asphere surface to a reference spherical wavefront. Large departure aspheres can be measured when a computer generated hologram (CGH) is introduced between the interferometer and the optic. OptiPro Systems has developed a non-contact CMM called UltraSurf. It utilizes a single point non-contact sensor, and high accuracy air bearings. Several different commercial non-contact sensors have been integrated, allowing for the flexibility to measure a variety of surfaces and materials. Metrology of a sphere and an asphere using a profilometer, CMM, Interferometer with a CGH, and the UltraSurf will be presented. Cross-correlation of the measured surface error magnitude and shape will be demonstrated. Comparisons between the techniques and devices will be also presented with attention to accuracy, repeatability, and overall measurement time.

  15. Current state of the art in small mass and force metrology within the International System of Units

    NASA Astrophysics Data System (ADS)

    Shaw, Gordon A.

    2018-07-01

    This review article summarizes new scientific trends in research for metrology of small mass (1 mg and lower) and small force (10 micronewtons and lower). After a brief introduction to the field, this paper provides an overview of recent developments in methods that demonstrate traceability to the International System of Units (SI) with emphasis on the implications of redefining the kilogram in terms of Planck’s constant. Specific research applications include new metrology facilities, calibration of small mass and force references such as milligram to submilligram masses or atomic force microscope (AFM) cantilevers, and laser power measurement using radiation pressure forces. Also discussed are recent scientific developments that may impact the field moving forward in the study of ultrasmall forces present in trapped and cooled quantum mechanical systems, resonant micro- and nanomechanical mass sensors, and other areas that are potentially well suited for SI metrology. The work reviewed is not intended as a comprehensive review of all research in which small forces are measured, but rather as an overview of a field in which the accurate measurement of small mass and force with quantified uncertainty is the primary goal.

  16. Nuclear Technology. Course 27: Metrology. Module 27-5, Tolerancing.

    ERIC Educational Resources Information Center

    Selleck, Ben; Espy, John

    This fifth in a series of eight modules for a course titled Metrology describes the application of the American National Standard (ANSI Y14.5-1973) for dimensioning and tolerancing and gives guidance on interpreting form and location controls consistent with the national standard. The module follows a typical format that includes the following…

  17. Guest Editorial Precision Surface Metrology

    NASA Astrophysics Data System (ADS)

    Wyant, James C.

    1984-08-01

    During the past two decades there have been many changes in precision surface metrology. The introduction of the laser and the large computer during the 1960s and 1970s produced many changes in testing capabilities and requirements. Several commercial interferometers became available in the 1970s, enabling people who were not necessarily experts in interferometry to use interferometers to produce better optics. Since both buyers and sellers could test optics, the quality of the optics manufactured and sold improved greatly. If a person ordered 1/10 wave optics, he would probably get 1/10 wave or better optics; if he got optics of lower quality, he would know it, and he could prove it and return it.

  18. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    NASA Astrophysics Data System (ADS)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  19. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    NASA Astrophysics Data System (ADS)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  20. Investigations into mirror fabrication metrology analysis

    NASA Technical Reports Server (NTRS)

    Dimmock, John O.

    1994-01-01

    This final report describes the work performed under this delivery order from June 1993 through August 1994. The scope of work included three distinct tasks in support of the AXAF-I program. The objective of the first task was to perform investigations of the grinding and polishing characteristics of the zerodur material by fabricating several samples. The second task was to continue the development of the integrated optical performance modeling software for AXAF-I. The purpose of third and final task was to develop and update the database of AXAF technical documents for an easy and rapid access. The MSFC optical and metrology shops were relocated from the B-wing of Building 4487 to Room BC 144 of Building 4466 in the beginning of this contract. This included dismantling, packing, and moving the equipment from its old location, and then reassembling it at the new location. A total of 65 zerodur samples, measuring 1 inch x 2 inches x 6 inches were ground and polished to a surface figure of lambda/10 p-v, and a surface finish of 5A rms were fabricated for coating tests. A number of special purpose tools and metal mirrors were also fabricated to support various AXAF-I development activities. In the metrology area, the ZYGO Mark 4 interferometer was relocated and also upgraded with a faster and more powerful processor. Surface metrology work was also performed on the coating samples and other optics using ZYGO interferometer and WYKO profilometer. A number of new features have been added to the GRAZTRACE program to enhance its analysis and modeling capabilities. A number of new commands have been added to the command mode GRAZTRACE program to provide a better control to the user on the program execution and data manipulation. Some commands and parameter entries have been reorganized for a uniform format. The command mode version of the convolution program CONVOLVE has been developed. An on-line help system and a user's manual have also been developed for the benefit of

  1. Theory-based metrological traceability in education: A reading measurement network.

    PubMed

    Fisher, William P; Stenner, A Jackson

    2016-10-01

    Huge resources are invested in metrology and standards in the natural sciences, engineering, and across a wide range of commercial technologies. Significant positive returns of human, social, environmental, and economic value on these investments have been sustained for decades. Proven methods for calibrating test and survey instruments in linear units are readily available, as are data- and theory-based methods for equating those instruments to a shared unit. Using these methods, metrological traceability is obtained in a variety of commercially available elementary and secondary English and Spanish language reading education programs in the U.S., Canada, Mexico, and Australia. Given established historical patterns, widespread routine reproduction of predicted text-based and instructional effects expressed in a common language and shared frame of reference may lead to significant developments in theory and practice. Opportunities for systematic implementations of teacher-driven lean thinking and continuous quality improvement methods may be of particular interest and value.

  2. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    NASA Astrophysics Data System (ADS)

    Brau-Avila, A.; Santolaria, J.; Acero, R.; Valenzuela-Galvan, M.; Herrera-Jimenez, V. M.; Aguilar, J. J.

    2017-03-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs.

  3. Electrical test prediction using hybrid metrology and machine learning

    NASA Astrophysics Data System (ADS)

    Breton, Mary; Chao, Robin; Muthinti, Gangadhara Raja; de la Peña, Abraham A.; Simon, Jacques; Cepler, Aron J.; Sendelbach, Matthew; Gaudiello, John; Emans, Susan; Shifrin, Michael; Etzioni, Yoav; Urenski, Ronen; Lee, Wei Ti

    2017-03-01

    Electrical test measurement in the back-end of line (BEOL) is crucial for wafer and die sorting as well as comparing intended process splits. Any in-line, nondestructive technique in the process flow to accurately predict these measurements can significantly improve mean-time-to-detect (MTTD) of defects and improve cycle times for yield and process learning. Measuring after BEOL metallization is commonly done for process control and learning, particularly with scatterometry (also called OCD (Optical Critical Dimension)), which can solve for multiple profile parameters such as metal line height or sidewall angle and does so within patterned regions. This gives scatterometry an advantage over inline microscopy-based techniques, which provide top-down information, since such techniques can be insensitive to sidewall variations hidden under the metal fill of the trench. But when faced with correlation to electrical test measurements that are specific to the BEOL processing, both techniques face the additional challenge of sampling. Microscopy-based techniques are sampling-limited by their small probe size, while scatterometry is traditionally limited (for microprocessors) to scribe targets that mimic device ground rules but are not necessarily designed to be electrically testable. A solution to this sampling challenge lies in a fast reference-based machine learning capability that allows for OCD measurement directly of the electrically-testable structures, even when they are not OCD-compatible. By incorporating such direct OCD measurements, correlation to, and therefore prediction of, resistance of BEOL electrical test structures is significantly improved. Improvements in prediction capability for multiple types of in-die electrically-testable device structures is demonstrated. To further improve the quality of the prediction of the electrical resistance measurements, hybrid metrology using the OCD measurements as well as X-ray metrology (XRF) is used. Hybrid metrology

  4. A heterodyne interferometer for high-performance industrial metrology

    NASA Astrophysics Data System (ADS)

    Schuldt, Thilo; Gohlke, Martin; Weise, Dennis; Johann, Ulrich; Peters, Achim; Braxmaier, Claus

    2008-11-01

    We developed a compact, fiber-coupled heterodyne interferometer for translation and tilt metrology. Noise levels below 5 pm/√Hz in translation and below 10 nrad/√Hz in tilt measurement, both for frequencies above 10-2 Hz, were demonstrated in lab experiments. While this setup was developed with respect to the LISA (Laser Interferometer Space Antenna) space mission current activities focus on its adaptation for dimensional characterization of ultra-stable materials and industrial metrology. The interferometer is used in high-accuracy dilatometry measuring the coefficient of thermal expansion (CTE) of dimensionally highly stable materials such as carbon-fiber reinforced plastic (CFRP) and Zerodur. The facility offers the possibility to measure the CTE with an accuracy better 10-8/K. We also develop a very compact and quasi-monolithic sensor head utilizing ultra-low expansion glass material which is the basis for a future space-qualifiable interferometer setup and serves as a prototype for a sensor head used in industrial environment. For high resolution 3D profilometry and surface property measurements (i. e. roughness, evenness and roundness), a low-noise (<=1nm/√ Hz) actuator will be implemented which enables a scan of the measurement beam over the surface under investigation.

  5. Recent progress in high pressure metrology in Europe

    NASA Astrophysics Data System (ADS)

    Sabuga, Wladimir; Pražák, Dominik; Rabault, Thierry

    2014-08-01

    Five European national metrology institutes in collaboration with a university, a research institute and five industrial companies are working on a joint research project within a framework of the European Metrology Research Programme aimed at development of 1.6 GPa primary and 1.5 GPa transfer pressure standards. Two primary pressure standards were realised as pressure-measuring multipliers, each consisting of a low pressure and a high pressure (HP) piston-cylinder assembly (PCA). A special design of the HP PCAs was developed in which a tungsten carbide cylinder is supported by two thermally shrunk steel sleeves and, additionally, by jacket pressure applied to the outside of the outer sleeve. Stress-strain finite element analysis (FEA) was performed to predict behaviour of the multipliers and a pressure generation system. With FEA, the pressure distortion coefficient was determined, taking into account irregularities of the piston-cylinder gap. Transfer pressure standards up to 1.5 GPa are developed on the basis of modern 1.5 GPa pressure transducers. This project shall solve a discrepancy between the growing needs of the industry demanding precise traceable calibrations of the high pressure transducers and the absence of adequate primary standards for pressures higher than 1 GPa in the European Union today.

  6. Context-based virtual metrology

    NASA Astrophysics Data System (ADS)

    Ebersbach, Peter; Urbanowicz, Adam M.; Likhachev, Dmitriy; Hartig, Carsten; Shifrin, Michael

    2018-03-01

    Hybrid and data feed forward methodologies are well established for advanced optical process control solutions in highvolume semiconductor manufacturing. Appropriate information from previous measurements, transferred into advanced optical model(s) at following step(s), provides enhanced accuracy and exactness of the measured topographic (thicknesses, critical dimensions, etc.) and material parameters. In some cases, hybrid or feed-forward data are missed or invalid for dies or for a whole wafer. We focus on approaches of virtual metrology to re-create hybrid or feed-forward data inputs in high-volume manufacturing. We discuss missing data inputs reconstruction which is based on various interpolation and extrapolation schemes and uses information about wafer's process history. Moreover, we demonstrate data reconstruction approach based on machine learning techniques utilizing optical model and measured spectra. And finally, we investigate metrics that allow one to assess error margin of virtual data input.

  7. Metrological approaches to organic chemical purity: primary reference materials for vitamin D metabolites.

    PubMed

    Nelson, Michael A; Bedner, Mary; Lang, Brian E; Toman, Blaza; Lippa, Katrice A

    2015-11-01

    Given the critical role of pure, organic compound primary reference standards used to characterize and certify chemical Certified Reference Materials (CRMs), it is essential that associated mass purity assessments be fit-for-purpose, represented by an appropriate uncertainty interval, and metrologically sound. The mass fraction purities (% g/g) of 25-hydroxyvitamin D (25(OH)D) reference standards used to produce and certify values for clinical vitamin D metabolite CRMs were investigated by multiple orthogonal quantitative measurement techniques. Quantitative (1)H-nuclear magnetic resonance spectroscopy (qNMR) was performed to establish traceability of these materials to the International System of Units (SI) and to directly assess the principal analyte species. The 25(OH)D standards contained volatile and water impurities, as well as structurally-related impurities that are difficult to observe by chromatographic methods or to distinguish from the principal 25(OH)D species by one-dimensional NMR. These impurities have the potential to introduce significant biases to purity investigations in which a limited number of measurands are quantified. Combining complementary information from multiple analytical methods, using both direct and indirect measurement techniques, enabled mitigation of these biases. Purities of 25(OH)D reference standards and associated uncertainties were determined using frequentist and Bayesian statistical models to combine data acquired via qNMR, liquid chromatography with UV absorbance and atmospheric pressure-chemical ionization mass spectrometric detection (LC-UV, LC-ACPI-MS), thermogravimetric analysis (TGA), and Karl Fischer (KF) titration.

  8. Quantum metrology for gravitational wave astronomy.

    PubMed

    Schnabel, Roman; Mavalvala, Nergis; McClelland, David E; Lam, Ping K

    2010-11-16

    Einstein's general theory of relativity predicts that accelerating mass distributions produce gravitational radiation, analogous to electromagnetic radiation from accelerating charges. These gravitational waves (GWs) have not been directly detected to date, but are expected to open a new window to the Universe once the detectors, kilometre-scale laser interferometers measuring the distance between quasi-free-falling mirrors, have achieved adequate sensitivity. Recent advances in quantum metrology may now contribute to provide the required sensitivity boost. The so-called squeezed light is able to quantum entangle the high-power laser fields in the interferometer arms, and could have a key role in the realization of GW astronomy.

  9. Catalog of Federal metrology and calibration capabilities: 1980 edition

    NASA Astrophysics Data System (ADS)

    Leedy, K. O.

    1980-09-01

    Federal laboratories involved in metrology and calibration are listed. Included is the name of a person to contact at each laboratory telephone number and address. The capabilities of each laboratory are indicated in a tabular listing by agency. To provide geographical distribution, the laboratories are listed by States. In addition, the laboratories are shown on a map by coded number. Other references are described.

  10. Parametric boundary reconstruction algorithm for industrial CT metrology application.

    PubMed

    Yin, Zhye; Khare, Kedar; De Man, Bruno

    2009-01-01

    High-energy X-ray computed tomography (CT) systems have been recently used to produce high-resolution images in various nondestructive testing and evaluation (NDT/NDE) applications. The accuracy of the dimensional information extracted from CT images is rapidly approaching the accuracy achieved with a coordinate measuring machine (CMM), the conventional approach to acquire the metrology information directly. On the other hand, CT systems generate the sinogram which is transformed mathematically to the pixel-based images. The dimensional information of the scanned object is extracted later by performing edge detection on reconstructed CT images. The dimensional accuracy of this approach is limited by the grid size of the pixel-based representation of CT images since the edge detection is performed on the pixel grid. Moreover, reconstructed CT images usually display various artifacts due to the underlying physical process and resulting object boundaries from the edge detection fail to represent the true boundaries of the scanned object. In this paper, a novel algorithm to reconstruct the boundaries of an object with uniform material composition and uniform density is presented. There are three major benefits in the proposed approach. First, since the boundary parameters are reconstructed instead of image pixels, the complexity of the reconstruction algorithm is significantly reduced. The iterative approach, which can be computationally intensive, will be practical with the parametric boundary reconstruction. Second, the object of interest in metrology can be represented more directly and accurately by the boundary parameters instead of the image pixels. By eliminating the extra edge detection step, the overall dimensional accuracy and process time can be improved. Third, since the parametric reconstruction approach shares the boundary representation with other conventional metrology modalities such as CMM, boundary information from other modalities can be directly

  11. CAVE: the design of a precision metrology instrument for studying performance of KDP crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hibbard, R.L., LLNL

    1998-03-30

    A device has been developed to measure the frequency conversion performance of large aperture potassium dihydrogen phosphate (KDP) crystals. Third harmonic generation using ICDP is critical to the function of the National Ignition Facility (NIF) laser. The crystals in the converter can be angularly or thermally tuned but are subject to larger aperture inhomogeneities that are functions of growth manufacturing and - mounting. The CAVE (Crystal Alignment Verification Equipment) instrument scans the crystals in a thermally and mechanically controlled environment to determine the local peak tuning angles. The CAVE can then estimate the optimum tuning angle and conversion efficiency overmore » the entire aperture. Coupled with other metrology techniques, the CAVE will help determine which crystal life-cycle components most affect harmonic conversion.« less

  12. Scatterometry-based metrology for SAQP pitch walking using virtual reference

    NASA Astrophysics Data System (ADS)

    Kagalwala, Taher; Vaid, Alok; Mahendrakar, Sridhar; Lenahan, Michael; Fang, Fang; Isbester, Paul; Shifrin, Michael; Etzioni, Yoav; Cepler, Aron; Yellai, Naren; Dasari, Prasad; Bozdog, Cornel

    2016-03-01

    Advanced technology nodes, 10nm and beyond, employing multi-patterning techniques for pitch reduction pose new process and metrology challenges in maintaining consistent positioning of structural features. Self-Aligned Quadruple Patterning (SAQP) process is used to create the Fins in FinFET devices with pitch values well below optical lithography limits. The SAQP process bares compounding effects from successive Reactive Ion Etch (RIE) and spacer depositions. These processes induce a shift in the pitch value from one fin compared to another neighboring fin. This is known as pitch walking. Pitch walking affects device performance as well as later processes which work on an assumption that there is consistent spacing between fins. In SAQP there are 3 pitch walking parameters of interest, each linked to specific process steps in the flow. These pitch walking parameters are difficult to discriminate at a specific process step by singular evaluation technique or even with reference metrology such as Transmission Electron Microscopy (TEM). In this paper we will utilize a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow.

  13. Swept Frequency Laser Metrology System

    NASA Technical Reports Server (NTRS)

    Zhao, Feng (Inventor)

    2010-01-01

    A swept frequency laser ranging system having sub-micron accuracy that employs multiple common-path heterodyne interferometers, one coupled to a calibrated delay-line for use as an absolute reference for the ranging system. An exemplary embodiment uses two laser heterodyne interferometers to create two laser beams at two different frequencies to measure distance and motions of target(s). Heterodyne fringes generated from reflections off a reference fiducial X(sub R) and measurement (or target) fiducial X(sub M) are reflected back and are then detected by photodiodes. The measured phase changes Delta phi(sub R) and Delta phi (sub m) resulting from the laser frequency swept gives target position. The reference delay-line is the only absolute reference needed in the metrology system and this provides an ultra-stable reference and simple/economical system.

  14. Metrology for Fuel Cell Manufacturing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stocker, Michael; Stanfield, Eric

    2015-02-04

    The project was divided into three subprojects. The first subproject is Fuel Cell Manufacturing Variability and Its Impact on Performance. The objective was to determine if flow field channel dimensional variability has an impact on fuel cell performance. The second subproject is Non-contact Sensor Evaluation for Bipolar Plate Manufacturing Process Control and Smart Assembly of Fuel Cell Stacks. The objective was to enable cost reduction in the manufacture of fuel cell plates by providing a rapid non-contact measurement system for in-line process control. The third subproject is Optical Scatterfield Metrology for Online Catalyst Coating Inspection of PEM Soft Goods. Themore » objective was to evaluate the suitability of Optical Scatterfield Microscopy as a viable measurement tool for in situ process control of catalyst coatings.« less

  15. Metrological assessment of the methods for measuring the contents of acids and ion metals responsible for the exchangeable acidity of soils

    NASA Astrophysics Data System (ADS)

    Vanchikova, E. V.; Shamrikova, E. V.; Bespyatykh, N. V.; Kyz"yurova, E. V.; Kondratenok, B. M.

    2015-02-01

    Metrological characteristics—precision, trueness, and accuracy—of the results of measurements of the exchangeable acidity and its components by the potentiometric titration method were studied on the basis of multiple analyses of the soil samples with the examination of statistical data for the outliers and their correspondence to the normal distribution. Measurement errors were estimated. The applied method was certified by the Metrological Center of the Uralian Branch of the Russian Academy of Sciences (certificate no. 88-17641-094-2013) and included in the Federal Information Fund on Assurance of Measurements (FR 1.31.2013.16382).

  16. CSAM Metrology Software Tool

    NASA Technical Reports Server (NTRS)

    Vu, Duc; Sandor, Michael; Agarwal, Shri

    2005-01-01

    CSAM Metrology Software Tool (CMeST) is a computer program for analysis of false-color CSAM images of plastic-encapsulated microcircuits. (CSAM signifies C-mode scanning acoustic microscopy.) The colors in the images indicate areas of delamination within the plastic packages. Heretofore, the images have been interpreted by human examiners. Hence, interpretations have not been entirely consistent and objective. CMeST processes the color information in image-data files to detect areas of delamination without incurring inconsistencies of subjective judgement. CMeST can be used to create a database of baseline images of packages acquired at given times for comparison with images of the same packages acquired at later times. Any area within an image can be selected for analysis, which can include examination of different delamination types by location. CMeST can also be used to perform statistical analyses of image data. Results of analyses are available in a spreadsheet format for further processing. The results can be exported to any data-base-processing software.

  17. Reference Materials for Food and Nutrition Metrology: Past, Present and Future

    USDA-ARS?s Scientific Manuscript database

    Establishment of a metrology-based measurement system requires the solid foundation of traceability of measurements to available, appropriate certified reference materials (CRM). In the early 1970’s the first “biological” RM of Bowens Kale, as well as Orchard Leaves and Bovine Liver SRMs, from the ...

  18. Efficient tools for quantum metrology with uncorrelated noise

    NASA Astrophysics Data System (ADS)

    Kołodyński, Jan; Demkowicz-Dobrzański, Rafał

    2013-07-01

    Quantum metrology offers enhanced performance in experiments on topics such as gravitational wave-detection, magnetometry or atomic clock frequency calibration. The enhancement, however, requires a delicate tuning of relevant quantum features, such as entanglement or squeezing. For any practical application, the inevitable impact of decoherence needs to be taken into account in order to correctly quantify the ultimate attainable gain in precision. We compare the applicability and the effectiveness of various methods of calculating the ultimate precision bounds resulting from the presence of decoherence. This allows us to place a number of seemingly unrelated concepts into a common framework and arrive at an explicit hierarchy of quantum metrological methods in terms of the tightness of the bounds they provide. In particular, we show a way to extend the techniques originally proposed in Demkowicz-Dobrzański et al (2012 Nature Commun. 3 1063), so that they can be efficiently applied not only in the asymptotic but also in the finite number of particles regime. As a result, we obtain a simple and direct method, yielding bounds that interpolate between the quantum enhanced scaling characteristic for a small number of particles and the asymptotic regime, where quantum enhancement amounts to a constant factor improvement. Methods are applied to numerous models, including noisy phase and frequency estimation, as well as the estimation of the decoherence strength itself.

  19. Unconditional violation of the shot-noise limit in photonic quantum metrology

    NASA Astrophysics Data System (ADS)

    Slussarenko, Sergei; Weston, Morgan M.; Chrzanowski, Helen M.; Shalm, Lynden K.; Verma, Varun B.; Nam, Sae Woo; Pryde, Geoff J.

    2017-11-01

    Interferometric phase measurement is widely used to precisely determine quantities such as length, speed and material properties1-3. Without quantum correlations, the best phase sensitivity Δ ϕ achievable using n photons is the shot-noise limit, Δ ϕ =1 /√{n }. Quantum-enhanced metrology promises better sensitivity, but, despite theoretical proposals stretching back decades3,4, no measurement using photonic (that is, definite photon number) quantum states has truly surpassed the shot-noise limit. Instead, all such demonstrations, by discounting photon loss, detector inefficiency or other imperfections, have considered only a subset of the photons used. Here, we use an ultrahigh-efficiency photon source and detectors to perform unconditional entanglement-enhanced photonic interferometry. Sampling a birefringent phase shift, we demonstrate precision beyond the shot-noise limit without artificially correcting our results for loss and imperfections. Our results enable quantum-enhanced phase measurements at low photon flux and open the door to the next generation of optical quantum metrology advances.

  20. Parasitic light scattered by complex optical coatings: modelization and metrology

    NASA Astrophysics Data System (ADS)

    Zerrad, Myriam; Lequime, Michel; Liukaityte, Simona; Amra, Claude

    2017-12-01

    Optical components realized for space applications have to be mastered in term of parasitic light. This paper present the last improvements performed at the Institute Fresnel to predict and measure scattering losses of optical components with a special care to complex optical coatings. Agreement between numerical models and metrology is now excellent. Some examples will be presented.

  1. The UK National Quantum Technologies Hub in sensors and metrology (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Bongs, K.; Boyer, V.; Cruise, M. A.; Freise, A.; Holynski, M.; Hughes, J.; Kaushik, A.; Lien, Y.-H.; Niggebaum, A.; Perea-Ortiz, M.; Petrov, P.; Plant, S.; Singh, Y.; Stabrawa, A.; Paul, D. J.; Sorel, M.; Cumming, D. R. S.; Marsh, J. H.; Bowtell, R. W.; Bason, M. G.; Beardsley, R. P.; Campion, R. P.; Brookes, M. J.; Fernholz, T.; Fromhold, T. M.; Hackermuller, L.; Krüger, P.; Li, X.; Maclean, J. O.; Mellor, C. J.; Novikov, S. V.; Orucevic, F.; Rushforth, A. W.; Welch, N.; Benson, T. M.; Wildman, R. D.; Freegarde, T.; Himsworth, M.; Ruostekoski, J.; Smith, P.; Tropper, A.; Griffin, P. F.; Arnold, A. S.; Riis, E.; Hastie, J. E.; Paboeuf, D.; Parrotta, D. C.; Garraway, B. M.; Pasquazi, A.; Peccianti, M.; Hensinger, W.; Potter, E.; Nizamani, A. H.; Bostock, H.; Rodriguez Blanco, A.; Sinuco-Leon, G.; Hill, I. R.; Williams, R. A.; Gill, P.; Hempler, N.; Malcolm, G. P. A.; Cross, T.; Kock, B. O.; Maddox, S.; John, P.

    2016-04-01

    The UK National Quantum Technology Hub in Sensors and Metrology is one of four flagship initiatives in the UK National of Quantum Technology Program. As part of a 20-year vision it translates laboratory demonstrations to deployable practical devices, with game-changing miniaturized components and prototypes that transform the state-of-the-art for quantum sensors and metrology. It brings together experts from the Universities of Birmingham, Glasgow, Nottingham, Southampton, Strathclyde and Sussex, NPL and currently links to over 15 leading international academic institutions and over 70 companies to build the supply chains and routes to market needed to bring 10-1000x improvements in sensing applications. It seeks, and is open to, additional partners for new application development and creates a point of easy open access to the facilities and supply chains that it stimulates or nurtures.

  2. Metrology of vibration measurements by laser techniques

    NASA Astrophysics Data System (ADS)

    von Martens, Hans-Jürgen

    2008-06-01

    Metrology as the art of careful measurement has been understood as uniform methodology for measurements in natural sciences, covering methods for the consistent assessment of experimental data and a corpus of rules regulating application in technology and in trade and industry. The knowledge, methods and tools available for precision measurements can be exploited for measurements at any level of uncertainty in any field of science and technology. A metrological approach to the preparation, execution and evaluation (including expression of uncertainty) of measurements of translational and rotational motion quantities using laser interferometer methods and techniques will be presented. The realization and dissemination of the SI units of motion quantities (vibration and shock) have been based on laser interferometer methods specified in international documentary standards. New and upgraded ISO standards are reviewed with respect to their suitability for ensuring traceable vibration measurements and calibrations in an extended frequency range of 0.4 Hz to higher than 100 kHz. Using adequate vibration exciters to generate sufficient displacement or velocity amplitudes, the upper frequency limits of the laser interferometer methods specified in ISO 16063-11 for frequencies <= 10 kHz can be expanded to 100 kHz and beyond. A comparison of different methods simultaneously used for vibration measurements at 100 kHz will be demonstrated. A statistical analysis of numerous experimental results proves the highest accuracy achievable currently in vibration measurements by specific laser methods, techniques and procedures (i.e. measurement uncertainty 0.05 % at frequencies <= 10 kHz, <= 1 % up to 100 kHz).

  3. Albuquerque Regional Training: The Third Seminar on Surface Metrology for the Americas May 12-13 2014

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tran, Sophie M; Tran, Hy D.

    The Third Seminar on Surface Metrology for the Americas (SSMA) took place in Albuquerque, New Mexico May 12-13, 2014. The conference was at the Marriott Hotel, in the heart of Albuquerque Uptown, within walking distance of many fantastic restaurants. Why surface metrology? Ask Professor Chris Brown of Worcester Polytechnic Institute (WPI), the chair of the first two SSMAs in 2011 and 2012 and the chair of the ASME B46 committee on classification and designation of surface qualities, and Professor Brown responds: “Because surfaces cover everything.”

  4. Absolute, pressure-dependent validation of a calibration-free, airborne laser hygrometer transfer standard (SEALDH-II) from 5 to 1200 ppmv using a metrological humidity generator

    NASA Astrophysics Data System (ADS)

    Buchholz, Bernhard; Ebert, Volker

    2018-01-01

    Highly accurate water vapor measurements are indispensable for understanding a variety of scientific questions as well as industrial processes. While in metrology water vapor concentrations can be defined, generated, and measured with relative uncertainties in the single percentage range, field-deployable airborne instruments deviate even under quasistatic laboratory conditions up to 10-20 %. The novel SEALDH-II hygrometer, a calibration-free, tuneable diode laser spectrometer, bridges this gap by implementing a new holistic concept to achieve higher accuracy levels in the field. We present in this paper the absolute validation of SEALDH-II at a traceable humidity generator during 23 days of permanent operation at 15 different H2O mole fraction levels between 5 and 1200 ppmv. At each mole fraction level, we studied the pressure dependence at six different gas pressures between 65 and 950 hPa. Further, we describe the setup for this metrological validation, the challenges to overcome when assessing water vapor measurements on a high accuracy level, and the comparison results. With this validation, SEALDH-II is the first airborne, metrologically validated humidity transfer standard which links several scientific airborne and laboratory measurement campaigns to the international metrological water vapor scale.

  5. Elements for successful sensor-based process control {Integrated Metrology}

    NASA Astrophysics Data System (ADS)

    Butler, Stephanie Watts

    1998-11-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended.

  6. Mounting for Fabrication, Metrology, and Assembly of Full Shell Grazing Incidence Optics

    NASA Technical Reports Server (NTRS)

    Roche, Jacqueline M.; Gubarev, Mikhail V.; O'Dell, Stephen L.; Kolodziejczak, Jeffery; Weisskopf, Martin C.; Ramsey, Brian D.; Elsner, Ronald F.

    2014-01-01

    Future x-ray telescopes will likely require lightweight mirrors to attain the large collecting areas needed to accomplish the science objectives. Understanding and demonstrating processes now is critical to achieving sub-arcsecond performance in the future. Consequently, designs not only of the mirrors but of fixtures for supporting them during fabrication, metrology, handling, assembly, and testing must be adequately modeled and verified. To this end, MSFC is using finite-element modeling to study the effects of mounting on full-shell grazing-incidence mirrors, during all processes leading to flight mirror assemblies. Here we report initial results of this study.

  7. Metrological assurance and traceability for Industry 4.0 and additive manufacturing in Ukraine

    NASA Astrophysics Data System (ADS)

    Skliarov, Volodymyr; Neyezhmakov, Pavel; Prokopov, Alexander

    2018-03-01

    The national measurement standards from the point of view of traceability of the results of measurement in additive manufacturing in Ukraine are considered in the paper. The metrological characteristics of the national primary measurement standards in the field of geometric, temperature, optical-physical and time-frequency measurements, which took part in international comparisons within COOMET projects, are presented. The accurate geometric, temperature, optical-physical and time-frequency measurements are the key ones in controlling the quality of additive manufacturing. The use of advanced CAD/CAE/CAM systems allows to simulate the process of additive manufacturing at each stage. In accordance with the areas of the technology of additive manufacturing, the ways of improving the national measurement standards of Ukraine for the growing needs of metrology of additive manufacturing are considered.

  8. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    NASA Astrophysics Data System (ADS)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-07-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes.

  9. Virtual Distances Methodology as Verification Technique for AACMMs with a Capacitive Sensor Based Indexed Metrology Platform

    PubMed Central

    Acero, Raquel; Santolaria, Jorge; Brau, Agustin; Pueo, Marcos

    2016-01-01

    This paper presents a new verification procedure for articulated arm coordinate measuring machines (AACMMs) together with a capacitive sensor-based indexed metrology platform (IMP) based on the generation of virtual reference distances. The novelty of this procedure lays on the possibility of creating virtual points, virtual gauges and virtual distances through the indexed metrology platform’s mathematical model taking as a reference the measurements of a ball bar gauge located in a fixed position of the instrument’s working volume. The measurements are carried out with the AACMM assembled on the IMP from the six rotating positions of the platform. In this way, an unlimited number and types of reference distances could be created without the need of using a physical gauge, therefore optimizing the testing time, the number of gauge positions and the space needed in the calibration and verification procedures. Four evaluation methods are presented to assess the volumetric performance of the AACMM. The results obtained proved the suitability of the virtual distances methodology as an alternative procedure for verification of AACMMs using the indexed metrology platform. PMID:27869722

  10. Virtual Distances Methodology as Verification Technique for AACMMs with a Capacitive Sensor Based Indexed Metrology Platform.

    PubMed

    Acero, Raquel; Santolaria, Jorge; Brau, Agustin; Pueo, Marcos

    2016-11-18

    This paper presents a new verification procedure for articulated arm coordinate measuring machines (AACMMs) together with a capacitive sensor-based indexed metrology platform (IMP) based on the generation of virtual reference distances. The novelty of this procedure lays on the possibility of creating virtual points, virtual gauges and virtual distances through the indexed metrology platform's mathematical model taking as a reference the measurements of a ball bar gauge located in a fixed position of the instrument's working volume. The measurements are carried out with the AACMM assembled on the IMP from the six rotating positions of the platform. In this way, an unlimited number and types of reference distances could be created without the need of using a physical gauge, therefore optimizing the testing time, the number of gauge positions and the space needed in the calibration and verification procedures. Four evaluation methods are presented to assess the volumetric performance of the AACMM. The results obtained proved the suitability of the virtual distances methodology as an alternative procedure for verification of AACMMs using the indexed metrology platform.

  11. Criterion Validation Testing of Clinical Metrology Instruments for Measuring Degenerative Joint Disease Associated Mobility Impairment in Cats

    PubMed Central

    Gruen, Margaret E.; Griffith, Emily H.; Thomson, Andrea E.; Simpson, Wendy; Lascelles, B. Duncan X.

    2015-01-01

    Introduction Degenerative joint disease and associated pain are common in cats, particularly in older cats. There is a need for treatment options, however evaluation of putative therapies is limited by a lack of suitable, validated outcome measures that can be used in the target population of client owned cats. The objectives of this study were to evaluate low-dose daily meloxicam for the treatment of pain associated with degenerative joint disease in cats, and further validate two clinical metrology instruments, the Feline Musculoskeletal Pain Index (FMPI) and the Client Specific Outcome Measures (CSOM). Methods Sixty-six client owned cats with degenerative joint disease and owner-reported impairments in mobility were screened and enrolled into a double-masked, placebo-controlled, randomized clinical trial. Following a run-in baseline period, cats were given either placebo or meloxicam for 21 days, then in a masked washout, cats were all given placebo for 21 days. Subsequently, cats were given the opposite treatment, placebo or meloxicam, for 21 days. Cats wore activity monitors throughout the study, owners completed clinical metrology instruments following each period. Results Activity counts were increased in cats during treatment with daily meloxicam (p<0.0001) compared to baseline. The FMPI results and activity count data offer concurrent validation for the FMPI, though the relationship between baseline activity counts and FMPI scores at baseline was poor (R2=0.034). The CSOM did not show responsiveness for improvement in this study, and the relationship between baseline activity counts and CSOM scores at baseline was similarly poor (R2=0.042). Conclusions Refinements to the FMPI, including abbreviation of the instrument and scoring as percent of possible score are recommended. This study offered further validation of the FMPI as a clinical metrology instrument for use in detecting therapeutic efficacy in cats with degenerative joint disease. PMID:26162101

  12. A 3D metrology system for the GMT

    NASA Astrophysics Data System (ADS)

    Rakich, A.; Dettmann, Lee; Leveque, S.; Guisard, S.

    2016-08-01

    The Giant Magellan Telescope (GMT)1 is a 25 m telescope composed of seven 8.4 m "unit telescopes", on a common mount. Each primary and conjugated secondary mirror segment will feed a common instrument interface, their focal planes co-aligned and co-phased. During telescope operation, the alignment of the optical components will deflect due to variations in thermal environment and gravity induced structural flexure of the mount. The ultimate co-alignment and co-phasing of the telescope is achieved by a combination of the Acquisition Guiding and Wavefront Sensing system and two segment edge-sensing systems2. An analysis of the capture range of the wavefront sensing system indicates that it is unlikely that that system will operate efficiently or reliably with initial mirror positions provided by open-loop corrections alone3. The project is developing a Telescope Metrology System (TMS) which incorporates a large number of absolute distance measuring interferometers. The system will align optical components of the telescope to the instrument interface to (well) within the capture range of the active optics wavefront sensing systems. The advantages offered by this technological approach to a TMS, over a network of laser trackers, are discussed. Initial investigations of the Etalon Absolute Multiline Technology™ by Etalon Ag4 show that a metrology network based on this product is capable of meeting requirements. A conceptual design of the system is presented and expected performance is discussed.

  13. Axial-Stereo 3-D Optical Metrology for Inner Profile of Pipes Using a Scanning Laser Endoscope

    NASA Astrophysics Data System (ADS)

    Gong, Yuanzheng; Johnston, Richard S.; Melville, C. David; Seibel, Eric J.

    2015-07-01

    As the rapid progress in the development of optoelectronic components and computational power, 3-D optical metrology becomes more and more popular in manufacturing and quality control due to its flexibility and high speed. However, most of the optical metrology methods are limited to external surfaces. This article proposed a new approach to measure tiny internal 3-D surfaces with a scanning fiber endoscope and axial-stereo vision algorithm. A dense, accurate point cloud of internally machined threads was generated to compare with its corresponding X-ray 3-D data as ground truth, and the quantification was analyzed by Iterative Closest Points algorithm.

  14. Miniaturization as a key factor to the development and application of advanced metrology systems

    NASA Astrophysics Data System (ADS)

    Furlong, Cosme; Dobrev, Ivo; Harrington, Ellery; Hefti, Peter; Khaleghi, Morteza

    2012-10-01

    Recent technological advances of miniaturization engineering are enabling the realization of components and systems with unprecedented capabilities. Such capabilities, which are significantly beneficial to scientific and engineering applications, are impacting the development and the application of optical metrology systems for investigations under complex boundary, loading, and operating conditions. In this paper, and overview of metrology systems that we are developing is presented. Systems are being developed and applied to high-speed and high-resolution measurements of shape and deformations under actual operating conditions for such applications as sustainability, health, medical diagnosis, security, and urban infrastructure. Systems take advantage of recent developments in light sources and modulators, detectors, microelectromechanical (MEMS) sensors and actuators, kinematic positioners, rapid prototyping fabrication technologies, as well as software engineering.

  15. Metrology system for the Terrestrial Planet Finder Coronagraph

    NASA Technical Reports Server (NTRS)

    Shaklin, Stuart; Marchen, Luis; Zhao, Feng; Peters, Robert D.; Ho, Tim; Holmes, Buck

    2004-01-01

    The Terrestrial Planet Finder (TPF) employs an aggressive coronagraph designed to obtain better than 1e-10 contrast inside the third Airy ring. Minute changes in low-order aberration content scatter significant light at this position. One implication is the requirement to control low-order aberrations induced by motion of the secondary mirror relative to the primary mirror; sub-nanometer relative positional stability is required. We propose a 6-beam laser truss to monitor the relative positions of the two mirrors. The truss is based on laser metrology developed for the Space Interferometry Mission.

  16. In Situ Metrology for the Corrective Polishing of Replicating Mandrels

    DTIC Science & Technology

    2010-06-08

    distribution is unlimited. 13. SUPPLEMENTARY NOTES Presented at Mirror Technology Days, Boulder, Colorado, USA, 7-9 June 2010. 14...ABSTRACT The International X-ray Observatory (IXO) will require mandrel metrology with extremely tight tolerances on mirrors with up to 1.6 meter radii...ideal. Error budgets for the IXO mirror segments are presented. A potential solution is presented that uses a voice-coil controlled gauging head, air

  17. Metrology measurements for large-aperture VPH gratings

    NASA Astrophysics Data System (ADS)

    Zheng, Jessica R.; Gers, Luke; Heijmans, Jeroen

    2013-09-01

    The High Efficiency and Resolution Multi Element Spectrograph (HERMES) for the Australian Astronomical Observatory (AAO) uses four large aperture, high angle of incidence volume phase holographic gratings (VPHG) for high resolution `Galactic archaeology' spectroscopy. The large clear aperture, the high diffraction efficiency, the line frequency homogeneity, and mosaic alignment made manufacturing and testing challenging. We developed new metrology systems at the AAO to verify the performance of these VPH gratings. The measured diffraction efficiencies and line frequency of the VPH gratings received so far meet the vendor's provided data. The wavefront quality for the Blue VPH grating is good but the Green and Red VPH gratings need to be post polishing.

  18. Metrological Traceability in the Social Sciences: A Model from Reading Measurement

    NASA Astrophysics Data System (ADS)

    Stenner, A. Jackson; Fisher, William P., Jr.

    2013-09-01

    The central importance of reading ability in learning makes it the natural place to start in formative and summative assessments in education. The Lexile Framework for Reading constitutes a commercial metrological traceability network linking books, test results, instructional materials, and students in elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia.

  19. Addressing FinFET metrology challenges in 1X node using tilt-beam CD-SEM

    NASA Astrophysics Data System (ADS)

    Zhang, Xiaoxiao; Zhou, Hua; Ge, Zhenhua; Vaid, Alok; Konduparthi, Deepasree; Osorio, Carmen; Ventola, Stefano; Meir, Roi; Shoval, Ori; Kris, Roman; Adan, Ofer; Bar-Zvi, Maayan

    2014-04-01

    At 1X node, 3D FinFETS raise a number of new metrology challenges. Gate height and fin height are two of the most important parameters for process control. At present there is a metrology gap in inline in-die measurement of these parameters. In order to fill this metrology gap, in-column beam tilt has been developed and implemented on Applied Materials V4i+ top-down CD-SEM for height measurement. A low tilt (5°) beam and a high tilt (14°) beam have been calibrated to obtain two sets of images providing measurement of sidewall edge width to calculate height in the host. Evaluations are done with applications in both gate height and fin height. TEM correlation with R2 being 0.89 and precision of 0.81nm have been achieved on various in-die features in gate height application. Fin height measurement shows less accuracy (R2 being 0.77) and precision (1.49 nm) due to challenges brought by fin geometry, yet still promising as first attempt. Sensitivity to DOE offset, die-to-die and in-die variation is demonstrated in both gate height and fin height. Process defect is successfully captured from inline wafers with gate height measurement implemented in production. This is the first successful demonstration of inline in-die gate height measurement for 14nm FinFET process control.

  20. Ensuring Food Integrity by Metrology and FAIR Data Principles

    PubMed Central

    Rychlik, Michael; Zappa, Giovanna; Añorga, Larraitz; Belc, Nastasia; Castanheira, Isabel; Donard, Olivier F. X.; Kouřimská, Lenka; Ogrinc, Nives; Ocké, Marga C.; Presser, Karl; Zoani, Claudia

    2018-01-01

    Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI) was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue) and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases. PMID:29872651

  1. Ensuring Food Integrity by Metrology and FAIR Data Principles

    NASA Astrophysics Data System (ADS)

    Rychlik, Michael; Zappa, Giovanna; Añorga, Larraitz; Belc, Nastasia; Castanheira, Isabel; Donard, Olivier F. X.; Kouřimská, Lenka; Ogrinc, Nives; Ocké, Marga C.; Presser, Karl; Zoani, Claudia

    2018-05-01

    Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI) was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour and oyster tissue) and establishing a system how to compile, process and store the generated data and how to exchange, compare them and make them accessible in data bases.

  2. Ensuring Food Integrity by Metrology and FAIR Data Principles.

    PubMed

    Rychlik, Michael; Zappa, Giovanna; Añorga, Larraitz; Belc, Nastasia; Castanheira, Isabel; Donard, Olivier F X; Kouřimská, Lenka; Ogrinc, Nives; Ocké, Marga C; Presser, Karl; Zoani, Claudia

    2018-01-01

    Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI) was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its "Early Phase" as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue) and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases.

  3. Metrology Arrangement for Measuring the Positions of Mirrors of a Submillimeter Telescope

    NASA Technical Reports Server (NTRS)

    Abramovici, Alex; Bartman, Randall K.

    2011-01-01

    The position of the secondary mirror of a submillimeter telescope with respect to the primary mirror needs to be known .0.03 mm in three dimensions. At the time of this reporting, no convenient, reasonably priced arrangement that offers this capability exists. The solution proposed here relies on measurement devices developed and deployed for the GeoSAR mission, and later adapted for the ISAT (Innovative Space Based Radar Antenna Technology) demonstration. The measurement arrangement consists of four metrology heads, located on an optical bench, attached to the secondary mirror. Each metrology head has a dedicated target located at the edge of the primary mirror. One laser beam, launched from the head and returned by the target, is used to measure distance. Another beam, launched from a beacon on the target, is monitored by the metrology head and generates a measurement of the target position in the plane perpendicular to the laser beam. A 100-MHz modulation is carried by a collimated laser beam. The relevant wavelength is the RF one, 3 m, divided by two, because the light carries it to the target and back. The phase change due to travel to the target and back is measured by timing the zero-crossing of the RF modulation, using a 100-MHz clock. In order to obtain good resolution, the 100-MHz modulation signal is down-converted to 1 kHz. Then, the phase change corresponding to the round-trip to the target is carried by a 1-kHz signal. Since the 100-MHz clock beats 100,000 times during one period of the 1-kHz signal, the least-significant-bit (LSB) resolution is LSB = 0.015 mm.

  4. Traceable quantum sensing and metrology relied up a quantum electrical triangle principle

    NASA Astrophysics Data System (ADS)

    Fang, Yan; Wang, Hengliang; Yang, Xinju; Wei, Jingsong

    2016-11-01

    Hybrid quantum state engineering in quantum communication and imaging1-2 needs traceable quantum sensing and metrology, which are especially critical to quantum internet3 and precision measurements4 that are important across all fields of science and technology-. We aim to set up a mode of traceable quantum sensing and metrology. We developed a method by specially transforming an atomic force microscopy (AFM) and a scanning tunneling microscopy (STM) into a conducting atomic force microscopy (C-AFM) with a feedback control loop, wherein quantum entanglement enabling higher precision was relied upon a set-point, a visible light laser beam-controlled an interferometer with a surface standard at z axis, diffractometers with lateral standards at x-y axes, four-quadrant photodiode detectors, a scanner and its image software, a phase-locked pre-amplifier, a cantilever with a kHz Pt/Au conducting tip, a double barrier tunneling junction model, a STM circuit by frequency modulation and a quantum electrical triangle principle involving single electron tunneling effect, quantum Hall effect and Josephson effect5. The average and standard deviation result of repeated measurements on a 1 nm height local micro-region of nanomedicine crystal hybrid quantum state engineering surface and its differential pA level current and voltage (dI/dV) in time domains by using C-AFM was converted into an international system of units: Siemens (S), an indicated value 0.86×10-12 S (n=6) of a relative standard uncertainty was superior over a relative standard uncertainty reference value 2.3×10-10 S of 2012 CODADA quantized conductance6. It is concluded that traceable quantum sensing and metrology is emerging.

  5. Overlay improvement methods with diffraction based overlay and integrated metrology

    NASA Astrophysics Data System (ADS)

    Nam, Young-Sun; Kim, Sunny; Shin, Ju Hee; Choi, Young Sin; Yun, Sang Ho; Kim, Young Hoon; Shin, Si Woo; Kong, Jeong Heung; Kang, Young Seog; Ha, Hun Hwan

    2015-03-01

    To accord with new requirement of securing more overlay margin, not only the optical overlay measurement is faced with the technical limitations to represent cell pattern's behavior, but also the larger measurement samples are inevitable for minimizing statistical errors and better estimation of circumstance in a lot. From these reasons, diffraction based overlay (DBO) and integrated metrology (IM) were mainly proposed as new approaches for overlay enhancement in this paper.

  6. CONFERENCE NOTE: European Optical Society, Topical Meeting Optical Metrology and Nanotechnology, Engelberg, Switzerland, 27 30 March 1994

    NASA Astrophysics Data System (ADS)

    1993-01-01

    This meeting, organized by the Paul Scherrer Institute's Department of Applied Solid State Physics, will be held from 27 30 March 1994 at the Hotel Regina-Titlis, Engelberg, Switzerland. The aim is to bring together scientists from two important fields of current research and increasing industrial relevance. Optical metrology is a traditional discipline of applied optics which reached the nanometre scale a long time ago. Nanotechnology is setting new limits and represents a major challenge to metrology, as well as offering new opportunities to optics. The meeting is intended to help define a common future for optical metrology and nanotechnology. Topics to be covered include: nanometre position control and measuring techniques ultrahigh precision interferometry scanning probe microscopy (AFM, SNOM, etc.) surface modification by scanning probe methods precision surface fabrication and characterization nanolithography micro-optics, diffractive optics components, including systems and applications subwavelength optical structures synthetic optical materials structures and technologies for X-ray optics. For further information please contact: Jens Gobrecht (Secretary), Paul Scherrer Institute, CH-5232 Villigen-PSI, Switzerland.Tel. (41)56992529; Fax (41) 5698 2635.

  7. Holistic metrology qualification extension and its application to characterize overlay targets with asymmetric effects

    NASA Astrophysics Data System (ADS)

    Dos Santos Ferreira, Olavio; Sadat Gousheh, Reza; Visser, Bart; Lie, Kenrick; Teuwen, Rachel; Izikson, Pavel; Grzela, Grzegorz; Mokaberi, Babak; Zhou, Steve; Smith, Justin; Husain, Danish; Mandoy, Ram S.; Olvera, Raul

    2018-03-01

    Ever increasing need for tighter on-product overlay (OPO), as well as enhanced accuracy in overlay metrology and methodology, is driving semiconductor industry's technologists to innovate new approaches to OPO measurements. In case of High Volume Manufacturing (HVM) fabs, it is often critical to strive for both accuracy and robustness. Robustness, in particular, can be challenging in metrology since overlay targets can be impacted by proximity of other structures next to the overlay target (asymmetric effects), as well as symmetric stack changes such as photoresist height variations. Both symmetric and asymmetric contributors have impact on robustness. Furthermore, tweaking or optimizing wafer processing parameters for maximum yield may have an adverse effect on physical target integrity. As a result, measuring and monitoring physical changes or process abnormalities/artefacts in terms of new Key Performance Indicators (KPIs) is crucial for the end goal of minimizing true in-die overlay of the integrated circuits (ICs). IC manufacturing fabs often relied on CD-SEM in the past to capture true in-die overlay. Due to destructive and intrusive nature of CD-SEMs on certain materials, it's desirable to characterize asymmetry effects for overlay targets via inline KPIs utilizing YieldStar (YS) metrology tools. These KPIs can also be integrated as part of (μDBO) target evaluation and selection for final recipe flow. In this publication, the Holistic Metrology Qualification (HMQ) flow was extended to account for process induced (asymmetric) effects such as Grating Imbalance (GI) and Bottom Grating Asymmetry (BGA). Local GI typically contributes to the intrafield OPO whereas BGA typically impacts the interfield OPO, predominantly at the wafer edge. Stack height variations highly impact overlay metrology accuracy, in particular in case of multi-layer LithoEtch Litho-Etch (LELE) overlay control scheme. Introducing a GI impact on overlay (in nm) KPI check quantifies the

  8. Metrologically useful states of spin-1 Bose condensates with macroscopic magnetization

    NASA Astrophysics Data System (ADS)

    Kajtoch, Dariusz; Pawłowski, Krzysztof; Witkowska, Emilia

    2018-02-01

    We study theoretically the usefulness of spin-1 Bose condensates with macroscopic magnetization in a homogeneous magnetic field for quantum metrology. We demonstrate Heisenberg scaling of the quantum Fisher information for states in thermal equilibrium. The scaling applies to both antiferromagnetic and ferromagnetic interactions. The effect preserves as long as fluctuations of magnetization are sufficiently small. Scaling of the quantum Fisher information with the total particle number is derived within the mean-field approach in the zero-temperature limit and exactly in the high-magnetic-field limit for any temperature. The precision gain is intuitively explained owing to subtle features of the quasidistribution function in the phase space.

  9. Encapsulation and backsheet adhesion metrology for photovoltaic modules

    DOE PAGES

    Tracy, Jared; Bosco, Nick; Novoa, Fernando; ...

    2016-09-26

    Photovoltaic modules are designed to operate for decades in terrestrial environments. However, mechanical stress, moisture, and ultraviolet radiation eventually degrade protective materials in modules, particularly their adhesion properties, eventually leading to reduced solar cell performance. Despite the significance of interfacial adhesion to module durability, currently there is no reliable technique for characterizing module adhesion properties. We present a simple and reproducible metrology for characterizing adhesion in photovoltaic modules that is grounded in fundamental concepts of beam and fracture mechanics. Using width-tapered cantilever beam fracture specimens, interfacial adhesion was evaluated on relevant interfaces of encapsulation and backsheet structures of new andmore » 27-year-old historic modules. The adhesion energy, Gc [J/m 2], was calculated from the critical value of the strain energy release rate, G, using G = βP2, where β (a mechanical and geometric parameter of the fracture specimen) and P (the experimentally measured critical load) are constants. Under some circumstances where testing may result in cracking of brittle layers in the test specimen, measurement of the delamination length in addition to the critical load was necessary to determine G. Relative to new module materials, backsheet adhesion was 95% and 98% lower for historic modules that were exposed (operated in the field) and unexposed (stored on-site, but out of direct sunlight), respectively. Encapsulation adhesion was 87-94% lower in the exposed modules and 31% lower in the unexposed module. As a result, the metrology presented here can be used to improve module materials and assess long-term reliability.« less

  10. Registration performance on EUV masks using high-resolution registration metrology

    NASA Astrophysics Data System (ADS)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  11. Overcoming the Invisibility of Metrology: A Reading Measurement Network for Education and the Social Sciences

    NASA Astrophysics Data System (ADS)

    Fisher, William P., Jr.; Stenner, A. Jackson

    2013-09-01

    The public and researchers in psychology and the social sciences are largely unaware of the huge resources invested in metrology and standards in science and commerce, for understandable reasons, but with unfortunate consequences. Measurement quality varies widely in fields lacking uniform standards, making it impossible to coordinate local behaviours and decisions in tune with individually observed instrument readings. However, recent developments in reading measurement have effectively instituted metrological traceability methods within elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia. Given established patterns in the history of science, it may be reasonable to expect that widespread routine reproduction of controlled effects expressed in uniform units in the social sciences may lead to significant developments in theory and practice.

  12. Assessing the Analytical Performance of Systems for Self-Monitoring of Blood Glucose: Concepts of Performance Evaluation and Definition of Metrological Key Terms

    PubMed Central

    Schnell, Oliver; Hinzmann, Rolf; Kulzer, Bernd; Freckmann, Guido; Erbach, Michael; Lodwig, Volker; Heinemann, Lutz

    2013-01-01

    Reliability of blood glucose (BG) measurements is a prerequisite for successful diabetes management. Publications on the evaluation of self-monitored glucose values, however, are frequently characterized by a confusion in terminology. We provide an inventory of key terms such as accuracy, trueness, precision, traceability, calibration, and matrix effect to avoid future misunderstanding. Definitions are taken from the metrological literature and international norms and explained in a language intended for nonspecialists in metrology. The terms are presented in light of the need to apply generally accepted definitions. In addition, a description of requirements and components for a sound evaluation of BG measurement systems is presented. These factors will also enable improvement in future comparisons of study results. PMID:24351185

  13. Optimization of pencil beam f-theta lens for high-accuracy metrology

    NASA Astrophysics Data System (ADS)

    Peng, Chuanqian; He, Yumei; Wang, Jie

    2018-01-01

    Pencil beam deflectometric profilers are common instruments for high-accuracy surface slope metrology of x-ray mirrors in synchrotron facilities. An f-theta optical system is a key optical component of the deflectometric profilers and is used to perform the linear angle-to-position conversion. Traditional optimization procedures of the f-theta systems are not directly related to the angle-to-position conversion relation and are performed with stops of large size and a fixed working distance, which means they may not be suitable for the design of f-theta systems working with a small-sized pencil beam within a working distance range for ultra-high-accuracy metrology. If an f-theta system is not well-designed, aberrations of the f-theta system will introduce many systematic errors into the measurement. A least-squares' fitting procedure was used to optimize the configuration parameters of an f-theta system. Simulations using ZEMAX software showed that the optimized f-theta system significantly suppressed the angle-to-position conversion errors caused by aberrations. Any pencil-beam f-theta optical system can be optimized with the help of this optimization method.

  14. Machine learning and predictive data analytics enabling metrology and process control in IC fabrication

    NASA Astrophysics Data System (ADS)

    Rana, Narender; Zhang, Yunlin; Wall, Donald; Dirahoui, Bachir; Bailey, Todd C.

    2015-03-01

    Integrate circuit (IC) technology is going through multiple changes in terms of patterning techniques (multiple patterning, EUV and DSA), device architectures (FinFET, nanowire, graphene) and patterning scale (few nanometers). These changes require tight controls on processes and measurements to achieve the required device performance, and challenge the metrology and process control in terms of capability and quality. Multivariate data with complex nonlinear trends and correlations generally cannot be described well by mathematical or parametric models but can be relatively easily learned by computing machines and used to predict or extrapolate. This paper introduces the predictive metrology approach which has been applied to three different applications. Machine learning and predictive analytics have been leveraged to accurately predict dimensions of EUV resist patterns down to 18 nm half pitch leveraging resist shrinkage patterns. These patterns could not be directly and accurately measured due to metrology tool limitations. Machine learning has also been applied to predict the electrical performance early in the process pipeline for deep trench capacitance and metal line resistance. As the wafer goes through various processes its associated cost multiplies. It may take days to weeks to get the electrical performance readout. Predicting the electrical performance early on can be very valuable in enabling timely actionable decision such as rework, scrap, feedforward, feedback predicted information or information derived from prediction to improve or monitor processes. This paper provides a general overview of machine learning and advanced analytics application in the advanced semiconductor development and manufacturing.

  15. Metrology of variable-line-spacing x-ray gratings using the APS Long Trace Profiler

    NASA Astrophysics Data System (ADS)

    Sheung, Janet; Qian, Jun; Sullivan, Joseph; Thomasset, Muriel; Manton, Jonathan; Bean, Sunil; Takacs, Peter; Dvorak, Joseph; Assoufid, Lahsen

    2017-09-01

    As resolving power targets have increased with each generation of beamlines commissioned in synchrotron radiation facilities worldwide, diffraction gratings are quickly becoming crucial optical components for meeting performance targets. However, the metrology of variable-line-spacing (VLS) gratings for high resolution beamlines is not widespread; in particular, no metrology facility at any US DOE facility is currently equipped to fully characterize such gratings. To begin to address this issue, the Optics Group at the Advanced Photon Source at Argonne, in collaboration with SOLEIL and with support from Brookhaven National Laboratory (BNL), has developed an alternative beam path addition to the Long Trace Profiler (LTP) at Argonne's Advanced Photon Source. This significantly expands the functionality of the LTP not only to measure mirrors surface slope profile at normal incidence, but also to characterize the groove density of VLS diffraction gratings in the Littrow incidence up to 79°, which covers virtually all diffraction gratings used at synchrotrons in the first order. The LTP light source is a 20mW HeNe laser, which yields enough signal for diffraction measurements to be performed on low angle blazed gratings optimized for soft X-ray wavelengths. We will present the design of the beam path, technical requirements for the optomechanics, and our data analysis procedure. Finally, we discuss challenges still to be overcome and potential limitations with use of the LTP to perform metrology on diffraction gratings.

  16. Advanced in-line metrology strategy for self-aligned quadruple patterning

    NASA Astrophysics Data System (ADS)

    Chao, Robin; Breton, Mary; L'herron, Benoit; Mendoza, Brock; Muthinti, Raja; Nelson, Florence; De La Pena, Abraham; Le, Fee li; Miller, Eric; Sieg, Stuart; Demarest, James; Gin, Peter; Wormington, Matthew; Cepler, Aron; Bozdog, Cornel; Sendelbach, Matthew; Wolfling, Shay; Cardinal, Tom; Kanakasabapathy, Sivananda; Gaudiello, John; Felix, Nelson

    2016-03-01

    Self-Aligned Quadruple Patterning (SAQP) is a promising technique extending the 193-nm lithography to manufacture structures that are 20nm half pitch or smaller. This process adopts multiple sidewall spacer image transfers to split a rather relaxed design into a quarter of its original pitch. Due to the number of multiple process steps required for the pitch splitting in SAQP, the process error propagates through each deposition and etch, and accumulates at the final step into structure variations, such as pitch walk and poor critical dimension uniformity (CDU). They can further affect the downstream processes and lower the yield. The impact of this error propagation becomes significant for advanced technology nodes when the process specifications of device design CD requirements are at nanometer scale. Therefore, semiconductor manufacturing demands strict in-line process control to ensure a high process yield and improved performance, which must rely on precise measurements to enable corrective actions and quick decision making for process development. This work aims to provide a comprehensive metrology solution for SAQP. During SAQP process development, the challenges in conventional in-line metrology techniques start to surface. For instance, critical-dimension scanning electron microscopy (CDSEM) is commonly the first choice for CD and pitch variation control. However, it is found that the high aspect ratio at mandrel level processes and the trench variations after etch prevent the tool from extracting the true bottom edges of the structure in order to report the position shift. On the other hand, while the complex shape and variations can be captured with scatterometry, or optical CD (OCD), the asymmetric features, such as pitch walk, show low sensitivity with strong correlations in scatterometry. X-ray diffraction (XRD) is known to provide useful direct measurements of the pitch walk in crystalline arrays, yet the data analysis is influenced by the incoming

  17. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alcock, Simon G., E-mail: simon.alcock@diamond.ac.uk; Nistea, Ioana; Sawhney, Kawal

    2016-05-15

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM’s autocollimator adds intomore » the overall measured value of the mirror’s slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.« less

  18. Performance of the upgraded LTP-II at the ALS Optical Metrology Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Advanced Light Source; Yashchuk, Valeriy V; Kirschman, Jonathan L.

    2008-07-14

    The next generation of synchrotrons and free electron laser facilities requires x-ray optical systems with extremely high performance, generally of diffraction limited quality. Fabrication and use of such optics requires adequate, highly accurate metrology and dedicated instrumentation. Previously, we suggested ways to improve the performance of the Long Trace Profiler (LTP), a slope measuring instrument widely used to characterize x-ray optics at long spatial wavelengths. The main way is use of a CCD detector and corresponding technique for calibration of photo-response non-uniformity [J. L. Kirschman, et al., Proceedings of SPIE 6704, 67040J (2007)]. The present work focuses on the performancemore » and characteristics of the upgraded LTP-II at the ALS Optical Metrology Laboratory. This includes a review of the overall aspects of the design, control system, the movement and measurement regimes for the stage, and analysis of the performance by a slope measurement of a highly curved super-quality substrate with less than 0.3 microradian (rms)slope variation.« less

  19. Adhesive Bonding for Optical Metrology Systems in Space Applications

    NASA Astrophysics Data System (ADS)

    Gohlke, Martin; Schuldt, Thilo; Döringshoff, Klaus; Peters, Achim; Johann, Ulrich; Weise, Dennis; Braxmaier, Claus

    2015-05-01

    Laser based metrology systems become more and more attractive for space applications and are the core elements of planned missions such as LISA (NGO, eLISA) or NGGM where laser interferometry is used for distance measurements between satellites. The GRACE-FO mission will for the first time demonstrate a Laser Ranging Instrument (LRI) in space, starting 2017. Laser based metrology also includes optical clocks/references, either as ultra-stable light source for high sensitivity interferometry or as scientific payload e.g. proposed in fundamental physics missions such as mSTAR (mini SpaceTime Asymmetry Research), a mission dedicated to perform a Kennedy-Thorndike experiment on a satellite in a low-Earth orbit. To enable the use of existing optical laboratory setups, optimization with respect to power consumption, weight and dimensions is necessary. At the same time the thermal and structural stability must be increased. Over the last few years we investigated adhesive bonding of optical components to thermally highly stable glass ceramics as an easy-to-handle assembly integration technology. Several setups were implemented and tested for potential later use in space applications. We realized a heterodyne LISA related interferometer with demonstrated noise levels in the pm-range for translation measurement and nano-radiant-range for tilt measurements and two iodine frequency references on Elegant Breadboard (EBB) and Engineering Model (EM) level with frequency stabilities in the 10-15 range for longer integration times. The EM setup was thermally cycled and vibration tested.

  20. Metrological challenges for measurements of key climatological observables, Part 4: Atmospheric relative humidity

    PubMed Central

    Lovell-Smith, J W; Feistel, R; Harvey, A H; Hellmuth, O; Bell, S A; Heinonen, M; Cooper, J R

    2016-01-01

    Water in its three ambient phases plays the central thermodynamic role in the terrestrial climate system. Clouds control Earth’s radiation balance, atmospheric water vapour is the strongest “greenhouse” gas, and non-equilibrium relative humidity at the air-sea interface drives evaporation and latent heat export from the ocean. In this paper, we examine the climatologically relevant atmospheric relative humidity, noting fundamental deficiencies in the definition of this key observable. The metrological history of this quantity is reviewed, problems with its current definition and measurement practice are analysed, and options for future improvements are discussed in conjunction with the recent seawater standard TEOS-10. It is concluded that the International Bureau of Weights and Measures, (BIPM), in cooperation with the International Association for the Properties of Water and Steam, IAPWS, along with other international organisations and institutions, can make significant contributions by developing and recommending state-of-the-art solutions for this long standing metrological problem, such as are suggested here. PMID:26877551

  1. Fast and accurate metrology of multi-layered ceramic materials by an automated boundary detection algorithm developed for optical coherence tomography data

    PubMed Central

    Ekberg, Peter; Su, Rong; Chang, Ernest W.; Yun, Seok Hyun; Mattsson, Lars

    2014-01-01

    Optical coherence tomography (OCT) is useful for materials defect analysis and inspection with the additional possibility of quantitative dimensional metrology. Here, we present an automated image-processing algorithm for OCT analysis of roll-to-roll multilayers in 3D manufacturing of advanced ceramics. It has the advantage of avoiding filtering and preset modeling, and will, thus, introduce a simplification. The algorithm is validated for its capability of measuring the thickness of ceramic layers, extracting the boundaries of embedded features with irregular shapes, and detecting the geometric deformations. The accuracy of the algorithm is very high, and the reliability is better than 1 µm when evaluating with the OCT images using the same gauge block step height reference. The method may be suitable for industrial applications to the rapid inspection of manufactured samples with high accuracy and robustness. PMID:24562018

  2. Metrology for hydrogen energy applications: a project to address normative requirements

    NASA Astrophysics Data System (ADS)

    Haloua, Frédérique; Bacquart, Thomas; Arrhenius, Karine; Delobelle, Benoît; Ent, Hugo

    2018-03-01

    Hydrogen represents a clean and storable energy solution that could meet worldwide energy demands and reduce greenhouse gases emission. The joint research project (JRP) ‘Metrology for sustainable hydrogen energy applications’ addresses standardisation needs through pre- and co-normative metrology research in the fast emerging sector of hydrogen fuel that meet the requirements of the European Directive 2014/94/EU by supplementing the revision of two ISO standards that are currently too generic to enable a sustainable implementation of hydrogen. The hydrogen purity dispensed at refueling points should comply with the technical specifications of ISO 14687-2 for fuel cell electric vehicles. The rapid progress of fuel cell technology now requires revising this standard towards less constraining limits for the 13 gaseous impurities. In parallel, optimized validated analytical methods are proposed to reduce the number of analyses. The study aims also at developing and validating traceable methods to assess accurately the hydrogen mass absorbed and stored in metal hydride tanks; this is a research axis for the revision of the ISO 16111 standard to develop this safe storage technique for hydrogen. The probability of hydrogen impurity presence affecting fuel cells and analytical techniques for traceable measurements of hydrogen impurities will be assessed and new data of maximum concentrations of impurities based on degradation studies will be proposed. Novel validated methods for measuring the hydrogen mass absorbed in hydrides tanks AB, AB2 and AB5 types referenced to ISO 16111 will be determined, as the methods currently available do not provide accurate results. The outputs here will have a direct impact on the standardisation works for ISO 16111 and ISO 14687-2 revisions in the relevant working groups of ISO/TC 197 ‘Hydrogen technologies’.

  3. The need for LWR metrology standardization: the imec roughness protocol

    NASA Astrophysics Data System (ADS)

    Lorusso, Gian Francesco; Sutani, Takumichi; Rutigliani, Vito; van Roey, Frieda; Moussa, Alain; Charley, Anne-Laure; Mack, Chris; Naulleau, Patrick; Constantoudis, Vassilios; Ikota, Masami; Ishimoto, Toru; Koshihara, Shunsuke

    2018-03-01

    As semiconductor technology keeps moving forward, undeterred by the many challenges ahead, one specific deliverable is capturing the attention of many experts in the field: Line Width Roughness (LWR) specifications are expected to be less than 2nm in the near term, and to drop below 1nm in just a few years. This is a daunting challenge and engineers throughout the industry are trying to meet these targets using every means at their disposal. However, although current efforts are surely admirable, we believe they are not enough. The fact is that a specification has a meaning only if there is an agreed methodology to verify if the criterion is met or not. Such a standardization is critical in any field of science and technology and the question that we need to ask ourselves today is whether we have a standardized LWR metrology or not. In other words, if a single reference sample were provided, would everyone measuring it get reasonably comparable results? We came to realize that this is not the case and that the observed spread in the results throughout the industry is quite large. In our opinion, this makes the comparison of LWR data among institutions, or to a specification, very difficult. In this paper, we report the spread of measured LWR data across the semiconductor industry. We investigate the impact of image acquisition, measurement algorithm, and frequency analysis parameters on LWR metrology. We review critically some of the International Technology Roadmap for Semiconductors (ITRS) metrology guidelines (such as measurement box length larger than 2μm and the need to correct for SEM noise). We compare the SEM roughness results to AFM measurements. Finally, we propose a standardized LWR measurement protocol - the imec Roughness Protocol (iRP) - intended to ensure that every time LWR measurements are compared (from various sources or to specifications), the comparison is sensible and sound. We deeply believe that the industry is at a point where it is

  4. A three-fingered, touch-sensitive, metrological micro-robotic assembly tool

    NASA Astrophysics Data System (ADS)

    Torralba, Marta; Hastings, D. J.; Thousand, Jeffery D.; Nowakowski, Bartosz K.; Smith, Stuart T.

    2015-12-01

    This article describes a metrological, robotic hand to manipulate and measure micrometer size objects. The presented work demonstrates not only assembly operations, but also positioning control and metrology capability. Sample motion is achieved by a commercial positioning stage, which provides XYZ-displacements for assembly of components. A designed and manufactured gripper tool that incorporates 21 degrees-of-freedom for independent alignment of actuators, sensors, and the three fingers of this hand is presented. These fingers can be opened and closed by piezoelectric actuators through levered flexures providing an 80 μm displacement range measured with calibrated opto-interrupter based, knife-edge sensors. The operational ends of the fingers comprise of a quartz tuning fork with a 7 μm diameter 3.2 mm long carbon fiber extending from the end of one tuning fork tine. Finger-tip force-sensing is achieved by the monitoring of individual finger resonances typically at around 32 kHz. Experimental results included are focused on probe performance analysis. Pick and place operation using the three fingers is demonstrated with all fingers being continuously oscillated, a capability not possible with the previous single or two finger tweezer type designs. By monitoring electrical feedback during pick and place operations, changes in the response of the three probes demonstrate the ability to identify both grab and release operations. Component metrology has been assessed by contacting different micro-spheres of diameters 50(±7.5) μm, 135(±20) μm, and 140(±20) μm. These were measured by the micro robot to have diameters of 67, 133, and 126 μm respectively with corresponding deviations of 4.2, 4.9, and 4.3 μm. This deviation in the measured results was primarily due to the manual, joystick-based, contacting of the fingers, difficulties associated with centering the components to the axis of the hand, and lower contact sensitivity for the smallest sphere

  5. Metrology test object for dimensional verification in additive manufacturing of metals for biomedical applications.

    PubMed

    Teeter, Matthew G; Kopacz, Alexander J; Nikolov, Hristo N; Holdsworth, David W

    2015-01-01

    Additive manufacturing continues to increase in popularity and is being used in applications such as biomaterial ingrowth that requires sub-millimeter dimensional accuracy. The purpose of this study was to design a metrology test object for determining the capabilities of additive manufacturing systems to produce common objects, with a focus on those relevant to medical applications. The test object was designed with a variety of features of varying dimensions, including holes, cylinders, rectangles, gaps, and lattices. The object was built using selective laser melting, and the produced dimensions were compared to the target dimensions. Location of the test objects on the build plate did not affect dimensions. Features with dimensions less than 0.300 mm did not build or were overbuilt to a minimum of 0.300 mm. The mean difference between target and measured dimensions was less than 0.100 mm in all cases. The test object is applicable to multiple systems and materials, tests the effect of location on the build, uses a minimum of material, and can be measured with a variety of efficient metrology tools (including measuring microscopes and micro-CT). Investigators can use this test object to determine the limits of systems and adjust build parameters to achieve maximum accuracy. © IMechE 2014.

  6. Evaluation of a new photomask CD metrology tool

    NASA Astrophysics Data System (ADS)

    Dubuque, Leonard F.; Doe, Nicholas G.; St. Cin, Patrick

    1996-12-01

    In the integrated circuit (IC) photomask industry today, dense IC patterns, sub-micron critical dimensions (CD), and narrow tolerances for 64 M technologies and beyond are driving increased demands to minimize and characterize all components of photomask CD variation. This places strict requirements on photomask CD metrology in order to accurately characterize the mask CD error distribution. According to the gauge-maker's rule, measurement error must not exceed 30% of the tolerance on the product dimension measured or the gauge is not considered capable. The traditional single point repeatability tests are a poor measure of overall measurement system error in a dynamic, leading-edge technology environment. In such an environment, measurements may be taken at different points in the field- of-view due to stage in-accuracy, pattern recognition requirements, and throughput considerations. With this in mind, a set of experiments were designed to characterize thoroughly the metrology tool's repeatability and systematic error. Original experiments provided inconclusive results and had to be extended to obtain a full characterization of the system. Tests demonstrated a performance of better than 15 nm total CD error. Using this test as a tool for further development, the authors were able to determine the effects of various system components and measure the improvement with changes in optics, electronics, and software. Optimization of the optical path, electronics, and system software has yielded a new instrument with a total system error of better than 8 nm. Good collaboration between the photomask manufacturer and the equipment supplier has led to a realistic test of system performance and an improved CD measurement instrument.

  7. Deep sub-wavelength metrology for advanced defect classification

    NASA Astrophysics Data System (ADS)

    van der Walle, P.; Kramer, E.; van der Donck, J. C. J.; Mulckhuyse, W.; Nijsten, L.; Bernal Arango, F. A.; de Jong, A.; van Zeijl, E.; Spruit, H. E. T.; van den Berg, J. H.; Nanda, G.; van Langen-Suurling, A. K.; Alkemade, P. F. A.; Pereira, S. F.; Maas, D. J.

    2017-06-01

    Particle defects are important contributors to yield loss in semi-conductor manufacturing. Particles need to be detected and characterized in order to determine and eliminate their root cause. We have conceived a process flow for advanced defect classification (ADC) that distinguishes three consecutive steps; detection, review and classification. For defect detection, TNO has developed the Rapid Nano (RN3) particle scanner, which illuminates the sample from nine azimuth angles. The RN3 is capable of detecting 42 nm Latex Sphere Equivalent (LSE) particles on XXX-flat Silicon wafers. For each sample, the lower detection limit (LDL) can be verified by an analysis of the speckle signal, which originates from the surface roughness of the substrate. In detection-mode (RN3.1), the signal from all illumination angles is added. In review-mode (RN3.9), the signals from all nine arms are recorded individually and analyzed in order to retrieve additional information on the shape and size of deep sub-wavelength defects. This paper presents experimental and modelling results on the extraction of shape information from the RN3.9 multi-azimuth signal such as aspect ratio, skewness, and orientation of test defects. Both modeling and experimental work confirm that the RN3.9 signal contains detailed defect shape information. After review by RN3.9, defects are coarsely classified, yielding a purified Defect-of-Interest (DoI) list for further analysis on slower metrology tools, such as SEM, AFM or HIM, that provide more detailed review data and further classification. Purifying the DoI list via optical metrology with RN3.9 will make inspection time on slower review tools more efficient.

  8. Radionuclide metrology research for nuclear site decommissioning

    NASA Astrophysics Data System (ADS)

    Judge, S. M.; Regan, P. H.

    2017-11-01

    The safe and cost-effective decommissioning of legacy nuclear sites relies on accurate measurement of the radioactivity content of the waste materials, so that the waste can be assigned to the most appropriate disposal route. Such measurements are a new challenge for the science of radionuclide metrology which was established largely to support routine measurements on operating nuclear sites and other applications such as nuclear medicine. In this paper, we provide a brief summary of the international measurement system that is established to enable nuclear site operators to demonstrate that measurements are accurate, independent and fit for purpose, and highlight some of the projects that are underway to adapt the measurement system to meet the changing demands from the industry.

  9. Accreditation experience of radioisotope metrology laboratory of Argentina.

    PubMed

    Iglicki, A; Milá, M I; Furnari, J C; Arenillas, P; Cerutti, G; Carballido, M; Guillén, V; Araya, X; Bianchini, R

    2006-01-01

    This work presents the experience developed by the Radioisotope Metrology Laboratory (LMR), of the Argentine National Atomic Energy Commission (CNEA), as result of the accreditation process of the Quality System by ISO 17025 Standard. Considering the LMR as a calibration laboratory, services of secondary activity determinations and calibration of activimeters used in Nuclear Medicine were accredited. A peer review of the (alpha/beta)-gamma coincidence system was also carried out. This work shows in detail the structure of the quality system, the results of the accrediting audit and gives the number of non-conformities detected and of observations made which have all been resolved.

  10. Investigation into the use of smartphone as a machine vision device for engineering metrology and flaw detection, with focus on drilling

    NASA Astrophysics Data System (ADS)

    Razdan, Vikram; Bateman, Richard

    2015-05-01

    This study investigates the use of a Smartphone and its camera vision capabilities in Engineering metrology and flaw detection, with a view to develop a low cost alternative to Machine vision systems which are out of range for small scale manufacturers. A Smartphone has to provide a similar level of accuracy as Machine Vision devices like Smart cameras. The objective set out was to develop an App on an Android Smartphone, incorporating advanced Computer vision algorithms written in java code. The App could then be used for recording measurements of Twist Drill bits and hole geometry, and analysing the results for accuracy. A detailed literature review was carried out for in-depth study of Machine vision systems and their capabilities, including a comparison between the HTC One X Android Smartphone and the Teledyne Dalsa BOA Smart camera. A review of the existing metrology Apps in the market was also undertaken. In addition, the drilling operation was evaluated to establish key measurement parameters of a twist Drill bit, especially flank wear and diameter. The methodology covers software development of the Android App, including the use of image processing algorithms like Gaussian Blur, Sobel and Canny available from OpenCV software library, as well as designing and developing the experimental set-up for carrying out the measurements. The results obtained from the experimental set-up were analysed for geometry of Twist Drill bits and holes, including diametrical measurements and flaw detection. The results show that Smartphones like the HTC One X have the processing power and the camera capability to carry out metrological tasks, although dimensional accuracy achievable from the Smartphone App is below the level provided by Machine vision devices like Smart cameras. A Smartphone with mechanical attachments, capable of image processing and having a reasonable level of accuracy in dimensional measurement, has the potential to become a handy low-cost Machine vision

  11. Fabrication High Resolution Metrology Target By Step And Repeat Method

    NASA Astrophysics Data System (ADS)

    Dusa, Mircea

    1983-10-01

    Based on the photolithography process generally used to generate high resolution masks for semiconductor I.C.S, we found a very useful industrial application of laser technology.First, we have generated high resolution metrology targets which are used in industrial measurement laser interferometers as difra.ction gratings. Secondi we have generated these targets using step and repeat machine, with He-Ne laser interferometer controlled state, as a pattern generator, due to suitable computer programming.Actually, high resolution metrology target, means two chromium plates, one of which is called the" rule" the other one the "vernier". In Fig.1 we have the configuration of the rule and the vernier. The rule has a succesion of 3 μM lines generated as a difraction grating on a 4 x 4 inch chromium blank. The vernier has several exposed fields( areas) having 3 - 15 μm lines, fields placed on very precise position on the chromium blank surface. High degree of uniformity, tight CD tolerances, low defect density required by the targets, creates specialised problems during processing. Details of the processing, together with experimental results will be presented. Before we start to enter into process details, we have to point out that the dimensional requirements of the reticle target, are quite similar or perhaps more strict than LSI master casks. These requirements presented in Fig.2.

  12. EMRP JRP MetNH3: Towards a Consistent Metrological Infrastructure for Ammonia Measurements in Ambient Air

    NASA Astrophysics Data System (ADS)

    Leuenberger, Daiana; Balslev-Harder, David; Braban, Christine F.; Ebert, Volker; Ferracci, Valerio; Gieseking, Bjoern; Hieta, Tuomas; Martin, Nicholas A.; Pascale, Céline; Pogány, Andrea; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. In addition to its acidifying effect on natural waters and soils and to the additional nitrogen input to ecosystems, ammonia is an important precursor for secondary aerosol formation in the atmosphere. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation regarding certified reference material (CRM), applicable analytical methods, measurement uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. As shown in a key comparison in 2007, there are even discrepancies between reference materials provided by European National Metrology Institutes (NMIs) at amount fraction levels up to three orders of magnitude higher than ambient air levels. MetNH3 (Metrology for ammonia in ambient air), a three-year project that started in June 2014 in the framework of the European Metrology Research Programme (EMRP), aims to reduce the gap between requirements set by the European emission regulations and state-of-the-art of analytical methods and reference materials. The overarching objective of the JRP is to achieve metrological traceability for ammonia measurements in ambient air from primary certified reference material CRM and instrumental standards to the field level. This requires the successful completion of the three main goals, which have been assigned to three technical work packages: To develop improved reference gas mixtures by static and dynamic gravimetric generation methods Realisation and characterisation of traceable preparative calibration standards (in pressurised cylinders as well as mobile generators) of ammonia amount fractions similar to those in ambient air based on existing methods for other reactive analytes. The

  13. 75 FR 9488 - Basel Comprehensive Quantitative Impact Study

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-03-02

    ... DEPARTMENT OF THE TREASURY Office of Thrift Supervision Basel Comprehensive Quantitative Impact... Quantitative Impact Study. OMB Number: 1550-0NEW. Form Numbers: N/A. Regulation requirement: 12 CFR Part 567... Basel II Capital Accord, the Basel Committee will conduct a quantitative impact study (QIS) to assess...

  14. Sub-50 nm metrology on extreme ultra violet chemically amplified resist—A systematic assessment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maas, D. J., E-mail: diederik.maas@tno.nl; Herfst, R.; Veldhoven, E. van

    2015-10-15

    With lithographic patterning dimensions decreasing well below 50 nm, it is of high importance to understand metrology at such small scales. This paper presents results obtained from dense arrays of contact holes (CHs) with various Critical Dimension (CD) between 15 and 50 nm, as patterned in a chemically amplified resist using an ASML EUV scanner and measured at ASML and TNO. To determine the differences between various (local) CD metrology techniques, we conducted an experiment using optical scatterometry, CD-Scanning Electron Microscopy (CD-SEM), Helium ion Microscopy (HIM), and Atomic Force Microscopy (AFM). CD-SEM requires advanced beam scan strategies to mitigate samplemore » charging; the other tools did not need that. We discuss the observed main similarities and differences between the various techniques. To this end, we assessed the spatial frequency content in the raw images for SEM, HIM, and AFM. HIM and AFM resolve the highest spatial frequencies, which are attributed to the more localized probe-sample interaction for these techniques. Furthermore, the SEM, HIM, and AFM waveforms are analyzed in detail. All techniques show good mutual correlation, albeit the reported CD values systematically differ significantly. HIM systematically reports a 25% higher CD uniformity number than CD-SEM for the same arrays of CHs, probably because HIM has a higher resolution than the CD-SEM used in this assessment. A significant speed boost for HIM and AFM is required before these techniques are to serve the demanding industrial metrology applications like optical critical dimension and CD-SEM do nowadays.« less

  15. Three-dimensional digital holographic aperture synthesis for rapid and highly-accurate large-volume metrology

    NASA Astrophysics Data System (ADS)

    Crouch, Stephen; Kaylor, Brant M.; Barber, Zeb W.; Reibel, Randy R.

    2015-09-01

    Currently large volume, high accuracy three-dimensional (3D) metrology is dominated by laser trackers, which typically utilize a laser scanner and cooperative reflector to estimate points on a given surface. The dependency upon the placement of cooperative targets dramatically inhibits the speed at which metrology can be conducted. To increase speed, laser scanners or structured illumination systems can be used directly on the surface of interest. Both approaches are restricted in their axial and lateral resolution at longer stand-off distances due to the diffraction limit of the optics used. Holographic aperture ladar (HAL) and synthetic aperture ladar (SAL) can enhance the lateral resolution of an imaging system by synthesizing much larger apertures by digitally combining measurements from multiple smaller apertures. Both of these approaches only produce two-dimensional imagery and are therefore not suitable for large volume 3D metrology. We combined the SAL and HAL approaches to create a swept frequency digital holographic 3D imaging system that provides rapid measurement speed for surface coverage with unprecedented axial and lateral resolution at longer standoff ranges. The technique yields a "data cube" of Fourier domain data, which can be processed with a 3D Fourier transform to reveal a 3D estimate of the surface. In this paper, we provide the theoretical background for the technique and show experimental results based on an ultra-wideband frequency modulated continuous wave (FMCW) chirped heterodyne ranging system showing ~100 micron lateral and axial precisions at >2 m standoff distances.

  16. Sub-50 nm metrology on extreme ultra violet chemically amplified resist—A systematic assessment

    NASA Astrophysics Data System (ADS)

    Maas, D. J.; Fliervoet, T.; Herfst, R.; van Veldhoven, E.; Meessen, J.; Vaenkatesan, V.; Sadeghian, H.

    2015-10-01

    With lithographic patterning dimensions decreasing well below 50 nm, it is of high importance to understand metrology at such small scales. This paper presents results obtained from dense arrays of contact holes (CHs) with various Critical Dimension (CD) between 15 and 50 nm, as patterned in a chemically amplified resist using an ASML EUV scanner and measured at ASML and TNO. To determine the differences between various (local) CD metrology techniques, we conducted an experiment using optical scatterometry, CD-Scanning Electron Microscopy (CD-SEM), Helium ion Microscopy (HIM), and Atomic Force Microscopy (AFM). CD-SEM requires advanced beam scan strategies to mitigate sample charging; the other tools did not need that. We discuss the observed main similarities and differences between the various techniques. To this end, we assessed the spatial frequency content in the raw images for SEM, HIM, and AFM. HIM and AFM resolve the highest spatial frequencies, which are attributed to the more localized probe-sample interaction for these techniques. Furthermore, the SEM, HIM, and AFM waveforms are analyzed in detail. All techniques show good mutual correlation, albeit the reported CD values systematically differ significantly. HIM systematically reports a 25% higher CD uniformity number than CD-SEM for the same arrays of CHs, probably because HIM has a higher resolution than the CD-SEM used in this assessment. A significant speed boost for HIM and AFM is required before these techniques are to serve the demanding industrial metrology applications like optical critical dimension and CD-SEM do nowadays.

  17. Quantum-enhanced metrology for multiple phase estimation with noise

    PubMed Central

    Yue, Jie-Dong; Zhang, Yu-Ran; Fan, Heng

    2014-01-01

    We present a general quantum metrology framework to study the simultaneous estimation of multiple phases in the presence of noise as a discretized model for phase imaging. This approach can lead to nontrivial bounds of the precision for multiphase estimation. Our results show that simultaneous estimation (SE) of multiple phases is always better than individual estimation (IE) of each phase even in noisy environment. The utility of the bounds of multiple phase estimation for photon loss channels is exemplified explicitly. When noise is low, those bounds possess the Heisenberg scale showing quantum-enhanced precision with the O(d) advantage for SE, where d is the number of phases. However, this O(d) advantage of SE scheme in the variance of the estimation may disappear asymptotically when photon loss becomes significant and then only a constant advantage over that of IE scheme demonstrates. Potential application of those results is presented. PMID:25090445

  18. MetNH3: Metrology for ammonia in ambient air

    NASA Astrophysics Data System (ADS)

    Braban, Christine; Twigg, Marsailidh; Tang, Sim; Leuenberger, Daiana; Ferracci, Valerio; Martin, Nick; Pascale, Celine; Hieta, Tuomas; Pogany, Andrea; Persijn, Stefan; van Wijk, Janneke; Gerwig, Holger; Wirtze, Klaus; Tiebe, Carlo; Balslev-Harder, David; Niederhausen, Bernhardt

    2015-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on 'National Emission Ceilings for Certain Atmospheric Pollutants (NEC)' regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. Validated ammonia measurement data of high quality from air monitoring networks are vitally important for identifying changes due to implementations of environment policies, for understanding where the uncertainties in current emission inventories are derived from and for providing independent verification of atmospheric model predictions. The new EURAMET project MetNH3 aims to develop improved reference gas mixtures by static and dynamic gravimetric generation methods, develop and characterise laser based optical spectrometric standards and establish the transfer from high-accuracy standards to field applicable methods. MetNH3started in June 2014 and in this presentation the first results from the metrological characterisation of a commercially available cavity ring-down spectrometer (CRDS) will be discussed. Also first tests and results from a new design, Controlled Atmosphere Test Facility (CATFAC), which is to be characterised and used to validate the performance of diffusive samplers, denuders and on-line instruments, will be reported. CAFTEC can be used to control test parameters such as ammonia concentration, relative humidity and wind speed. Outline plans for international laboratory and field intercomparisons in 2016 will be presented.

  19. Manufacturing and metrology for IR conformal windows and domes

    NASA Astrophysics Data System (ADS)

    Ferralli, Ian; Blalock, Todd; Brunelle, Matt; Lynch, Timothy; Myer, Brian; Medicus, Kate

    2017-05-01

    Freeform and conformal optics have the potential to dramatically improve optical systems by enabling systems with fewer optical components, reduced aberrations, and improved aerodynamic performance. These optical components differ from standard components in their surface shape, typically a non-symmetric equation based definition, and material properties. Traditional grinding and polishing tools are unable to handle these freeform shapes. Additionally, standard metrology tools cannot measure these surfaces. Desired substrates are typically hard ceramics, including poly-crystalline alumina or aluminum oxynitride. Notwithstanding the challenges that the hardness provides to manufacturing, these crystalline materials can be highly susceptible to grain decoration creating unacceptable scatter in optical systems. In this presentation, we will show progress towards addressing the unique challenges of manufacturing conformal windows and domes. Particular attention is given to our robotic polishing platform. This platform is based on an industrial robot adapted to accept a wide range of tooling and parts. The robot's flexibility has provided us an opportunity to address the unique challenges of conformal windows. Slurries and polishing active layers can easily be changed to adapt to varying materials and address grain decoration. We have the flexibility to change tool size and shape to address the varying sizes and shapes of conformal optics. In addition, the robotic platform can be a base for a deflectometry-based metrology tool to measure surface form error. This system, whose precision is independent of the robot's positioning accuracy, will allow us to measure optics in-situ saving time and reducing part risk. In conclusion, we will show examples of the conformal windows manufactured using our developed processes.

  20. A focal plane metrology system and PSF centroiding experiment

    NASA Astrophysics Data System (ADS)

    Li, Haitao; Li, Baoquan; Cao, Yang; Li, Ligang

    2016-10-01

    In this paper, we present an overview of a detector array equipment metrology testbed and a micro-pixel centroiding experiment currently under development at the National Space Science Center, Chinese Academy of Sciences. We discuss on-going development efforts aimed at calibrating the intra-/inter-pixel quantum efficiency and pixel positions for scientific grade CMOS detector, and review significant progress in achieving higher precision differential centroiding for pseudo star images in large area back-illuminated CMOS detector. Without calibration of pixel positions and intrapixel response, we have demonstrated that the standard deviation of differential centroiding is below 2.0e-3 pixels.

  1. Bell Inequality, Einstein-Podolsky-Rosen Steering, and Quantum Metrology with Spinor Bose-Einstein Condensates.

    PubMed

    Wasak, Tomasz; Chwedeńczuk, Jan

    2018-04-06

    We propose an experiment, where the Bell inequality is violated in a many-body system of massive particles. The source of correlated atoms is a spinor F=1 Bose-Einstein condensate residing in an optical lattice. We characterize the complete procedure-the local operations, the measurements, and the inequality-necessary to run the Bell test. We show how the degree of violation of the Bell inequality depends on the strengths of the two-body correlations and on the number of scattered pairs. We show that the system can be used to demonstrate the Einstein-Podolsky-Rosen paradox. Also, the scattered pairs are an excellent many-body resource for the quantum-enhanced metrology. Our results apply to any multimode system where the spin-changing collision drives the scattering into separate regions. The presented inquiry shows that such a system is versatile as it can be used for the tests of nonlocality, quantum metrology, and quantum information.

  2. Lightweight UAV with on-board photogrammetry and single-frequency GPS positioning for metrology applications

    NASA Astrophysics Data System (ADS)

    Daakir, M.; Pierrot-Deseilligny, M.; Bosser, P.; Pichard, F.; Thom, C.; Rabot, Y.; Martin, O.

    2017-05-01

    This article presents a coupled system consisting of a single-frequency GPS receiver and a light photogrammetric quality camera embedded in an Unmanned Aerial Vehicle (UAV). The aim is to produce high quality data that can be used in metrology applications. The issue of Integrated Sensor Orientation (ISO) of camera poses using only GPS measurements is presented and discussed. The accuracy reached by our system based on sensors developed at the French Mapping Agency (IGN) Opto-Electronics, Instrumentation and Metrology Laboratory (LOEMI) is qualified. These sensors are specially designed for close-range aerial image acquisition with a UAV. Lever-arm calibration and time synchronization are explained and performed to reach maximum accuracy. All processing steps are detailed from data acquisition to quality control of final products. We show that an accuracy of a few centimeters can be reached with this system which uses low-cost UAV and GPS module coupled with the IGN-LOEMI home-made camera.

  3. Bell Inequality, Einstein-Podolsky-Rosen Steering, and Quantum Metrology with Spinor Bose-Einstein Condensates

    NASA Astrophysics Data System (ADS)

    Wasak, Tomasz; Chwedeńczuk, Jan

    2018-04-01

    We propose an experiment, where the Bell inequality is violated in a many-body system of massive particles. The source of correlated atoms is a spinor F =1 Bose-Einstein condensate residing in an optical lattice. We characterize the complete procedure—the local operations, the measurements, and the inequality—necessary to run the Bell test. We show how the degree of violation of the Bell inequality depends on the strengths of the two-body correlations and on the number of scattered pairs. We show that the system can be used to demonstrate the Einstein-Podolsky-Rosen paradox. Also, the scattered pairs are an excellent many-body resource for the quantum-enhanced metrology. Our results apply to any multimode system where the spin-changing collision drives the scattering into separate regions. The presented inquiry shows that such a system is versatile as it can be used for the tests of nonlocality, quantum metrology, and quantum information.

  4. Building versatile bipartite probes for quantum metrology

    NASA Astrophysics Data System (ADS)

    Farace, Alessandro; De Pasquale, Antonella; Adesso, Gerardo; Giovannetti, Vittorio

    2016-01-01

    We consider bipartite systems as versatile probes for the estimation of transformations acting locally on one of the subsystems. We investigate what resources are required for the probes to offer a guaranteed level of metrological performance, when the latter is averaged over specific sets of local transformations. We quantify such a performance via the average skew information (AvSk), a convex quantity which we compute in closed form for bipartite states of arbitrary dimensions, and which is shown to be strongly dependent on the degree of local purity of the probes. Our analysis contrasts and complements the recent series of studies focused on the minimum, rather than the average, performance of bipartite probes in local estimation tasks, which was instead determined by quantum correlations other than entanglement. We provide explicit prescriptions to characterize the most reliable states maximizing the AvSk, and elucidate the role of state purity, separability and correlations in the classification of optimal probes. Our results can help in the identification of useful resources for sensing, estimation and discrimination applications when complete knowledge of the interaction mechanism realizing the local transformation is unavailable, and access to pure entangled probes is technologically limited.

  5. Probabilistic Metrology Attains Macroscopic Cloning of Quantum Clocks

    NASA Astrophysics Data System (ADS)

    Gendra, B.; Calsamiglia, J.; Muñoz-Tapia, R.; Bagan, E.; Chiribella, G.

    2014-12-01

    It has recently been shown that probabilistic protocols based on postselection boost the performances of the replication of quantum clocks and phase estimation. Here we demonstrate that the improvements in these two tasks have to match exactly in the macroscopic limit where the number of clones grows to infinity, preserving the equivalence between asymptotic cloning and state estimation for arbitrary values of the success probability. Remarkably, the cloning fidelity depends critically on the number of rationally independent eigenvalues of the clock Hamiltonian. We also prove that probabilistic metrology can simulate cloning in the macroscopic limit for arbitrary sets of states when the performance of the simulation is measured by testing small groups of clones.

  6. Diffraction based overlay metrology for α-carbon applications

    NASA Astrophysics Data System (ADS)

    Saravanan, Chandra Saru; Tan, Asher; Dasari, Prasad; Goelzer, Gary; Smith, Nigel; Woo, Seouk-Hoon; Shin, Jang Ho; Kang, Hyun Jae; Kim, Ho Chul

    2008-03-01

    Applications that require overlay measurement between layers separated by absorbing interlayer films (such as α- carbon) pose significant challenges for sub-50nm processes. In this paper scatterometry methods are investigated as an alternative to meet these stringent overlay metrology requirements. In this article, a spectroscopic Diffraction Based Overlay (DBO) measurement technique is used where registration errors are extracted from specially designed diffraction targets. DBO measurements are performed on detailed set of wafers with varying α-carbon (ACL) thicknesses. The correlation in overlay values between wafers with varying ACL thicknesses will be discussed. The total measurement uncertainty (TMU) requirements for these layers are discussed and the DBO TMU results from sub-50nm samples are reviewed.

  7. Performance of the Primary Mirror Center-of-Curvature Optical Metrology System during Cryogenic Testing of the JWST Pathfinder Telescope

    NASA Technical Reports Server (NTRS)

    Hadaway, James B.; Wells, Conrad; Olczak, Gene; Waldman, Mark; Whitman, Tony; Cosentino, Joseph; Connolly, Mark; Chaney, David; Telfer, Randal

    2016-01-01

    The JWST primary mirror consists of 18 1.5 m hexagonal segments, each with 6-DoF and RoC adjustment. The telescope will be tested at its cryogenic operating temperature at Johnson Space Center. The testing will include center-of-curvature measurements of the PM, using the Center-of-Curvature Optical Assembly (COCOA) and the Absolute Distance Meter Assembly (ADMA). The performance of these metrology systems, including hardware, software, procedures, was assessed during two cryogenic tests at JSC, using the JWST Pathfinder telescope. This paper describes the test setup, the testing performed, and the resulting metrology system performance.

  8. Sub-microradian Surface Slope Metrology with the ALS Developmental Long Trace Profiler

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yashchuk, Valeriy V.; Barber, Samuel; Domning, Edward E.

    2009-06-15

    Development of X-ray optics for 3rd and 4th generation X-ray light sources with a level of surface slope precision of 0.1-0.2 {micro}rad requires the development of adequate fabrication technologies and dedicated metrology instrumentation and methods. Currently, the best performance of surface slope measurement has been achieved with the NOM (Nanometer Optical Component Measuring Machine) slope profiler at BESSY (Germany) [1] and the ESAD (Extended Shear Angle Difference) profiler at the PTB (Germany) [2]. Both instruments are based on electronic autocollimators (AC) precisely calibrated for the specific application [3] with small apertures of 2.5-5 mm in diameter. In the present work,more » we describe the design, initial alignment and calibration procedures, the instrumental control and data acquisition system, as well as the measurement performance of the Developmental Long Trace Profiler (DLTP) slope measuring instrument recently brought into operation at the Advanced Light Source (ALS) Optical Metrology Laboratory (OML). Similar to the NOM and ESAD, the DLTP is based on a precisely calibrated autocollimator. However, this is a reasonably low budget instrument used at the ALS OML for the development and testing of new measuring techniques and methods. Some of the developed methods have been implemented into the ALS LTP-II (slope measuring long trace profiler [4]) which was recently upgraded and has demonstrated a capability for 0.25 {micro}rad surface metrology [5]. Performance of the DLTP was verified via a number of measurements with high quality reference mirrors. A comparison with the corresponding results obtained with the world's best slope measuring instrument, the BESSY NOM, proves the accuracy of the DLTP measurements on the level of 0.1-0.2 {micro}rad depending on the curvature of a surface under test. The directions of future work to develop a surface slope measuring profiler with nano-radian performance are also discussed.« less

  9. Metrological characterization methods for confocal chromatic line sensors and optical topography sensors

    NASA Astrophysics Data System (ADS)

    Seppä, Jeremias; Niemelä, Karri; Lassila, Antti

    2018-05-01

    The increasing use of chromatic confocal technology for, e.g. fast, in-line optical topography, and measuring thickness, roughness and profiles implies a need for the characterization of various aspects of the sensors. Single-point, line and matrix versions of chromatic confocal technology, encoding depth information into wavelength, have been developed. Of these, line sensors are particularly suitable for in-line process measurement. Metrological characterization and development of practical methods for calibration and checking is needed for new optical methods and devices. Compared to, e.g. tactile methods, optical topography measurement techniques have limitations related to light wavelength and coherence, optical properties of the sample including reflectivity, specularity, roughness and colour, and definition of optical versus mechanical surfaces. In this work, metrological characterization methods for optical line sensors were developed for scale magnification and linearity, sensitivity to sample properties, and dynamic characteristics. An accurate depth scale calibration method using a single prototype groove depth sample was developed for a line sensor and validated with laser-interferometric sample tracking, attaining (sub)micrometre level or better than 0.1% scale accuracy. Furthermore, the effect of different surfaces and materials on the measurement and depth scale was studied, in particular slope angle, specularity and colour. In addition, dynamic performance, noise, lateral scale and resolution were measured using the developed methods. In the case of the LCI1200 sensor used in this study, which has a 11.3 mm  ×  2.8 mm measurement range, the instrument depth scale was found to depend only minimally on sample colour, whereas measuring steeply sloped specular surfaces in the peripheral measurement area, in the worst case, caused a somewhat larger relative sample-dependent change (1%) in scale.

  10. Ice flood velocity calculating approach based on single view metrology

    NASA Astrophysics Data System (ADS)

    Wu, X.; Xu, L.

    2017-02-01

    Yellow River is the river in which the ice flood occurs most frequently in China, hence, the Ice flood forecasting has great significance for the river flood prevention work. In various ice flood forecast models, the flow velocity is one of the most important parameters. In spite of the great significance of the flow velocity, its acquisition heavily relies on manual observation or deriving from empirical formula. In recent years, with the high development of video surveillance technology and wireless transmission network, the Yellow River Conservancy Commission set up the ice situation monitoring system, in which live videos can be transmitted to the monitoring center through 3G mobile networks. In this paper, an approach to get the ice velocity based on single view metrology and motion tracking technique using monitoring videos as input data is proposed. First of all, River way can be approximated as a plane. On this condition, we analyze the geometry relevance between the object side and the image side. Besides, we present the principle to measure length in object side from image. Secondly, we use LK optical flow which support pyramid data to track the ice in motion. Combining the result of camera calibration and single view metrology, we propose a flow to calculate the real velocity of ice flood. At last we realize a prototype system by programming and use it to test the reliability and rationality of the whole solution.

  11. Analysis of quantum information processors using quantum metrology

    NASA Astrophysics Data System (ADS)

    Kandula, Mark J.; Kok, Pieter

    2018-06-01

    Physical implementations of quantum information processing devices are generally not unique, and we are faced with the problem of choosing the best implementation. Here, we consider the sensitivity of quantum devices to variations in their different components. To measure this, we adopt a quantum metrological approach and find that the sensitivity of a device to variations in a component has a particularly simple general form. We use the concept of cost functions to establish a general practical criterion to decide between two different physical implementations of the same quantum device consisting of a variety of components. We give two practical examples of sensitivities of quantum devices to variations in beam splitter transmittivities: the Knill-Laflamme-Milburn (KLM) and reverse nonlinear sign gates for linear optical quantum computing with photonic qubits, and the enhanced optical Bell detectors by Grice and Ewert and van Loock. We briefly compare the sensitivity to the diamond distance and find that the latter is less suited for studying the behavior of components embedded within the larger quantum device.

  12. Surface Displacement Measurements, Strain and Vibrational Analysis using Speckle Metrology Techniques.

    DTIC Science & Technology

    1980-03-01

    Ennos, A. E., " Measurement by Laser Photography," National Physical Laboratory, Division of Optical Metrology, Teddington, Middlesex, U.K. 9. Archbold...Field Measurement ," Optics and Laser TechnoloZ, pp. 216 - 219, October 1776. 149 37. Khetan, R. P., and Chiang, F. P., "Strain Analysis by One Beam...AD-AO85 145 NAVAL POSTGRADUATE SCHOOL MONTEREY CA F/G 17/8 SURFACE DISPLACEMENT MEASUREMENTS , STRAIN AND VIBRATIONAL ANALY-ETC(U) MAR GO A B

  13. Design, fabrication and metrological evaluation of wearable pressure sensors.

    PubMed

    Goy, C B; Menichetti, V; Yanicelli, L M; Lucero, J B; López, M A Gómez; Parodi, N F; Herrera, M C

    2015-04-01

    Pressure sensors are valuable transducers that are necessary in a huge number of medical application. However, the state of the art of compact and lightweight pressure sensors with the capability of measuring the contact pressure between two surfaces (contact pressure sensors) is very poor. In this work, several types of wearable contact pressure sensors are fabricated using different conductive textile materials and piezo-resistive films. The fabricated sensors differ in size, the textile conductor used and/or the number of layers of the sandwiched piezo-resistive film. The intention is to study, through the obtaining of their calibration curves, their metrological properties (repeatability, sensitivity and range) and determine which physical characteristics improve their ability for measuring contact pressures. It has been found that it is possible to obtain wearable contact pressure sensors through the proposed fabrication process with satisfactory repeatability, range and sensitivity; and that some of these properties can be improved by the physical characteristics of the sensors.

  14. Production and certification of NIST Standard Reference Material 2372 Human DNA Quantitation Standard.

    PubMed

    Kline, Margaret C; Duewer, David L; Travis, John C; Smith, Melody V; Redman, Janette W; Vallone, Peter M; Decker, Amy E; Butler, John M

    2009-06-01

    Modern highly multiplexed short tandem repeat (STR) assays used by the forensic human-identity community require tight control of the initial amount of sample DNA amplified in the polymerase chain reaction (PCR) process. This, in turn, requires the ability to reproducibly measure the concentration of human DNA, [DNA], in a sample extract. Quantitative PCR (qPCR) techniques can determine the number of intact stretches of DNA of specified nucleotide sequence in an extremely small sample; however, these assays must be calibrated with DNA extracts of well-characterized and stable composition. By 2004, studies coordinated by or reported to the National Institute of Standards and Technology (NIST) indicated that a well-characterized, stable human DNA quantitation certified reference material (CRM) could help the forensic community reduce within- and among-laboratory quantitation variability. To ensure that the stability of such a quantitation standard can be monitored and that, if and when required, equivalent replacement materials can be prepared, a measurement of some stable quantity directly related to [DNA] is required. Using a long-established conventional relationship linking optical density (properly designated as decadic attenuance) at 260 nm with [DNA] in aqueous solution, NIST Standard Reference Material (SRM) 2372 Human DNA Quantitation Standard was issued in October 2007. This SRM consists of three quite different DNA extracts: a single-source male, a multiple-source female, and a mixture of male and female sources. All three SRM components have very similar optical densities, and thus very similar conventional [DNA]. The materials perform very similarly in several widely used gender-neutral assays, demonstrating that the combination of appropriate preparation methods and metrologically sound spectrophotometric measurements enables the preparation and certification of quantitation [DNA] standards that are both maintainable and of practical utility.

  15. On the evaluation of photogrammetric methods for dense 3D surface reconstruction in a metrological context

    NASA Astrophysics Data System (ADS)

    Toschi, I.; Capra, A.; De Luca, L.; Beraldin, J.-A.; Cournoyer, L.

    2014-05-01

    This paper discusses a methodology to evaluate the accuracy of recently developed image-based 3D modelling techniques. So far, the emergence of these novel methods has not been supported by the definition of an internationally recognized standard which is fundamental for user confidence and market growth. In order to provide an element of reflection and solution to the different communities involved in 3D imaging, a promising approach is presented in this paper for the assessment of both metric quality and limitations of an open-source suite of tools (Apero/MicMac), developed for the extraction of dense 3D point clouds from a set of unordered 2D images. The proposed procedural workflow is performed within a metrological context, through inter-comparisons with "reference" data acquired with two hemispherical laser scanners, one total station, and one laser tracker. The methodology is applied to two case studies, designed in order to analyse the software performances in dealing with both outdoor and environmentally controlled conditions, i.e. the main entrance of Cathédrale de la Major (Marseille, France) and a custom-made scene located at National Research Council of Canada 3D imaging Metrology Laboratory (Ottawa). Comparative data and accuracy evidence produced for both tests allow the study of some key factors affecting 3D model accuracy.

  16. Process and system - A dual definition, revisited with consequences in metrology

    NASA Astrophysics Data System (ADS)

    Ruhm, K. H.

    2010-07-01

    Lets assert that metrology life could be easier scientifically as well as technologically, if we, intentionally, would make an explicit distinction between two outstanding domains, namely the given, really existent domain of processes and the just virtually existent domain of systems, the latter of which is designed and used by the human mind. The abstract domain of models, by which we map the manifold reality of processes, is itself part of the domain of systems. Models support comprehension and communication, although they are normally extreme simplifications of properties and behaviour of a concrete reality. So, systems and signals represent processes and quantities, which are described by means of Signal and System Theory as well as by Stochastics and Statistics. The following presentation of this new, demanding and somehow irritating definition of the terms process and system as a dual pair is unusual indeed, but it opens the door widely to a better and more consistent discussion and understanding of manifold scientific tools in many areas. Metrology [4] is one of the important fields of concern due to many reasons: One group of the soft and hard links between the domain of processes and the domain of systems is realised by concepts of measurement science on the one hand and by instrumental tools of measurement technology on the other hand.

  17. Flatness metrology based on small-angle deflectometric procedures with electronic tiltmeters

    NASA Astrophysics Data System (ADS)

    Ehret, G.; Laubach, S.; Schulz, M.

    2017-06-01

    The measurement of optical flats, e. g. synchrotron or XFEL mirrors, with single nanometer topography uncertainty is still challenging. At PTB, we apply for this task small-angle deflectometry in which the angle between the direction of the beam sent to the surface and the beam detected is small. Conventional deflectometric systems measure the surface angle with autocollimators whose light beam also represents the straightness reference. An advanced flatness metrology system was recently implemented at PTB that separates the straightness reference task from the angle detection task. We call it `Exact Autocollimation Deflectometric Scanning' because the specimen is slightly tilted in such a way that at every scanning position the specimen is `exactly' perpendicular to the reference light beam directed by a pentaprism to the surface under test. The tilt angle of the surface is then measured with an additional autocollimator. The advantage of the EADS method is that the two tasks (straightness reference and measurement of surface slope) are separated and each of these can be optimized independently. The idea presented in this paper is to replace this additional autocollimator by one or more electro-mechanical tiltmeters, which are typically faster and have a higher resolution than highly accurate commercially available autocollimators. We investigate the point stability and the linearity of a highly accurate electronic tiltmeter. The pros and cons of using tiltmeters in flatness metrology are discussed.

  18. Metrology: Measurement Assurance Program Guidelines

    NASA Technical Reports Server (NTRS)

    Eicke, W. G.; Riley, J. P.; Riley, K. J.

    1995-01-01

    The 5300.4 series of NASA Handbooks for Reliability and Quality Assurance Programs have provisions for the establishment and utilization of a documented metrology system to control measurement processes and to provide objective evidence of quality conformance. The intent of these provisions is to assure consistency and conformance to specifications and tolerances of equipment, systems, materials, and processes procured and/or used by NASA, its international partners, contractors, subcontractors, and suppliers. This Measurement Assurance Program (MAP) guideline has the specific objectives to: (1) ensure the quality of measurements made within NASA programs; (2) establish realistic measurement process uncertainties; (3) maintain continuous control over the measurement processes; and (4) ensure measurement compatibility among NASA facilities. The publication addresses MAP methods as applied within and among NASA installations and serves as a guide to: control measurement processes at the local level (one facility); conduct measurement assurance programs in which a number of field installations are joint participants; and conduct measurement integrity (round robin) experiments in which a number of field installations participate to assess the overall quality of particular measurement processes at a point in time.

  19. Application of Vision Metrology to In-Orbit Measurement of Large Reflector Onboard Communication Satellite for Next Generation Mobile Satellite Communication

    NASA Astrophysics Data System (ADS)

    Akioka, M.; Orikasa, T.; Satoh, M.; Miura, A.; Tsuji, H.; Toyoshima, M.; Fujino, Y.

    2016-06-01

    measurement for large structure with similar dimension with large deployable reflector to confirm the validity of the network design and instrumentation. In this report, the overview of this R&D project and the results of feasibility study of network design based on simulations on vision metrology and beam pattern compensation of antenna with very large reflector in orbit is discussed. The feasibility of assumed network design for vision metrology and satisfaction of accuracy requirements are discussed. The feasibility of beam pattern compensation by using accurately measured reflector shape is confirmed with antenna pattern simulation for deformed parabola reflector. If reflector surface of communication satellite can be measured routinely in orbit, the antenna pattern can be compensated and maintain the high performance every moment.

  20. A risk analysis approach applied to field surveillance in utility meters in legal metrology

    NASA Astrophysics Data System (ADS)

    Rodrigues Filho, B. A.; Nonato, N. S.; Carvalho, A. D.

    2018-03-01

    Field surveillance represents the level of control in metrological supervision responsible for checking the conformity of measuring instruments in-service. Utility meters represent the majority of measuring instruments produced by notified bodies due to self-verification in Brazil. They play a major role in the economy once electricity, gas and water are the main inputs to industries in their production processes. Then, to optimize the resources allocated to control these devices, the present study applied a risk analysis in order to identify among the 11 manufacturers notified to self-verification, the instruments that demand field surveillance.

  1. Signal processing for order 10 pm accuracy displacement metrology in real-world scientific applications

    NASA Technical Reports Server (NTRS)

    Halverson, Peter G.; Loya, Frank M.

    2004-01-01

    This paper describes heterodyne displacement metrology gauge signal processing methods that achieve satisfactory robustness against low signal strength and spurious signals, and good long-term stability. We have a proven displacement-measuring approach that is useful not only to space-optical projects at JPL, but also to the wider field of distance measurements.

  2. Entanglement and Metrology with Singlet-Triplet Qubits

    NASA Astrophysics Data System (ADS)

    Shulman, Michael Dean

    Electron spins confined in semiconductor quantum dots are emerging as a promising system to study quantum information science and to perform sensitive metrology. Their weak interaction with the environment leads to long coherence times and robust storage for quantum information, and the intrinsic tunability of semiconductors allows for controllable operations, initialization, and readout of their quantum state. These spin qubits are also promising candidates for the building block for a scalable quantum information processor due to their prospects for scalability and miniaturization. However, several obstacles limit the performance of quantum information experiments in these systems. For example, the weak coupling to the environment makes inter-qubit operations challenging, and a fluctuating nuclear magnetic field limits the performance of single-qubit operations. The focus of this thesis will be several experiments which address some of the outstanding problems in semiconductor spin qubits, in particular, singlet-triplet (S-T0) qubits. We use these qubits to probe both the electric field and magnetic field noise that limit the performance of these qubits. The magnetic noise bath is probed with high bandwidth and precision using novel techniques borrowed from the field of Hamiltonian learning, which are effective due to the rapid control and readout available in S-T 0 qubits. These findings allow us to effectively undo the undesired effects of the fluctuating nuclear magnetic field by tracking them in real-time, and we demonstrate a 30-fold improvement in the coherence time T2*. We probe the voltage noise environment of the qubit using coherent qubit oscillations, which is partially enabled by control of the nuclear magnetic field. We find that the voltage noise bath is frequency-dependent, even at frequencies as high as 1MHz, and it shows surprising and, as of yet, unexplained temperature dependence. We leverage this knowledge of the voltage noise environment, the

  3. Frequency Standards and Metrology

    NASA Astrophysics Data System (ADS)

    Maleki, Lute

    2009-04-01

    Preface / Lute Maleki -- Symposium history / Jacques Vanier -- Symposium photos -- pt. I. Fundamental physics. Variation of fundamental constants from the big bang to atomic clocks: theory and observations (Invited) / V. V. Flambaum and J. C. Berengut. Alpha-dot or not: comparison of two single atom optical clocks (Invited) / T. Rosenband ... [et al.]. Variation of the fine-structure constant and laser cooling of atomic dysprosium (Invited) / N. A. Leefer ... [et al.]. Measurement of short range forces using cold atoms (Invited) / F. Pereira Dos Santos ... [et al.]. Atom interferometry experiments in fundamental physics (Invited) / S. W. Chiow ... [et al.]. Space science applications of frequency standards and metrology (Invited) / M. Tinto -- pt. II. Frequency & metrology. Quantum metrology with lattice-confined ultracold Sr atoms (Invited) / A. D. Ludlow ... [et al.]. LNE-SYRTE clock ensemble: new [symbol]Rb hyperfine frequency measurement - spectroscopy of [symbol]Hg optical clock transition (Invited) / M. Petersen ... [et al.]. Precise measurements of S-wave scattering phase shifts with a juggling atomic clock (Invited) / S. Gensemer ... [et al.]. Absolute frequency measurement of the [symbol] clock transition (Invited) / M. Chwalla ... [et al.]. The semiclassical stochastic-field/atom interaction problem (Invited) / J. Camparo. Phase and frequency noise metrology (Invited) / E. Rubiola ... [et al.]. Optical spectroscopy of atomic hydrogen for an improved determination of the Rydberg constant / J. L. Flowers ... [et al.] -- pt. III. Clock applications in space. Recent progress on the ACES mission (Invited) / L. Cacciapuoti and C. Salomon. The SAGAS mission (Invited) / P. Wolf. Small mercury microwave ion clock for navigation and radioScience (Invited) / J. D. Prestage ... [et al.]. Astro-comb: revolutionizing precision spectroscopy in astrophysics (Invited) / C. E. Kramer ... [et al.]. High frequency very long baseline interferometry: frequency standards and

  4. Metrology of Large Parts. Chapter 5

    NASA Technical Reports Server (NTRS)

    Stahl, H. Philip

    2012-01-01

    As discussed in the first chapter of this book, there are many different methods to measure a part using optical technology. Chapter 2 discussed the use of machine vision to measure macroscopic features such as length and position, which was extended to the use of interferometry as a linear measurement tool in chapter 3, and laser or other trackers to find the relation of key points on large parts in chapter 4. This chapter looks at measuring large parts to optical tolerances in the sub-micron range using interferometry, ranging, and optical tools discussed in the previous chapters. The purpose of this chapter is not to discuss specific metrology tools (such as interferometers or gauges), but to describe a systems engineering approach to testing large parts. Issues such as material warpage and temperature drifts that may be insignificant when measuring a part to micron levels under a microscope, as will be discussed in later chapters, can prove to be very important when making the same measurement over a larger part. In this chapter, we will define a set of guiding principles for successfully overcoming these challenges and illustrate the application of these principles with real world examples. While these examples are drawn from specific large optical testing applications, they inform the problems associated with testing any large part to optical tolerances. Manufacturing today relies on micrometer level part performance. Fields such as energy and transportation are demanding higher tolerances to provide increased efficiencies and fuel savings. By looking at how the optics industry approaches sub-micrometer metrology, one can gain a better understanding of the metrology challenges for any larger part specified to micrometer tolerances. Testing large parts, whether optical components or precision structures, to optical tolerances is just like testing small parts, only harder. Identical with what one does for small parts, a metrologist tests large parts and optics

  5. Investigations of interpolation errors of angle encoders for high precision angle metrology

    NASA Astrophysics Data System (ADS)

    Yandayan, Tanfer; Geckeler, Ralf D.; Just, Andreas; Krause, Michael; Asli Akgoz, S.; Aksulu, Murat; Grubert, Bernd; Watanabe, Tsukasa

    2018-06-01

    Interpolation errors at small angular scales are caused by the subdivision of the angular interval between adjacent grating lines into smaller intervals when radial gratings are used in angle encoders. They are often a major error source in precision angle metrology and better approaches for determining them at low levels of uncertainty are needed. Extensive investigations of interpolation errors of different angle encoders with various interpolators and interpolation schemes were carried out by adapting the shearing method to the calibration of autocollimators with angle encoders. The results of the laboratories with advanced angle metrology capabilities are presented which were acquired by the use of four different high precision angle encoders/interpolators/rotary tables. State of the art uncertainties down to 1 milliarcsec (5 nrad) were achieved for the determination of the interpolation errors using the shearing method which provides simultaneous access to the angle deviations of the autocollimator and of the angle encoder. Compared to the calibration and measurement capabilities (CMC) of the participants for autocollimators, the use of the shearing technique represents a substantial improvement in the uncertainty by a factor of up to 5 in addition to the precise determination of interpolation errors or their residuals (when compensated). A discussion of the results is carried out in conjunction with the equipment used.

  6. ProSens: integrated production control by automated inspection planning and efficient multisensor metrology

    NASA Astrophysics Data System (ADS)

    Glaser, Ulf; Li, Zhichao; Bichmann, Stephan, II; Pfeifer, Tilo

    2003-05-01

    By China's entry into the WTO, Chinese as well as German companies are facing the question, how to minimize the risk of unfamiliar cooperation partners when developing products. The rise of customer demands concerning quality, product diversity and the reduction of expenses require flexibility and efficiency with reliable component suppliers. In order to build and strengthen sino-german cooperations, a manufacturing control using homogenized and efficient measures to assure high quality is of vital importance. Lack of unifications may cause identical measurements conducted at subcontractors or customers to be carried out with different measurement processes which leads to incomparable results. Rapidly growing company cooperations and simultaneously decreasing of manufacturing scope cause substantial difficulties when coordinating joint quality control activities. "ProSens," a sino-german project consortium consisting of industrial users, technology producers and research institutes, aims at improving selected production processes by: Creation of a homogeneous quality awareness in sino-german cooperations. Sensitization for process accompanying metrology at an early stage of product development. Increase of the process performance by the use of integrated metrology. Reduction of production time and cost. Unification of quality control of complex products by means of efficient measurement strategies and CAD-based inspection planning.

  7. Development of an ultrasensitive interferometry system as a key to precision metrology applications

    NASA Astrophysics Data System (ADS)

    Gohlke, Martin; Schuldt, Thilo; Weise, Dennis; Johann, Ulrich; Peters, Achim; Braxmaier, Claus

    2009-06-01

    We present a symmetric heterodyne interferometer as a prototype of a highly sensitive translation and tilt measurement system. This compact optical metrology system was developed over the past several years by EADS Astrium (Friedrichshafen) in cooperation with the Humboldt-University (Berlin) and the university of applied science Konstanz (HTWG-Konstanz). The noise performance was tested at frequencies between 10-4 and 3 Hz, the noise levels are below 1 nm/Hz 1/2 for translation and below 1 μrad/Hz1/2, for tilt measurements. For frequencies higher than 10 mHz noise levels below 5pm/Hz1/2 and 4 nrad/Hz1/2 respectively, were demonstrated. Based on this highly sensitive metrology system we also developed a dilatometer for the characterization of the CTE (coefficient of thermal expansion) of various materials, i.e. CFRP (carbon fiber reinforced plastic) or Zerodur. The currently achieved sensitivity of these measurements is better than 10-7 K-1. Future planned applications of the interferometer include ultra-high-precision surface profiling and characterization of actuator noise in low-noise opto-mechanics setups. We will give an overview of the current experimental setup and the latest measurement results.

  8. Through-silicon via plating void metrology using focused ion beam mill

    NASA Astrophysics Data System (ADS)

    Rudack, A. C.; Nadeau, J.; Routh, R.; Young, R. J.

    2012-03-01

    3D IC integration continues to increase in complexity, employing advanced interconnect technologies such as throughsilicon vias (TSVs), wafer-to-wafer (W2W) bonding, and multi-chip stacking. As always, the challenge with developing new processes is to get fast, effective feedback to the integration engineer. Ideally this data is provided by nondestructive in-line metrology, but this is not always possible. For example, some form of physical cross-sectioning is still the most practical way to detect and characterize TSV copper plating voids. This can be achieved by cleaving, followed by scanning electron microscope (SEM) inspection. A more effective physical cross-sectioning method has been developed using an automated dual-beam focused ion beam (FIB)-SEM system, in which multiple locations can be sectioned and imaged while leaving the wafer intact. This method has been used routinely to assess copper plating voids over the last 24 months at SEMATECH. FIB-SEM feedback has been used to evaluate new plating chemistries, plating recipes, and process tool requalification after downtime. The dualbeam FIB-SEM used for these studies employs a gallium-based liquid metal ion source (LMIS). The overall throughput of relatively large volumes being milled is limited to 3-4 hours per section due to the maximum available beam current of 20 nA. Despite the larger volumetric removal rates of other techniques (e.g., mechanical polishing, broad-ion milling, and laser ablation), the value of localized, site-specific, and artifact-free FIB milling is well appreciated. The challenge, therefore, has been to reap the desired FIB benefits, but at faster volume removal rates. This has led to several system and technology developments for improving the throughput of the FIB technique, the most recent being the introduction of FIBs based on an inductively coupled plasma (ICP) ion source. The ICP source offers much better performance than the LMIS at very high beam currents, enabling more than

  9. Measuring self-aligned quadruple patterning pitch walking with scatterometry-based metrology utilizing virtual reference

    NASA Astrophysics Data System (ADS)

    Kagalwala, Taher; Vaid, Alok; Mahendrakar, Sridhar; Lenahan, Michael; Fang, Fang; Isbester, Paul; Shifrin, Michael; Etzioni, Yoav; Cepler, Aron; Yellai, Naren; Dasari, Prasad; Bozdog, Cornel

    2016-10-01

    Advanced technology nodes, 10 nm and beyond, employing multipatterning techniques for pitch reduction pose new process and metrology challenges in maintaining consistent positioning of structural features. A self-aligned quadruple patterning (SAQP) process is used to create the fins in FinFET devices with pitch values well below optical lithography limits. The SAQP process bears the compounding effects from successive reactive ion etch and spacer depositions. These processes induce a shift in the pitch value from one fin compared to another neighboring fin. This is known as pitch walking. Pitch walking affects device performance as well as later processes, which work on an assumption that there is consistent spacing between fins. In SAQP, there are three pitch walking parameters of interest, each linked to specific process steps in the flow. These pitch walking parameters are difficult to discriminate at a specific process step by singular evaluation technique or even with reference metrology, such as transmission electron microscopy. We will utilize a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow.

  10. Conceptual design of new metrology laboratories for the National Physical Laboratory, United Kingdom

    NASA Astrophysics Data System (ADS)

    Manning, Christopher J.

    1994-10-01

    The National Physical Laboratory is planning to house the Division of Mechanical and Optical Metrology and the Division of Material Metrology in a new purpose built laboratory building on its site at Teddington, London, England. The scientific staff were involved in identifying and agreeing the vibration performance requirements of the conceptual design. This was complemented by an extensive surgery of vibration levels within the existing facilities and ambient vibration studies at the proposed site. At one end of the site there is significant vibration input from road traffic. Some of the test equipment is also in itself a source of vibration input. These factors, together with normal occupancy inputs, footfalls and door slams, and a highly serviced building led to vibration being dominant in influencing the structural form. The resulting structural concept comprises three separate structural elements for vibration and geotechnical reasons. The laboratories most sensitive to disturbance by vibration are located at the end of the site farthest from local roads on a massive ground bearing slab. Less sensitive laboratories and those containing vibration sources are located on a massive slab in deep, piled foundations. A common central plant area is located alongside on its own massive slab. Medium sensitivity laboratories and offices are located at first floor level on a reinforced concrete suspended floor of maximum stiffness per unit mass. The whole design has been such as to permit upgrading of areas, eg office to laboratory; laboratory to `high sensitivity' laboratory, to cater for changes in future use of the building.

  11. Optical metrology at the Optical Sciences Center: an historical review

    NASA Astrophysics Data System (ADS)

    Creath, Katherine; Parks, Robert E.

    2014-10-01

    The Optical Sciences Center (OSC) begun as a graduate-level applied optics teaching institution to support the US space effort. The making of optics representative of those used in other space programs was deemed essential. This led to the need for optical metrology: at first Hartmann tests, but almost immediately to interferometric tests using the newly invented HeNe laser. Not only were new types of interferometers needed, but the whole infrastructure that went with testing, fringe location methods, aberration removal software and contour map generation to aid the opticians during polishing needed to be developed. Over the last half century more rapid and precise methods of interferogram data reduction, surface roughness measurement, and methods of instrument calibration to separate errors from those in the optic have been pioneered at OSC. Other areas of research included null lens design and the writing of lens design software that led into the design of computer generated holograms for asphere testing. More recently work has been done on the reduction of speckle noise in interferograms, methods to test large convex aspheres, and a return to slope measuring tests to increase the dynamic range of the types of aspheric surfaces amenable to optical testing including free-form surfaces. This paper documents the history of the development of optical testing projects at OSC and highlights the contributions some of the individuals associated with new methods of testing and the infrastructure needed to support the testing. We conclude with comments about the future trends optical metrology.

  12. Automatic three-dimensional measurement of large-scale structure based on vision metrology.

    PubMed

    Zhu, Zhaokun; Guan, Banglei; Zhang, Xiaohu; Li, Daokui; Yu, Qifeng

    2014-01-01

    All relevant key techniques involved in photogrammetric vision metrology for fully automatic 3D measurement of large-scale structure are studied. A new kind of coded target consisting of circular retroreflective discs is designed, and corresponding detection and recognition algorithms based on blob detection and clustering are presented. Then a three-stage strategy starting with view clustering is proposed to achieve automatic network orientation. As for matching of noncoded targets, the concept of matching path is proposed, and matches for each noncoded target are found by determination of the optimal matching path, based on a novel voting strategy, among all possible ones. Experiments on a fixed keel of airship have been conducted to verify the effectiveness and measuring accuracy of the proposed methods.

  13. A Toolbox of Metrology-Based Techniques for Optical System Alignment

    NASA Technical Reports Server (NTRS)

    Coulter, Phillip; Ohl, Raymond G.; Blake, Peter N.; Bos, Brent J.; Casto, Gordon V.; Eichhorn, William L.; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hagopian, John G.; Hayden, Joseph E.; hide

    2016-01-01

    The NASA Goddard Space Flight Center (GSFC) and its partners have broad experience in the alignment of flight optical instruments and spacecraft structures. Over decades, GSFC developed alignment capabilities and techniques for a variety of optical and aerospace applications. In this paper, we provide an overview of a subset of the capabilities and techniques used on several recent projects in a toolbox format. We discuss a range of applications, from small-scale optical alignment of sensors to mirror and bench examples that make use of various large-volume metrology techniques. We also discuss instruments and analytical tools.

  14. A Toolbox of Metrology-Based Techniques for Optical System Alignment

    NASA Technical Reports Server (NTRS)

    Coulter, Phillip; Ohl, Raymond G.; Blake, Peter N.; Bos, Brent J.; Eichhorn, William L.; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hagopian, John G.; Hayden, Joseph E.; Hetherington, Samuel E.; hide

    2016-01-01

    The NASA Goddard Space Flight Center (GSFC) and its partners have broad experience in the alignment of flight optical instruments and spacecraft structures. Over decades, GSFC developed alignment capabilities and techniques for a variety of optical and aerospace applications. In this paper, we provide an overview of a subset of the capabilities and techniques used on several recent projects in a "toolbox" format. We discuss a range of applications, from small-scale optical alignment of sensors to mirror and bench examples that make use of various large-volume metrology techniques. We also discuss instruments and analytical tools.

  15. An Optical Lever For The Metrology Of Grazing Incidence Optics

    NASA Astrophysics Data System (ADS)

    DeCew, Alan E.; Wagner, Robert W.

    1986-11-01

    Research Optics & Development, Inc. is using a slope tracing profilometer to measure the figure of optical surfaces which cannot be measured conveniently by interferometric means. As a metrological tool, the technique has its greatest advantage as an in-process easurement system. An optician can easily convert from polishing to measurement in less than a minute of time. This rapid feedback allows figure correction with minimal wasted effort and setup time. The present configuration of the slope scanner provides resolutions to 1 micro-radian. By implementing minor modifications, the resolution could be improved by an order of magnitude.

  16. Fast and accurate: high-speed metrological large-range AFM for surface and nanometrology

    NASA Astrophysics Data System (ADS)

    Dai, Gaoliang; Koenders, Ludger; Fluegge, Jens; Hemmleb, Matthias

    2018-05-01

    Low measurement speed remains a major shortcoming of the scanning probe microscopic technique. It not only leads to a low measurement throughput, but a significant measurement drift over the long measurement time needed (up to hours or even days). To overcome this challenge, PTB, the national metrology institute of Germany, has developed a high-speed metrological large-range atomic force microscope (HS Met. LR-AFM) capable of measuring speeds up to 1 mm s‑1. This paper has introduced the design concept in detail. After modelling scanning probe microscopic measurements, our results suggest that the signal spectrum of the surface to be measured is the spatial spectrum of the surface scaled by the scanning speed. The higher the scanning speed , the broader the spectrum to be measured. To realise an accurate HS Met. LR-AFM, our solution is to combine different stages/sensors synchronously in measurements, which provide a much larger spectrum area for high-speed measurement capability. Two application examples have been demonstrated. The first is a new concept called reference areal surface metrology. Using the developed HS Met. LR-AFM, surfaces are measured accurately and traceably at a speed of 500 µm s‑1 and the results are applied as a reference 3D data map of the surfaces. By correlating the reference 3D data sets and 3D data sets of tools under calibration, which are measured at the same surface, it has the potential to comprehensively characterise the tools, for instance, the spectrum properties of the tools. The investigation results of two commercial confocal microscopes are demonstrated, indicating very promising results. The second example is the calibration of a kind of 3D nano standard, which has spatially distributed landmarks, i.e. special unique features defined by 3D-coordinates. Experimental investigations confirmed that the calibration accuracy is maintained at a measurement speed of 100 µm s‑1, which improves the calibration efficiency by a

  17. New method of 2-dimensional metrology using mask contouring

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  18. Robust symmetry-protected metrology with the Haldane phase

    NASA Astrophysics Data System (ADS)

    Bartlett, Stephen D.; Brennen, Gavin K.; Miyake, Akimasa

    2018-01-01

    We propose a metrology scheme that is made robust to a wide range of noise processes by using the passive, error-preventing properties of symmetry-protected topological phases. The so-called fractionalized edge mode of an antiferromagnetic Heisenberg spin-1 chain in a rotationally- symmetric Haldane phase can be used to measure the direction of an unknown electric field, by exploiting the way in which the field direction reduces the symmetry of the chain. Specifically, the direction (and when supplementing with a known background field, also the strength) of the field is registered in the holonomy under an adiabatic sensing protocol, and the degenerate fractionalized edge mode is protected through this process by the remaining reduced symmetry. We illustrate the scheme with respect to a potential realization by Rydberg dressed atoms.

  19. Calibration of space instruments at the Metrology Light Source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klein, R., E-mail: roman.klein@ptb.de; Fliegauf, R.; Gottwald, A.

    2016-07-27

    PTB has more than 20 years of experience in the calibration of space-based instruments using synchrotron radiation to cover the UV, VUV and X-ray spectral range. New instrumentation at the electron storage ring Metrology Light Source (MLS) opens up extended calibration possibilities within this framework. In particular, the set-up of a large vacuum vessel that can accommodate entire space instruments opens up new prospects. Moreover, a new facility for the calibration of radiation transfer source standards with a considerably extended spectral range has been put into operation. Besides, characterization and calibration of single components like e.g. mirrors, filters, gratings, andmore » detectors is continued.« less

  20. Analytical robustness of quantitative NIR chemical imaging for Islamic paper characterization

    NASA Astrophysics Data System (ADS)

    Mahgoub, Hend; Gilchrist, John R.; Fearn, Thomas; Strlič, Matija

    2017-07-01

    Recently, spectral imaging techniques such as Multispectral (MSI) and Hyperspectral Imaging (HSI) have gained importance in the field of heritage conservation. This paper explores the analytical robustness of quantitative chemical imaging for Islamic paper characterization by focusing on the effect of different measurement and processing parameters, i.e. acquisition conditions and calibration on the accuracy of the collected spectral data. This will provide a better understanding of the technique that can provide a measure of change in collections through imaging. For the quantitative model, special calibration target was devised using 105 samples from a well-characterized reference Islamic paper collection. Two material properties were of interest: starch sizing and cellulose degree of polymerization (DP). Multivariate data analysis methods were used to develop discrimination and regression models which were used as an evaluation methodology for the metrology of quantitative NIR chemical imaging. Spectral data were collected using a pushbroom HSI scanner (Gilden Photonics Ltd) in the 1000-2500 nm range with a spectral resolution of 6.3 nm using a mirror scanning setup and halogen illumination. Data were acquired at different measurement conditions and acquisition parameters. Preliminary results showed the potential of the evaluation methodology to show that measurement parameters such as the use of different lenses and different scanning backgrounds may not have a great influence on the quantitative results. Moreover, the evaluation methodology allowed for the selection of the best pre-treatment method to be applied to the data.

  1. Optical metrology for testing an all-composite 2-meter diameter mirror

    NASA Technical Reports Server (NTRS)

    Catanzaro, B.; Thomas, James A.; Small, D.; Johnston, R.; Barber, D.; Connell, S.; Whitmore, S.; Cohen, E.

    2001-01-01

    The Herschel Space Observatory (formerly known as FIRST) consists of a 3.5 m space telescope designed for use in the long IR and sub-milimeter wavebands. To demonstrate the viability of a carbon fiber composite telescope for this application, Composite Optics Incorporated (COI) manufactured a fast (f/1), large (2 m), lightweight (10.1 kg/m squared) demonstration mirror. A key challenge in demonstrating the performance of this novel mirror was to characterize the surface accuracy at cryogenic (70 K) temperatures. A wide variety of optical metrology techniques were investigated and a brief survey of empirical test results and limitations of the various techniques will be presented in this paper. Two complementary infrared (IR)techniques operating at a wavelength of 10.6 microns were chosen for further development: (1) IR Twyman-Green Phase Shifting Interferometry (IR PSI) and (2) IR Shack-Hartmann (IR SH) Wavefront Sensing. Innovative design modifications made to an existing IR PSI to achieve high-resolution, scannable, infrared measurements of the composite mirror are described. The modified interferometer was capable of measuring surface gradients larger than 350 microradians. The design and results of measurements made with a custom-built IR SH Wavefrong Sensor operating at 10.6 microns are also presented. A compact experimental setup permitting simultaneous operation of both the IR PSI and IR SH tools is shown. The advantages and the limitations of the two key IR metrology tools are discussed.

  2. An interferometer for high-resolution optical surveillance from GEO - internal metrology breadboard

    NASA Astrophysics Data System (ADS)

    Bonino, L.; Bresciani, F.; Piasini, G.; Pisani, M.; Cabral, A.; Rebordão, J.; Musso, F.

    2017-11-01

    This paper describes the internal metrology breadboard development activities performed in the frame of the EUCLID CEPA 9 RTP 9.9 "High Resolution Optical Satellite Sensor" project of the WEAO Research Cell by AAS-I and INETI. The Michelson Interferometer Testbed demonstrates the possibility of achieving a cophasing condition between two arms of the optical interferometer starting from a large initial white light Optical Path Difference (OPD) unbalance and of maintaining the fringe pattern stabilized in presence of disturbances.

  3. Polarizability of Helium, Neon, and Argon: New Perspectives for Gas Metrology

    NASA Astrophysics Data System (ADS)

    Gaiser, Christof; Fellmuth, Bernd

    2018-03-01

    With dielectric-constant gas thermometry, the molar polarizability of helium, neon, and argon has been determined with relative standard uncertainties of about 2 parts per million. A series of isotherms measured with the three noble gases and two different experimental setups led to this unprecedented level of uncertainty. These data are crucial for scientists in the field of gas metrology, working on pressure and temperature standards. Furthermore, with the new benchmark values for neon and argon, theoretical calculations, today about 3 orders of magnitude larger in uncertainty, can be checked and improved.

  4. Polarizability of Helium, Neon, and Argon: New Perspectives for Gas Metrology.

    PubMed

    Gaiser, Christof; Fellmuth, Bernd

    2018-03-23

    With dielectric-constant gas thermometry, the molar polarizability of helium, neon, and argon has been determined with relative standard uncertainties of about 2 parts per million. A series of isotherms measured with the three noble gases and two different experimental setups led to this unprecedented level of uncertainty. These data are crucial for scientists in the field of gas metrology, working on pressure and temperature standards. Furthermore, with the new benchmark values for neon and argon, theoretical calculations, today about 3 orders of magnitude larger in uncertainty, can be checked and improved.

  5. 77 FR 25406 - Consortium on “Concrete Rheology: Enabling Metrology (CREME)”: Membership Fee Update

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-04-30

    ... Technology (NIST) published a notice of a public meeting, which was held on November 8, 2011, to explore the feasibility of establishing a NIST/Industry Consortium on Concrete Rheology: Enabling Metrology (CREME)''. The... INFORMATION CONTACT: Chiara Ferraris or Nicos Martys via email at [email protected]nist.gov ; [email protected]nist...

  6. Microwave monolithic integrated circuit-related metrology at the National Institute of Standards and Technology

    NASA Astrophysics Data System (ADS)

    Reeve, Gerome; Marks, Roger; Blackburn, David

    1990-12-01

    How the National Institute of Standards and Technology (NIST) interacts with the GaAs community and the Defense Advanced Research Projects Agency microwave monolithic integrated circuit (MMIC) initiative is described. The organization of a joint industry and government laboratory consortium for MMIC-related metrology research is described along with some of the initial technical developments at NIST done in support of the consortium.

  7. Metrologically Traceable Determination of the Water Content in Biopolymers: INRiM Activity

    NASA Astrophysics Data System (ADS)

    Rolle, F.; Beltramino, G.; Fernicola, V.; Sega, M.; Verdoja, A.

    2017-03-01

    Water content in materials is a key factor affecting many chemical and physical properties. In polymers of biological origin, it influences their stability and mechanical properties as well as their biodegradability. The present work describes the activity carried out at INRiM on the determination of water content in samples of a commercial starch-derived biopolymer widely used in shopping bags (Mater-Bi^{circledR }). Its water content, together with temperature, is the most influencing parameter affecting its biodegradability, because of the considerable impact on the microbial activity which is responsible for the biopolymer degradation in the environment. The main scope of the work was the establishment of a metrologically traceable procedure for the determination of water content by using two electrochemical methods, namely coulometric Karl Fischer (cKF) titration and evolved water vapour (EWV) analysis. The obtained results are presented. The most significant operational parameters were considered, and a particular attention was devoted to the establishment of metrological traceability of the measurement results by using appropriate calibration procedures, calibrated standards and suitable certified reference materials. Sample homogeneity and oven-drying temperature were found to be the most important influence quantities in the whole water content measurement process. The results of the two methods were in agreement within the stated uncertainties. Further development is foreseen for the application of cKF and EWV to other polymers.

  8. Quantitative measurements of electromechanical response with a combined optical beam and interferometric atomic force microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Labuda, Aleksander; Proksch, Roger

    An ongoing challenge in atomic force microscope (AFM) experiments is the quantitative measurement of cantilever motion. The vast majority of AFMs use the optical beam deflection (OBD) method to infer the deflection of the cantilever. The OBD method is easy to implement, has impressive noise performance, and tends to be mechanically robust. However, it represents an indirect measurement of the cantilever displacement, since it is fundamentally an angular rather than a displacement measurement. Here, we demonstrate a metrological AFM that combines an OBD sensor with a laser Doppler vibrometer (LDV) to enable accurate measurements of the cantilever velocity and displacement.more » The OBD/LDV AFM allows a host of quantitative measurements to be performed, including in-situ measurements of cantilever oscillation modes in piezoresponse force microscopy. As an example application, we demonstrate how this instrument can be used for accurate quantification of piezoelectric sensitivity—a longstanding goal in the electromechanical community.« less

  9. SEM contour based metrology for microlens process studies in CMOS image sensor technologies

    NASA Astrophysics Data System (ADS)

    Lakcher, Amine; Ostrovsky, Alain; Le-Gratiet, Bertrand; Berthier, Ludovic; Bidault, Laurent; Ducoté, Julien; Jamin-Mornet, Clémence; Mortini, Etienne; Besacier, Maxime

    2018-03-01

    From the first digital cameras which appeared during the 70s to cameras of current smartphones, image sensors have undergone significant technological development in the last decades. The development of CMOS image sensor technologies in the 90s has been the main driver of the recent progresses. The main component of an image sensor is the pixel. A pixel contains a photodiode connected to transistors but only the photodiode area is light sensitive. This results in a significant loss of efficiency. To solve this issue, microlenses are used to focus the incident light on the photodiode. A microlens array is made out of a transparent material and has a spherical cap shape. To obtain this spherical shape, a lithography process is performed to generate resist blocks which are then annealed above their glass transition temperature (reflow). Even if the dimensions to consider are higher than in advanced IC nodes, microlenses are sensitive to process variability during lithography and reflow. A good control of the microlens dimensions is key to optimize the process and thus the performance of the final product. The purpose of this paper is to apply SEM contour metrology [1, 2, 3, 4] to microlenses in order to develop a relevant monitoring methodology and to propose new metrics to engineers to evaluate their process or optimize the design of the microlens arrays.

  10. Forensic-metrological considerations on assessment of compliance (or non-compliance) in forensic blood alcohol content determinations: A case study with software application.

    PubMed

    Zamengo, Luca; Frison, Giampietro; Tedeschi, Gianpaola; Frasson, Samuela

    2016-08-01

    Blood alcohol concentration is the most frequent analytical determination carried out in forensic toxicology laboratories worldwide. It is usually required to assess if an offence has been committed by comparing blood alcohol levels with specified legal limits, which can vary widely among countries. Due to possible serious legal consequences associated with non-compliant alcohol levels, measurement uncertainty should be carefully evaluated, along with other metrological aspects which can influence the final result. The whole procedure can be time-consuming and error-generating in routine practice, increasing the risks for unreliable assessments. A software application named Ethanol WorkBook (EtWB) was developed at the author's laboratory by using Visual Basic for Application language and MS Excel(®), with the aim of providing help to forensic analysts involved in blood alcohol determinations. The program can (i) calculate measurement uncertainties and decision limits with different methodologies; (ii) assess compliance to specification limits with a guard-band approach; (iii) manage quality control (QC) data and create control charts for QC samples; (iv) create control maps from real cases data archives; (v) provide laboratory reports with graphical outputs for elaborated data and (vi) create comprehensive searchable case archives. A typical example of drink driving case is presented and discussed to illustrate the importance of a metrological approach for reliable compliance assessment and to demonstrate software application in routine practice. The tool is made freely available to the scientific community at request. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  11. Air temperature sensors: dependence of radiative errors on sensor diameter in precision metrology and meteorology

    NASA Astrophysics Data System (ADS)

    de Podesta, Michael; Bell, Stephanie; Underwood, Robin

    2018-04-01

    In both meteorological and metrological applications, it is well known that air temperature sensors are susceptible to radiative errors. However, it is not widely known that the radiative error measured by an air temperature sensor in flowing air depends upon the sensor diameter, with smaller sensors reporting values closer to true air temperature. This is not a transient effect related to sensor heat capacity, but a fluid-dynamical effect arising from heat and mass flow in cylindrical geometries. This result has been known historically and is in meteorology text books. However, its significance does not appear to be widely appreciated and, as a consequence, air temperature can be—and probably is being—widely mis-estimated. In this paper, we first review prior descriptions of the ‘sensor size’ effect from the metrological and meteorological literature. We develop a heat transfer model to describe the process for cylindrical sensors, and evaluate the predicted temperature error for a range of sensor sizes and air speeds. We compare these predictions with published predictions and measurements. We report measurements demonstrating this effect in two laboratories at NPL in which the air flow and temperature are exceptionally closely controlled. The results are consistent with the heat-transfer model, and show that the air temperature error is proportional to the square root of the sensor diameter and that, even under good laboratory conditions, it can exceed 0.1 °C for a 6 mm diameter sensor. We then consider the implications of this result. In metrological applications, errors of the order of 0.1 °C are significant, representing limiting uncertainties in dimensional and mass measurements. In meteorological applications, radiative errors can easily be much larger. But in both cases, an understanding of the diameter dependence allows assessment and correction of the radiative error using a multi-sensor technique.

  12. A universal quantum module for quantum communication, computation, and metrology

    NASA Astrophysics Data System (ADS)

    Hanks, Michael; Lo Piparo, Nicolò; Trupke, Michael; Schmiedmayer, Jorg; Munro, William J.; Nemoto, Kae

    2017-08-01

    In this work, we describe a simple module that could be ubiquitous for quantum information based applications. The basic modules comprises a single NV- center in diamond embedded in an optical cavity, where the cavity mediates interactions between photons and the electron spin (enabling entanglement distribution and efficient readout), while the nuclear spins constitutes a long-lived quantum memories capable of storing and processing quantum information. We discuss how a network of connected modules can be used for distributed metrology, communication and computation applications. Finally, we investigate the possible use of alternative diamond centers (SiV/GeV) within the module and illustrate potential advantages.

  13. The 2014 Annual(MCWG)Annual Metrology and Calibration Working Gr

    NASA Image and Video Library

    2014-05-07

    Annual Metrology and Calibration Working Group Face to Face meeting held at Langley Research Center; Front Row (L to R): Stacy Sigmon – LaRC, Brent Watling – JSC, Ralph Hickman – WFF, Kirk Foster – MSFC, Don Wilson – SSC, Greg Boyd – JSC, Perry King – KSC, Bobby Price – GSFC, Felicia Donnell – GSFC, Perry LaRosa – GRC. Second Row (L to R): Ken Mathews – KSC, Darrell Shoup – WSTF, Gary Kennedy – MSFC, Terry Fleet – GRC, Damon Flansburg – ARC, Salvatore Tomaselli - WFF, Bruce Farner - SSC, David Scott – JPL, Jim Wachter – KSC, Shawn Britton – LaRC.

  14. Line-width roughness of advanced semiconductor features by using FIB and planar-TEM as reference metrology

    NASA Astrophysics Data System (ADS)

    Takamasu, Kiyoshi; Takahashi, Satoru; Kawada, Hiroki; Ikota, Masami

    2018-03-01

    LER (Line Edge Roughness) and LWR (Line Width Roughness) of the semiconductor device are an important evaluation scale of the performance of the device. Conventionally, LER and LWR is evaluated from CD-SEM (Critical Dimension Scanning Electron Microscope) images. However, CD-SEM measurement has a problem that high frequency random noise is large, and resolution is not sufficiently high. For random noise of CD-SEM measurement, some techniques are proposed. In these methods, it is necessary to set parameters for model and processing, and it is necessary to verify the correctness of these parameters using reference metrology. We have already proposed a novel reference metrology using FIB (Focused Ion Beam) process and planar-TEM (Transmission Electron Microscope) method. In this study, we applied the proposed method to three new samples such as SAQP (Self-Aligned Quadruple Patterning) FinFET device, EUV (Extreme Ultraviolet Lithography) conventional resist, and EUV new material resist. LWR and PSD (Power Spectral Density) of LWR are calculated from the edge positions on planar-TEM images. We confirmed that LWR and PSD of LWR can be measured with high accuracy and evaluated the difference by the proposed method. Furthermore, from comparisons with PSD of the same sample by CD-SEM, the validity of measurement of PSD and LWR by CD-SEM can be verified.

  15. Social profit in the context of the activities at Fluids Measurement Sector in Legal Metrology Department - Inmetro

    NASA Astrophysics Data System (ADS)

    Cinelli, L. R.; Silva, L. G.; Junior, E. A.; Almeida, R. O.

    2018-03-01

    This article was prepared in the context of the work of the Fluids Measurement Sector (Seflu) of the Legal Metrology Department of Inmetro (Dimel) in order to try to answer the following question: What is the magnitude of Social Profit generated for brazilian society from the existence of legal control of measuring instruments within the scope of this sector? In this sense, some examples of a case study containing the main measurement instruments related to the evaluation process of models performed at the Seflu are presented.

  16. Sensitivity, accuracy, and precision issues in opto-electronic holography based on fiber optics and high-spatial- and high-digitial-resolution cameras

    NASA Astrophysics Data System (ADS)

    Furlong, Cosme; Yokum, Jeffrey S.; Pryputniewicz, Ryszard J.

    2002-06-01

    Sensitivity, accuracy, and precision characteristics in quantitative optical metrology techniques, and specifically in optoelectronic holography based on fiber optics and high-spatial and high-digital resolution cameras, are discussed in this paper. It is shown that sensitivity, accuracy, and precision dependent on both, the effective determination of optical phase and the effective characterization of the illumination-observation conditions. Sensitivity, accuracy, and precision are investigated with the aid of National Institute of Standards and Technology (NIST) traceable gages, demonstrating the applicability of quantitative optical metrology techniques to satisfy constantly increasing needs for the study and development of emerging technologies.

  17. 64nm pitch metal1 double patterning metrology: CD and OVL control by SEMCD, image based overlay and diffraction based overlay

    NASA Astrophysics Data System (ADS)

    Ducoté, Julien; Dettoni, Florent; Bouyssou, Régis; Le-Gratiet, Bertrand; Carau, Damien; Dezauzier, Christophe

    2015-03-01

    Patterning process control of advanced nodes has required major changes over the last few years. Process control needs of critical patterning levels since 28nm technology node is extremely aggressive showing that metrology accuracy/sensitivity must be finely tuned. The introduction of pitch splitting (Litho-Etch-Litho-Etch) at 14FDSOInm node requires the development of specific metrologies to adopt advanced process control (for CD, overlay and focus corrections). The pitch splitting process leads to final line CD uniformities that are a combination of the CD uniformities of the two exposures, while the space CD uniformities are depending on both CD and OVL variability. In this paper, investigations of CD and OVL process control of 64nm minimum pitch at Metal1 level of 14FDSOI technology, within the double patterning process flow (Litho, hard mask etch, line etch) are presented. Various measurements with SEMCD tools (Hitachi), and overlay tools (KT for Image Based Overlay - IBO, and ASML for Diffraction Based Overlay - DBO) are compared. Metrology targets are embedded within a block instanced several times within the field to perform intra-field process variations characterizations. Specific SEMCD targets were designed for independent measurement of both line CD (A and B) and space CD (A to B and B to A) for each exposure within a single measurement during the DP flow. Based on those measurements correlation between overlay determined with SEMCD and with standard overlay tools can be evaluated. Such correlation at different steps through the DP flow is investigated regarding the metrology type. Process correction models are evaluated with respect to the measurement type and the intra-field sampling.

  18. History of reference materials for food and nutrition metrology: As represented in the series of BERM Symposia

    USDA-ARS?s Scientific Manuscript database

    Establishment of a metrology-based measurement system requires the solid foundation of traceability of measurements to available, appropriate certified reference materials (CRM). In the early 1970s the first “biological” Reference Material (RM) of Bowens Kale, Orchard Leaves, and Bovine Liver from ...

  19. Characterizing opto-electret based paper speakers by using a real-time projection Moiré metrology system

    NASA Astrophysics Data System (ADS)

    Chang, Ya-Ling; Hsu, Kuan-Yu; Lee, Chih-Kung

    2016-03-01

    Advancement of distributed piezo-electret sensors and actuators facilitates various smart systems development, which include paper speakers, opto-piezo/electret bio-chips, etc. The array-based loudspeaker system possess several advantages over conventional coil speakers, such as light-weightness, flexibility, low power consumption, directivity, etc. With the understanding that the performance of the large-area piezo-electret loudspeakers or even the microfluidic biochip transport behavior could be tailored by changing their dynamic behaviors, a full-field real-time high-resolution non-contact metrology system was developed. In this paper, influence of the resonance modes and the transient vibrations of an arraybased loudspeaker system on the acoustic effect were measured by using a real-time projection moiré metrology system and microphones. To make the paper speaker even more versatile, we combine the photosensitive material TiOPc into the original electret loudspeaker. The vibration of this newly developed opto-electret loudspeaker could be manipulated by illuminating different light-intensity patterns. Trying to facilitate the tailoring process of the opto-electret loudspeaker, projection moiré was adopted to measure its vibration. By recording the projected fringes which are modulated by the contours of the testing sample, the phase unwrapping algorithm can give us a continuous phase distribution which is proportional to the object height variations. With the aid of the projection moiré metrology system, the vibrations associated with each distinctive light pattern could be characterized. Therefore, we expect that the overall acoustic performance could be improved by finding the suitable illuminating patterns. In this manuscript, the system performance of the projection moiré and the optoelectret paper speakers were cross-examined and verified by the experimental results obtained.

  20. Applying Metrological Techniques to Satellite Fundamental Climate Data Records

    NASA Astrophysics Data System (ADS)

    Woolliams, Emma R.; Mittaz, Jonathan PD; Merchant, Christopher J.; Hunt, Samuel E.; Harris, Peter M.

    2018-02-01

    Quantifying long-term environmental variability, including climatic trends, requires decadal-scale time series of observations. The reliability of such trend analysis depends on the long-term stability of the data record, and understanding the sources of uncertainty in historic, current and future sensors. We give a brief overview on how metrological techniques can be applied to historical satellite data sets. In particular we discuss the implications of error correlation at different spatial and temporal scales and the forms of such correlation and consider how uncertainty is propagated with partial correlation. We give a form of the Law of Propagation of Uncertainties that considers the propagation of uncertainties associated with common errors to give the covariance associated with Earth observations in different spectral channels.

  1. Achieving the Heisenberg limit in quantum metrology using quantum error correction.

    PubMed

    Zhou, Sisi; Zhang, Mengzhen; Preskill, John; Jiang, Liang

    2018-01-08

    Quantum metrology has many important applications in science and technology, ranging from frequency spectroscopy to gravitational wave detection. Quantum mechanics imposes a fundamental limit on measurement precision, called the Heisenberg limit, which can be achieved for noiseless quantum systems, but is not achievable in general for systems subject to noise. Here we study how measurement precision can be enhanced through quantum error correction, a general method for protecting a quantum system from the damaging effects of noise. We find a necessary and sufficient condition for achieving the Heisenberg limit using quantum probes subject to Markovian noise, assuming that noiseless ancilla systems are available, and that fast, accurate quantum processing can be performed. When the sufficient condition is satisfied, a quantum error-correcting code can be constructed that suppresses the noise without obscuring the signal; the optimal code, achieving the best possible precision, can be found by solving a semidefinite program.

  2. Metrological issues related to BRDF measurements around the specular direction in the particular case of glossy surfaces

    NASA Astrophysics Data System (ADS)

    Obein, Gaël.; Audenaert, Jan; Ged, Guillaume; Leloup, Frédéric B.

    2015-03-01

    Among the complete bidirectional reflectance distribution function (BRDF), visual gloss is principally related to physical reflection characteristics located around the specular reflection direction. This particular part of the BRDF is usually referred to as the specular peak. A good starting point for the physical description of gloss could be to measure the reflection properties around this specular peak. Unfortunately, such a characterization is not trivial, since for glossy surfaces the width of the specular peak can become very narrow (typically a full width at half maximum inferior to 0.5° is encountered). In result, new BRDF measurement devices with a very small solid angle of detection are being introduced. Yet, differences in the optical design of BRDF measurement instruments engender different measurement results for the same specimen, complicating direct comparison of the measurement results. This issue is addressed in this paper. By way of example, BRDF measurement results of two samples, one being matte and the other one glossy, obtained by use of two high level goniospectrophotometers with a different optical design, are described. Important discrepancies in the results of the glossy sample are discussed. Finally, luminance maps obtained from renderings with the acquired BRDF data are presented, exemplifying the large visual differences that might be obtained. This stresses the metrological aspects that must be known for using BRDF data. Indeed, the comprehension of parameters affecting the measurement results is an inevitable step towards progress in the metrology of surface gloss, and thus towards a better metrology of appearance in general.

  3. The coming of age of the first hybrid metrology software platform dedicated to nanotechnologies (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Foucher, Johann; Labrosse, Aurelien; Dervillé, Alexandre; Zimmermann, Yann; Bernard, Guilhem; Martinez, Sergio; Grönqvist, Hanna; Baderot, Julien; Pinzan, Florian

    2017-03-01

    The development and integration of new materials and structures at the nanoscale require multiple parallel characterizations in order to control mostly physico-chemical properties as a function of applications. Among all properties, we can list physical properties such as: size, shape, specific surface area, aspect ratio, agglomeration/aggregation state, size distribution, surface morphology/topography, structure (including crystallinity and defect structure), solubility and chemical properties such as: structural formula/molecular structure, composition (including degree of purity, known impurities or additives), phase identity, surface chemistry (composition, charge, tension, reactive sites, physical structure, photocatalytic properties, zeta potential), hydrophilicity/lipophilicity. Depending on the final material formulation (aerosol, powder, nanostructuration…) and the industrial application (semiconductor, cosmetics, chemistry, automotive…), a fleet of complementary characterization equipments must be used in synergy for accurate process tuning and high production yield. The synergy between equipment so-called hybrid metrology consists in using the strength of each technique in order to reduce the global uncertainty for better and faster process control. The only way to succeed doing this exercise is to use data fusion methodology. In this paper, we will introduce the work that has been done to create the first generic hybrid metrology software platform dedicated to nanotechnologies process control. The first part will be dedicated to process flow modeling that is related to a fleet of metrology tools. The second part will introduce the concept of entity model which describes the various parameters that have to be extracted. The entity model is fed with data analysis as a function of the application (automatic analysis or semi-automated analysis). The final part will introduce two ways of doing data fusion on real data coming from imaging (SEM, TEM, AFM

  4. Metrology Camera System Using Two-Color Interferometry

    NASA Technical Reports Server (NTRS)

    Dubovitsky, Serge; Liebe, Carl Christian; Peters, Robert; Lay, Oliver

    2007-01-01

    A metrology system that contains no moving parts simultaneously measures the bearings and ranges of multiple reflective targets in its vicinity, enabling determination of the three-dimensional (3D) positions of the targets with submillimeter accuracy. The system combines a direction-measuring metrology camera and an interferometric range-finding subsystem. Because the system is based partly on a prior instrument denoted the Modulation Sideband Technology for Absolute Ranging (MSTAR) sensor and because of its 3D capability, the system is denoted the MSTAR3D. Developed for use in measuring the shape (for the purpose of compensating for distortion) of large structures like radar antennas, it can also be used to measure positions of multiple targets in the course of conventional terrestrial surveying. A diagram of the system is shown in the figure. One of the targets is a reference target having a known, constant distance with respect to the system. The system comprises a laser for generating local and target beams at a carrier frequency; a frequency shifting unit to introduce a frequency shift offset between the target and local beams; a pair of high-speed modulators that apply modulation to the carrier frequency in the local and target beams to produce a series of modulation sidebands, the highspeed modulators having modulation frequencies of FL and FM; a target beam launcher that illuminates the targets with the target beam; optics and a multipixel photodetector; a local beam launcher that launches the local beam towards the multi-pixel photodetector; a mirror for projecting to the optics a portion of the target beam reflected from the targets, the optics being configured to focus the portion of the target beam at the multi-pixel photodetector; and a signal-processing unit connected to the photodetector. The portion of the target beam reflected from the targets produces spots on the multi-pixel photodetector corresponding to the targets, respectively, and the signal

  5. Design and analysis issues in quantitative proteomics studies.

    PubMed

    Karp, Natasha A; Lilley, Kathryn S

    2007-09-01

    Quantitative proteomics is the comparison of distinct proteomes which enables the identification of protein species which exhibit changes in expression or post-translational state in response to a given stimulus. Many different quantitative techniques are being utilized and generate large datasets. Independent of the technique used, these large datasets need robust data analysis to ensure valid conclusions are drawn from such studies. Approaches to address the problems that arise with large datasets are discussed to give insight into the types of statistical analyses of data appropriate for the various experimental strategies that can be employed by quantitative proteomic studies. This review also highlights the importance of employing a robust experimental design and highlights various issues surrounding the design of experiments. The concepts and examples discussed within will show how robust design and analysis will lead to confident results that will ensure quantitative proteomics delivers.

  6. Sensors for Metering Heat Flux Area Density and Metrological Equipment for the Heat Flux Density Measurement

    NASA Astrophysics Data System (ADS)

    Doronin, D. O.

    2018-04-01

    The demand in measuring and studies of heat conduction of various media is very urgent now. This article considers the problem of heat conduction monitoring and measurement in various media and materials in any industries and branches of science as well as metrological support of the heat flux measurement equipment. The main study objects are both the sensors manufactured and facilities onto which these sensors will be installed: different cladding structures of the buildings, awnings, rocket fairings, boiler units, internal combustion engines. The Company develops and manufactures different types of heat flux sensors: thermocouple, thin-film, heterogeneous gradient as well as metrological equipment for the gauging calibration of the heat flux density measurement. The calibration shall be performed using both referencing method in the unit and by fixed setting of the heat flux in the unit. To manufacture heterogeneous heat flux gradient sensors (HHFGS) the Company developed and designed a number of units: diffusion welding unit, HHFGS cutting unit. Rather good quality HHFGS prototypes were obtained. At this stage the factory tests on the equipment for the heat flux density measurement equipment are planned. A high-sensitivity heat flux sensor was produced, now it is tested at the Construction Physics Research Institute (Moscow). It became possible to create thin-film heat flux sensors with the sensitivity not worse than that of the sensors manufactured by Captec Company (France). The Company has sufficient premises to supply the market with a wide range of sensors, to master new sensor manufacture technologies which will enable their application range.

  7. Oscillator metrology with software defined radio.

    PubMed

    Sherman, Jeff A; Jördens, Robert

    2016-05-01

    Analog electrical elements such as mixers, filters, transfer oscillators, isolating buffers, dividers, and even transmission lines contribute technical noise and unwanted environmental coupling in time and frequency measurements. Software defined radio (SDR) techniques replace many of these analog components with digital signal processing (DSP) on rapidly sampled signals. We demonstrate that, generically, commercially available multi-channel SDRs are capable of time and frequency metrology, outperforming purpose-built devices by as much as an order-of-magnitude. For example, for signals at 10 MHz and 6 GHz, we observe SDR time deviation noise floors of about 20 fs and 1 fs, respectively, in under 10 ms of averaging. Examining the other complex signal component, we find a relative amplitude measurement instability of 3 × 10(-7) at 5 MHz. We discuss the scalability of a SDR-based system for simultaneous measurement of many clocks. SDR's frequency agility allows for comparison of oscillators at widely different frequencies. We demonstrate a novel and extreme example with optical clock frequencies differing by many terahertz: using a femtosecond-laser frequency comb and SDR, we show femtosecond-level time comparisons of ultra-stable lasers with zero measurement dead-time.

  8. [Qualitative and quantitative comparisons of three individual deprivation scores for outpatients attending a free hospital care clinic in Paris].

    PubMed

    Fouchard, A; Bréchat, P-H; Castiel, D; Pascal, J; Sass, C; Lebas, J; Chauvin, P

    2014-08-01

    Inequality in health care is a growing problem, leading to the development of different tools for the assessment of individual deprivation. In France, three tools are mainly used: Epices (which stands for "score for the evaluation of social deprivation and health inequities among the centers for medical examination"), a score called "Handicap social" and a screening tool built for medical consultations by Pascal et al. at Nantes' hospital. The purpose of this study was to make a metrological assessment of those tools and a quantitative comparison by using them on a single deprived population. In order to assess the metrological properties of the three scores, we used the quality criteria published by Terwee et al. which are: content validity, internal consistency, criterion validity, construct validity, reproducibility (agreement and reliability), responsiveness, floor and ceiling effects and interpretability. For the comparison, we used data from the patients who had attended a free hospital outpatient clinic dedicated to socially deprived people in Paris, during one month in 2010. The "Handicap social" survey was first filled in by the 721 outpatients before being recoded to allow the comparison with the other scores. While the population of interest was quite well defined by all three scores, other quality criteria were less satisfactory. For this outpatient population, the "Handicap social" score classed 3.2% as non-deprived (class 1), 32.7% as socially deprived (class 2) and 64.7% as very deprived (class 3). With the Epices score, the rates of deprivation varied from 97.9% to 100% depending on the way the score was estimated. For the Pascal score, rates ranged from 83.4% to 88.1%. On a subgroup level, only the Pascal score showed statistically significant associations with gender, occupation, education and origin. These three scores have very different goal and meanings. They are not interchangeable. Users should be aware of their advantages and disadvantages

  9. Metrological activity determination of 133Ba by sum-peak absolute method

    NASA Astrophysics Data System (ADS)

    da Silva, R. L.; de Almeida, M. C. M.; Delgado, J. U.; Poledna, R.; Santos, A.; de Veras, E. V.; Rangel, J.; Trindade, O. L.

    2016-07-01

    The National Laboratory for Metrology of Ionizing Radiation provides gamma sources of radionuclide and standardized in activity with reduced uncertainties. Relative methods require standards to determine the sample activity while the absolute methods, as sum-peak, not. The activity is obtained directly with good accuracy and low uncertainties. 133Ba is used in research laboratories and on calibration of detectors for analysis in different work areas. Classical absolute methods don't calibrate 133Ba due to its complex decay scheme. The sum-peak method using gamma spectrometry with germanium detector standardizes 133Ba samples. Uncertainties lower than 1% to activity results were obtained.

  10. CCQM Pilot Study CCQM-P140: Quantitative surface analysis of multi-element alloy films

    NASA Astrophysics Data System (ADS)

    Kim, Kyung Joong; Jang, Jong Shik; Kim, An Soon; Suh, Jung Ki; Chung, Yong-Duck; Hodoroaba, Vasile-Dan; Wirth, Thomas; Unger, Wolfgang; Kang, Hee Jae; Popov, Oleg; Popov, Inna; Kuselman, Ilya; Lee, Yeon Hee; Sykes, David E.; Wang, Meiling; Wang, Hai; Ogiwara, Toshiya; Nishio, Mitsuaki; Tanuma, Shigeo; Simons, David; Szakal, Christopher; Osborn, William; Terauchi, Shinya; Ito, Mika; Kurokawa, Akira; Fujimoto, Toshiyuki; Jordaan, Werner; Jeong, Chil Seong; Havelund, Rasmus; Spencer, Steve; Shard, Alex; Streeck, Cornelia; Beckhoff, Burkhard; Eicke, Axel; Terborg, Ralf

    2015-01-01

    A pilot study for a quantitative surface analysis of multi-element alloy films has been performed by the Surface Analysis Working Group (SAWG) of the Consultative Committee for Amount of Substance (CCQM). The aim of this pilot study is to evaluate a protocol for a key comparison to demonstrate the equivalence of measures by National Metrology Institutes (NMIs) and Designated Institutes (DI) for the mole fractions of multi-element alloy films. A Cu(In,Ga)Se2 (CIGS) film with non-uniform depth distribution was chosen as a representative multi-element alloy film. The mole fractions of the reference and the test CIGS films were certified by isotope dilution—inductively coupled plasma/mass spectrometry. A total number counting (TNC) method was used as a method to determine the signal intensities of the constituent elements acquired in SIMS, XPS and AES depth profiling. TNC method is comparable with the certification process because the certified mole fractions are the average values of the films. The mole fractions of the CIGS films were measured by Secondary Ion Mass Spectrometry (SIMS), Auger Electron Spectroscopy (AES), X-ray Photoelectron Spectroscopy (XPS), X-Ray Fluorescence (XRF) Analysis and Electron Probe Micro Analysis (EPMA) with Energy Dispersive X-ray Spectrometry (EDX). Fifteen laboratories from eight NMIs, one DI, and six non-NMIs participated in this pilot study. The average mole fractions of the reported data showed relative standard deviations from 5.5 % to 6.8 % and average relative expanded uncertainties in the range from 4.52 % to 4.86 % for the four test CIGS specimens. These values are smaller than those in the key comparison CCQM-K67 for the measurement of mole fractions of Fe-Ni alloy films. As one result it can be stated that SIMS, XPS and AES protocols relying on the quantification of CIGS films using the TNC method are mature to be used in a CCQM key comparison. Main text. To reach the main text of this paper, click on Final Report. The

  11. Radius of Curvature Measurements: An Independent Look at Accuracy Using Novel Optical Metrology

    NASA Technical Reports Server (NTRS)

    Taylor, Bryon; Kahan, Mark; Russell, Kevin (Technical Monitor)

    2002-01-01

    The AMSD (Advanced Mirror System Demonstrator) program mirror specifications include the ability to manufacture the mirror to a radius of curvature of 10 m +/- 1 mm and to control its radius at 30K to the same specification. Therefore, it is necessary for the Government Team to be able to measure mirror radius of curvature to an accuracy of better than 0.5 mm. This presentation discusses a novel optical metrology system for measuring radius of curvature.

  12. A primary mirror metrology system for the GMT

    NASA Astrophysics Data System (ADS)

    Rakich, A.

    2016-07-01

    The Giant Magellan Telescope (GMT)1 is a 25 m "doubly segmented" telescope composed of seven 8.4 m "unit Gregorian telescopes", on a common mount. Each primary and secondary mirror segment will ideally lie on the geometrical surface of the corresponding rotationally symmetrical full aperture optical element. Therefore, each primary and conjugated secondary mirror segment will feed a common instrument interface, their focal planes co-aligned and cophased. First light with a subset of four unit telescopes is currently scheduled for 2022. The project is currently considering an important aspect of the assembly, integration and verification (AIV) phase of the project. This paper will discuss a dedicated system to directly characterize the on-sky performance of the M1 segments, independently of the M2 subsystem. A Primary Mirror Metrology System (PMS) is proposed. The main purpose of this system will be to he4lp determine the rotation axis of an instrument rotator (the Gregorian Instrument Rotator or GIR in this case) and then to characterize the deflections and deformations of the M1 segments with respect to this axis as a function of gravity and temperature. The metrology system will incorporate a small (180 mm diameter largest element) prime focus corrector (PFC) that simultaneously feeds a <60" square acquisition and guiding camera field, and a Shack Hartmann wavefront sensor. The PMS is seen as a significant factor in risk reduction during AIV; it allows an on-sky characterization of the primary mirror segments and cells, without the complications of other optical elements. The PMS enables a very useful alignment strategy that constrains each primary mirror segments' optical axes to follow the GIR axis to within a few arc seconds. An additional attractive feature of the incorporation of the PMS into the AIV plan, is that it allows first on-sky telescope operations to occur with a system of considerably less optical and control complexity than the final doubly

  13. A decade of innovation with laser speckle metrology

    NASA Astrophysics Data System (ADS)

    Ettemeyer, Andreas

    2003-05-01

    Speckle Pattern Interferometry has emerged from the experimental substitution of holographic interferometry to become a powerful problem solving tool in research and industry. The rapid development of computer and digital imaging techniques in combination with minaturization of the optical equipment led to new applications which had not been anticipated before. While classical holographic interferometry had always required careful consideration of the environmental conditions such as vibration, noise, light, etc. and could generally only be performed in the optical laboratory, it is now state of the art, to handle portable speckle measuring equipment at almost any place. During the last decade, the change in design and technique has dramatically influenced the range of applications of speckle metrology and opened new markets. The integration of recent research results into speckle measuring equipment has led to handy equipment, simplified the operation and created high quality data output.

  14. Quantitative characterization of nanoparticle agglomeration within biological media

    NASA Astrophysics Data System (ADS)

    Hondow, Nicole; Brydson, Rik; Wang, Peiyi; Holton, Mark D.; Brown, M. Rowan; Rees, Paul; Summers, Huw D.; Brown, Andy

    2012-07-01

    Quantitative analysis of nanoparticle dispersion state within biological media is essential to understanding cellular uptake and the roles of diffusion, sedimentation, and endocytosis in determining nanoparticle dose. The dispersion of polymer-coated CdTe/ZnS quantum dots in water and cell growth medium with and without fetal bovine serum was analyzed by transmission electron microscopy (TEM) and dynamic light scattering (DLS) techniques. Characterization by TEM of samples prepared by plunge freezing the blotted solutions into liquid ethane was sensitive to the dispersion state of the quantum dots and enabled measurement of agglomerate size distributions even in the presence of serum proteins where DLS failed. In addition, TEM showed a reduced packing fraction of quantum dots per agglomerate when dispersed in biological media and serum compared to just water, highlighting the effect of interactions between the media, serum proteins, and the quantum dots. The identification of a heterogeneous distribution of quantum dots and quantum dot agglomerates in cell growth medium and serum by TEM will enable correlation with the previously reported optical metrology of in vitro cellular uptake of this quantum dot dispersion. In this paper, we present a comparative study of TEM and DLS and show that plunge-freeze TEM provides a robust assessment of nanoparticle agglomeration state.

  15. Fabrication and Metrology of High-Precision Foil Mirror Mounting Elements

    NASA Technical Reports Server (NTRS)

    Schattenburg, Mark L.

    2002-01-01

    During the period of this Cooperative Agreement, MIT (Massachusetts Institute of Technology) developed advanced methods for applying silicon microstructures for the precision assembly of foil x-ray optics in support of the Constellation-X Spectroscopy X-ray Telescope (SXT) development effort at Goddard Space Flight Center (GSFC). MIT developed improved methods for fabricating and characterizing the precision silicon micro-combs. MIT also developed and characterized assembly tools and several types of metrology tools in order to characterize and reduce the errors associated with precision assembly of foil optics. Results of this effort were published and presented to the scientific community and the GSFC SXT team. A bibliography of papers and presentations is offered.

  16. Metrology of airborne and liquid-borne nanoparticles: current status and future needs

    NASA Astrophysics Data System (ADS)

    Ehara, Kensei; Sakurai, Hiromu

    2010-04-01

    The current status and future needs of nanoparticle metrology are discussed, particularly with respect to measurements of size, size distribution and number concentration of airborne and liquid-borne nanoparticles. Possible classification of types of measurement standards is proposed, and the role of each type of standard, including the feasibility of its establishment, is examined. A desirable interplay between measurement standards and documentary standards in establishing the traceability chain in particle measurements is suggested. Particle-related calibration services currently provided by our laboratory at the National Institute of Advanced Industrial Science and Technology are also described.

  17. Metrological challenges for measurements of key climatological observables Part 2: oceanic salinity

    NASA Astrophysics Data System (ADS)

    Pawlowicz, R.; Feistel, R.; McDougall, T. J.; Ridout, P.; Seitz, S.; Wolf, H.

    2016-02-01

    Salinity is a key variable in the modelling and observation of ocean circulation and ocean-atmosphere fluxes of heat and water. In this paper, we examine the climatological relevance of ocean salinity, noting fundamental deficiencies in the definition of this key observable, and its lack of a secure foundation in the International System of Units, the SI. The metrological history of salinity is reviewed, problems with its current definitions and measurement practices are analysed, and options for future improvements are discussed in conjunction with the recent seawater standard TEOS-10.

  18. Highlights of the ASPE 2004 Winter Topical Meeting on Free-Form Optics: Design, Fabrication, Metrology, Assembly

    NASA Technical Reports Server (NTRS)

    Ohl, Raymond G.; Dow, Thomas A.; Sohn, alex

    2004-01-01

    We present highlights from the American Society for Precision Engineering's 2004 winter topical meeting entitled Free-Form Optics: Design, Fabrication, Metrology, Assembly. We emphasize those papers that are most relevant to astronomical optics. Optical surfaces that transcend the bounds of rotational symmetry have been implemented in novel optical systems with fantastic results since the release of Polaroid's first instant camera. Despite these successes, free-form optics have found only a few niche applications and have yet to enter the mainstream. The purpose of this meeting is to identify the state of the art of free-form optics design, fabrication, metrology and assembly and to identify the technical and logistical challenges that inhibit their widespread use. Issues that will be addressed include: What are free-form optics? How can optical systems be made better with free-form optics? How can designers use free-form optics? How can free-form optics be fabricated? How can they be measured? How are free-form optical systems assembled? Control of multi-axis systems.

  19. Quantitative Articles: Developing Studies for Publication in Counseling Journals

    ERIC Educational Resources Information Center

    Trusty, Jerry

    2011-01-01

    This article is presented as a guide for developing quantitative studies and preparing quantitative manuscripts for publication in counseling journals. It is intended as an aid for aspiring authors in conceptualizing studies and formulating valid research designs. Material is presented on choosing variables and measures and on selecting…

  20. Detecting metrologically useful asymmetry and entanglement by a few local measurements

    NASA Astrophysics Data System (ADS)

    Zhang, Chao; Yadin, Benjamin; Hou, Zhi-Bo; Cao, Huan; Liu, Bi-Heng; Huang, Yun-Feng; Maity, Reevu; Vedral, Vlatko; Li, Chuan-Feng; Guo, Guang-Can; Girolami, Davide

    2017-10-01

    Important properties of a quantum system are not directly measurable, but they can be disclosed by how fast the system changes under controlled perturbations. In particular, asymmetry and entanglement can be verified by reconstructing the state of a quantum system. Yet, this usually requires experimental and computational resources which increase exponentially with the system size. Here we show how to detect metrologically useful asymmetry and entanglement by a limited number of measurements. This is achieved by studying how they affect the speed of evolution of a system under a unitary transformation. We show that the speed of multiqubit systems can be evaluated by measuring a set of local observables, providing exponential advantage with respect to state tomography. Indeed, the presented method requires neither the knowledge of the state and the parameter-encoding Hamiltonian nor global measurements performed on all the constituent subsystems. We implement the detection scheme in an all-optical experiment.

  1. Trapped atomic ions for quantum-limited metrology

    NASA Astrophysics Data System (ADS)

    Wineland, David

    2017-04-01

    Laser-beam-manipulated trapped ions are a candidate for large-scale quantum information processing and quantum simulation but the basic techniques used can also be applied to quantum-limited metrology and sensing. Some examples being explored at NIST are: 1) As charged harmonic oscillators, trapped ions can be used to sense electric fields; this can be used to characterize the electrode-surface-based noisy electric fields that compromise logic-gate fidelities and may eventually be used as a tool in surface science. 2) Since typical qubit logic gates depend on state-dependent forces, we can adapt the gate dynamics to sensitively detect additional forces. 3) We can use extensions of Bell inequality measurements to further restrict the degree of local realism possessed by Bell states. 4) We also briefly describe experiments for creation of Bell states using Hilbert space engineering. This work is a joint effort including the Ion-Storage group, the Quantum processing group, and the Computing and Communications Theory group at NIST, Boulder. Supported by IARPA, ONR, and the NIST Quantum Information Program.

  2. CD-SEM real time bias correction using reference metrology based modeling

    NASA Astrophysics Data System (ADS)

    Ukraintsev, V.; Banke, W.; Zagorodnev, G.; Archie, C.; Rana, N.; Pavlovsky, V.; Smirnov, V.; Briginas, I.; Katnani, A.; Vaid, A.

    2018-03-01

    Accuracy of patterning impacts yield, IC performance and technology time to market. Accuracy of patterning relies on optical proximity correction (OPC) models built using CD-SEM inputs and intra die critical dimension (CD) control based on CD-SEM. Sub-nanometer measurement uncertainty (MU) of CD-SEM is required for current technologies. Reported design and process related bias variation of CD-SEM is in the range of several nanometers. Reference metrology and numerical modeling are used to correct SEM. Both methods are slow to be used for real time bias correction. We report on real time CD-SEM bias correction using empirical models based on reference metrology (RM) data. Significant amount of currently untapped information (sidewall angle, corner rounding, etc.) is obtainable from SEM waveforms. Using additional RM information provided for specific technology (design rules, materials, processes) CD extraction algorithms can be pre-built and then used in real time for accurate CD extraction from regular CD-SEM images. The art and challenge of SEM modeling is in finding robust correlation between SEM waveform features and bias of CD-SEM as well as in minimizing RM inputs needed to create accurate (within the design and process space) model. The new approach was applied to improve CD-SEM accuracy of 45 nm GATE and 32 nm MET1 OPC 1D models. In both cases MU of the state of the art CD-SEM has been improved by 3x and reduced to a nanometer level. Similar approach can be applied to 2D (end of line, contours, etc.) and 3D (sidewall angle, corner rounding, etc.) cases.

  3. The APOSTEL recommendations for reporting quantitative optical coherence tomography studies.

    PubMed

    Cruz-Herranz, Andrés; Balk, Lisanne J; Oberwahrenbrock, Timm; Saidha, Shiv; Martinez-Lapiscina, Elena H; Lagreze, Wolf A; Schuman, Joel S; Villoslada, Pablo; Calabresi, Peter; Balcer, Laura; Petzold, Axel; Green, Ari J; Paul, Friedemann; Brandt, Alexander U; Albrecht, Philipp

    2016-06-14

    To develop consensus recommendations for reporting of quantitative optical coherence tomography (OCT) study results. A panel of experienced OCT researchers (including 11 neurologists, 2 ophthalmologists, and 2 neuroscientists) discussed requirements for performing and reporting quantitative analyses of retinal morphology and developed a list of initial recommendations based on experience and previous studies. The list of recommendations was subsequently revised during several meetings of the coordinating group. We provide a 9-point checklist encompassing aspects deemed relevant when reporting quantitative OCT studies. The areas covered are study protocol, acquisition device, acquisition settings, scanning protocol, funduscopic imaging, postacquisition data selection, postacquisition data analysis, recommended nomenclature, and statistical analysis. The Advised Protocol for OCT Study Terminology and Elements recommendations include core items to standardize and improve quality of reporting in quantitative OCT studies. The recommendations will make reporting of quantitative OCT studies more consistent and in line with existing standards for reporting research in other biomedical areas. The recommendations originated from expert consensus and thus represent Class IV evidence. They will need to be regularly adjusted according to new insights and practices. © 2016 American Academy of Neurology.

  4. A simulation-based study on the influence of beam hardening in X-ray computed tomography for dimensional metrology.

    PubMed

    Lifton, Joseph J; Malcolm, Andrew A; McBride, John W

    2015-01-01

    X-ray computed tomography (CT) is a radiographic scanning technique for visualising cross-sectional images of an object non-destructively. From these cross-sectional images it is possible to evaluate internal dimensional features of a workpiece which may otherwise be inaccessible to tactile and optical instruments. Beam hardening is a physical process that degrades the quality of CT images and has previously been suggested to influence dimensional measurements. Using a validated simulation tool, the influence of spectrum pre-filtration and beam hardening correction are evaluated for internal and external dimensional measurements. Beam hardening is shown to influence internal and external dimensions in opposition, and to have a greater influence on outer dimensions compared to inner dimensions. The results suggest the combination of spectrum pre-filtration and a local gradient-based surface determination method are able to greatly reduce the influence of beam hardening in X-ray CT for dimensional metrology.

  5. Geodesy and metrology with a transportable optical clock

    NASA Astrophysics Data System (ADS)

    Grotti, Jacopo; Koller, Silvio; Vogt, Stefan; Häfner, Sebastian; Sterr, Uwe; Lisdat, Christian; Denker, Heiner; Voigt, Christian; Timmen, Ludger; Rolland, Antoine; Baynes, Fred N.; Margolis, Helen S.; Zampaolo, Michel; Thoumany, Pierre; Pizzocaro, Marco; Rauf, Benjamin; Bregolin, Filippo; Tampellini, Anna; Barbieri, Piero; Zucco, Massimo; Costanzo, Giovanni A.; Clivati, Cecilia; Levi, Filippo; Calonico, Davide

    2018-05-01

    Optical atomic clocks, due to their unprecedented stability1-3 and uncertainty3-6, are already being used to test physical theories7,8 and herald a revision of the International System of Units9,10. However, to unlock their potential for cross-disciplinary applications such as relativistic geodesy11, a major challenge remains: their transformation from highly specialized instruments restricted to national metrology laboratories into flexible devices deployable in different locations12-14. Here, we report the first field measurement campaign with a transportable 87Sr optical lattice clock12. We use it to determine the gravity potential difference between the middle of a mountain and a location 90 km away, exploiting both local and remote clock comparisons to eliminate potential clock errors. A local comparison with a 171Yb lattice clock15 also serves as an important check on the international consistency of independently developed optical clocks. This campaign demonstrates the exciting prospects for transportable optical clocks.

  6. Nuclear Technology. Course 27: Metrology. Module 27-4, Angle Measurement Instruments, Optical Projections and Surface Texture Gages.

    ERIC Educational Resources Information Center

    Selleck, Ben; Espy, John

    This fourth in a series of eight modules for a course titled Metrology describes the universal bevel protractor and the sine bar, the engineering microscope and optical projector, and several types of surface texture gages. The module follows a typical format that includes the following sections: (1) introduction, (2) module prerequisites, (3)…

  7. Characterization of the ASPIICS/OPSE metrology sub-system and PSF centroiding procedure

    NASA Astrophysics Data System (ADS)

    Loreggia, D.; Fineschi, S.; Capobianco, G.; Bemporad, A.; Focardi, M.; Landini, F.; Massone, G.; Casti, M.; Nicolini, G.; Pancrazi, M.; Romoli, M.; Noce, V.; Baccani, C.; Cernica, I.; Purica, M.; Nisulescu, M.; Thizy, C.; Servaye, J. S.; Renotte, E.

    2016-07-01

    years have raised increasing interest. Many applications of astronomical observation techniques, as coronography and interferometry get great benefit when moved in space and the employment of diluted systems represents a milestone to step-over in astronomical research. In this work, we present the Optical Position Sensors Emitter (OPSE) metrological sub-system on-board of the PROBA3. PROBA3 is an ESA technology mission that will test in-orbit many metrology techniques for the maintenance of a Formation Flying with two satellites, in this case an occulter and a main satellite housing a coronagraph named ASPIICS, kept at an average inter-distance of 144m. The scientific task is the observation of the Sun's Corona at high spatial and temporal resolution down to 1.08R⊙. The OPSE will monitor the relative position of the two satellites and consists of 3 emitters positioned on the rear surface of the occulter, that will be observed by the coronagraph itself. A Centre of Gravity (CoG) algorithm is used to monitor the emitter's PSF at the focal plane of the Coronagraph retrieving the Occulter position with respect to the main spacecraft. The 3σ location target accuracy is 300μm for lateral movement and 21cm for longitudinal movements. A description of the characterization tests on the OPSE LED sources, and of the design for a laboratory set-up for on ground testing is given with a preliminary assessment of the performances expected from the OPSE images centroiding algorithm.

  8. Improved shallow trench isolation and gate process control using scatterometry based metrology

    NASA Astrophysics Data System (ADS)

    Rudolph, P.; Bradford, S. M.

    2005-05-01

    The ability to control critical dimensions of structures on semiconductor devices is essential to improving die yield and device performance. As geometries shrink, accuracy of the metrology equipment has increasingly become a contributing factor to the inability to detect shifts which result in yield loss. Scatterometry provides optical measurement that better enables process control of critical dimensions. Superior precision, accuracy, and higher throughput can be achieved more cost effectively through the use of this technology in production facilities. This paper outlines the implementation of Scatterometry based metrology in a production facility. The accuracy advantage it has over conventional Scanning Electron Microscope (SEM) measurement is presented. The Scatterometry tool used has demonstrated repeatability on the order of 3σ < 1 nm at STI-Etch-FICD for CD and Trench Depth (TD), and Side Wall Angle (SWA) measurements to within 0.1 degrees. Poly CD also shows 3σ < 1 nm, and poly thickness measurement 3σ < 2.5 Å. Scatterometry has capabilities which include measurement of CD, structure height and trench depth, Sidewall angle (SWA), and film thickness. The greater accuracy and the addition of in-situ Trench depth and sidewall angle have provided new measurement capabilities. There are inherent difficulties in implementing scatterometry in production wafer fabs. Difficulties with photo resist measurements, film characterization and stack set-up will be discussed. In addition, there are challenges due to the quantity data generated, in how to organize and store this data effectively. A comparison of the advantages and shortcomings of the method are presented.

  9. Tunnel profile measurement by vision metrology toward application to NATM

    NASA Astrophysics Data System (ADS)

    Hattori, Susumu; Akimoto, Keiichi; Ono, Tetsu; Miura, Satoru

    2003-05-01

    The NATM, a widely used tunnel excavation method, requires precise periodical monitoring of deformations especially at fault zones, which tends to hamper traffics with conventional measurement means. In this paper vision metrology was applied to tunnel profile measurement with a view to developing a new method. Two hundred of Retro-targets are placed on a one-meter spacing lattice at a tunnel site of 7m in diameter and 15m in longitude, and 66 images were taken to cover the target field. The object space coordinates of targets obtained by bundle adjustment were compared with ones obtained by high-precision total station observation. The root mean square (RMS) of differences of coordinates was 0.548mm, which is precise enough for monitoring deformations for the NATM.

  10. Assessing the reporting of categorised quantitative variables in observational epidemiological studies.

    PubMed

    Mabikwa, Onkabetse V; Greenwood, Darren C; Baxter, Paul D; Fleming, Sarah J

    2017-03-14

    One aspect to consider when reporting results of observational studies in epidemiology is how quantitative risk factors are analysed. The STROBE (Strengthening the Reporting of Observational Studies in Epidemiology) guidelines recommend that researchers describe how they handle quantitative variables when analysing data. For categorised quantitative variables, the authors are required to provide reasons and justifications informing their practice. We investigated and assessed the practices and reporting of categorised quantitative variables in epidemiology. The assessment was based on five medical journals that publish epidemiological research. Observational studies published between April and June 2015 and investigating the relationships between quantitative exposures (or risk factors) and the outcomes were considered for assessment. A standard form was used to collect the data, and the reporting patterns amongst eligible studies were quantified and described. Out of 61 articles assessed for eligibility, 23 observational studies were included in the assessment. Categorisation of quantitative exposures occurred in 61% of these studies and reasons informing the practice were rarely provided. Only one article explained the choice of categorisation in the analysis. Transformation of quantitative exposures into four or five groups was common and dominant amongst studies using equally spaced categories. Dichotomisation was not popular; the practice featured in one article. Overall, the majority (86%) of the studies preferred ordered or arbitrary group categories. Other criterions used to decide categorical boundaries were based on established guidelines such as consensus statements and WHO standards. Categorisation of continuous variables remains a dominant practice in epidemiological studies. The reasons informing the practice of categorisation within published work are limited and remain unknown in most articles. The existing STROBE guidelines could provide stronger

  11. Scanner focus metrology and control system for advanced 10nm logic node

    NASA Astrophysics Data System (ADS)

    Oh, Junghun; Maeng, Kwang-Seok; Shin, Jae-Hyung; Choi, Won-Woong; Won, Sung-Keun; Grouwstra, Cedric; El Kodadi, Mohamed; Heil, Stephan; van der Meijden, Vidar; Hong, Jong Kyun; Kim, Sang-Jin; Kwon, Oh-Sung

    2018-03-01

    Immersion lithography is being extended beyond the 10-nm node and the lithography performance requirement needs to be tightened further to ensure good yield. Amongst others, good on-product focus control with accurate and dense metrology measurements is essential to enable this. In this paper, we will present new solutions that enable onproduct focus monitoring and control (mean and uniformity) suitable for high volume manufacturing environment. We will introduce the concept of pure focus and its role in focus control through the imaging optimizer scanner correction interface. The results will show that the focus uniformity can be improved by up to 25%.

  12. The role of LATU as national metrology institute of Uruguay and its responsibilities

    NASA Astrophysics Data System (ADS)

    Robatto, O.; Quagliata, E.; Santo, C.; Sica, A.; Sponton, M.

    2013-09-01

    Laboratorio Tecnológico del Uruguay (LATU) is the National Metrology Institute of Uruguay and has the obligation to maintain the national standards stated by National Law 15298. At present LATU is acting as a secondary laboratory as well as a primary laboratory. LATU was ISO 17025:2005 DKD (Deutscher Kalibrierdienst) accredited from 2001 up to 2007. By that time LATU decided to support its Capabilities of Measurement and Calibration (CMCs) at CIPM-MRA (Mutual Recognition Arrangement between national metrology institutes (NMIs)) by peer assessment. A Peer Review has been done in 2008 in order to get the QSTF (Sistema Interamericano de Metrología, Quality System Task Force) approval. "New "CMCs for Industrial Thermometers have been approved by the JCRB on September 2010. CMCs claimed for Standard Resistance Platinum Thermometers (SPRTs) calibration at fixed points have not been approved yet because there were some requirements of traceability of employed cells that were not fulfilled but will be solved properly. The declared CMCs have been chosen by LATU in order to cover the increasing calibration services required by the industry and the secondary calibration laboratories. To demonstrate its technical competence an support its declared "CMCs" LATU has also participated at bilateral and regional comparisons. In recent years LATU, the National Accreditation Body (OUA), the Standards Institute, the National Institute of Quality and Compliance Bodies have become Members of a new Institution to strengthen the Quality Infrastructure of the country (SUNAMEC). As part of this new activities, LATU is giving training courses to the secondary laboratories performing calibrations in temperature that want to get accredited by the National Accreditation Body and to act as Technical Evaluators or Auditors when required by OUA. It is expected, that in the future and in the frame of new accredited and recognized temperature calibration laboratories, LATU could strengthen its

  13. Dual frequency comb metrology with one fiber laser

    NASA Astrophysics Data System (ADS)

    Zhao, Xin; Takeshi, Yasui; Zheng, Zheng

    2016-11-01

    Optical metrology techniques based on dual optical frequency combs have emerged as a hotly studied area targeting a wide range of applications from optical spectroscopy to microwave and terahertz frequency measurement. Generating two sets of high-quality comb lines with slightly different comb-tooth spacings with high mutual coherence and stability is the key to most of the dual-comb schemes. The complexity and costs of such laser sources and the associated control systems to lock the two frequency combs hinder the wider adoption of such techniques. Here we demonstrate a very simple and rather different approach to tackle such a challenge. By employing novel laser cavity designs in a mode-locked fiber laser, a simple fiber laser setup could emit dual-comb pulse output with high stability and good coherence between the pulse trains. Based on such lasers, comb-tooth-resolved dual-comb optical spectroscopy is demonstrated. Picometer spectral resolving capability could be realized with a fiber-optic setup and a low-cost data acquisition system and standard algorithms. Besides, the frequency of microwave signals over a large range can be determined based on a simple setup. Our results show the capability of such single-fiber-laser-based dual-comb scheme to reduce the complexity and cost of dual-comb systems with excellent quality for different dual-comb applications.

  14. Second metrology round-robin of APS, ESRF and SPring-8 laboratories of elliptical and spherical hard-x-ray mirrors.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rommeveaux, A.; Assoufid, L.; Ohashi, H.

    2007-01-01

    The first series of metrology round-robin measurements carried out in 2005 at the APS, ESRF and SPring-8 metrology laboratories involving two flat x-ray mirrors and a cylindrical x-ray mirror has shown excellent agreement among the three facilities Long Trace Profilers (LTP) despite their architectural differences. Because of the growing interest in diffraction-limited hard x-ray K-B focusing mirrors, it was decided to extend the round robin measurements to spherical and aspheric x-ray mirrors. The strong surface slope variation of these mirrors presents a real challenge to LTP. As a result, new LTP measurement protocol has to be developed and implemented tomore » ensure measurement accuracy and consistency. In this paper, different measurement techniques and procedures will be described, the results will be discussed, and comparison will be extended to micro-stitching interferometry measurements performed at Osaka University, Japan.« less

  15. Real cell overlay measurement through design based metrology

    NASA Astrophysics Data System (ADS)

    Yoo, Gyun; Kim, Jungchan; Park, Chanha; Lee, Taehyeong; Ji, Sunkeun; Jo, Gyoyeon; Yang, Hyunjo; Yim, Donggyu; Yamamoto, Masahiro; Maruyama, Kotaro; Park, Byungjun

    2014-04-01

    Until recent device nodes, lithography has been struggling to improve its resolution limit. Even though next generation lithography technology is now facing various difficulties, several innovative resolution enhancement technologies, based on 193nm wavelength, were introduced and implemented to keep the trend of device scaling. Scanner makers keep developing state-of-the-art exposure system which guarantees higher productivity and meets a more aggressive overlay specification. "The scaling reduction of the overlay error has been a simple matter of the capability of exposure tools. However, it is clear that the scanner contributions may no longer be the majority component in total overlay performance. The ability to control correctable overlay components is paramount to achieve the desired performance.(2)" In a manufacturing fab, the overlay error, determined by a conventional overlay measurement: by using an overlay mark based on IBO and DBO, often does not represent the physical placement error in the cell area of a memory device. The mismatch may arise from the size or pitch difference between the overlay mark and the cell pattern. Pattern distortion, caused by etching or CMP, also can be a source of the mismatch. Therefore, the requirement of a direct overlay measurement in the cell pattern gradually increases in the manufacturing field, and also in the development level. In order to overcome the mismatch between conventional overlay measurement and the real placement error of layer to layer in the cell area of a memory device, we suggest an alternative overlay measurement method utilizing by design, based metrology tool. A basic concept of this method is shown in figure1. A CD-SEM measurement of the overlay error between layer 1 and 2 could be the ideal method but it takes too long time to extract a lot of data from wafer level. An E-beam based DBM tool provides high speed to cover the whole wafer with high repeatability. It is enabled by using the design as a

  16. 3D-profile measurement of advanced semiconductor features by using FIB as reference metrology

    NASA Astrophysics Data System (ADS)

    Takamasu, Kiyoshi; Iwaki, Yuuki; Takahashi, Satoru; Kawada, Hiroki; Ikota, Masami

    2017-03-01

    A novel method of sub-nanometer uncertainty for the 3D-profile measurement and LWR (Line Width Roughness) measurement by using FIB (Focused Ion Beam) processing, and TEM (Transmission Electron Microscope) and CD-SEM (Critical Dimension Scanning Electron Microscope) images measurement is proposed to standardize 3D-profile measurement through reference metrology. In this article, we apply the methodology to line profile measurements and roughness measurement of advanced FinFET (Fin-shaped Field-Effect Transistor) features. The FinFET features are horizontally sliced as a thin specimen by FIB micro sampling system. Horizontally images of the specimens are obtained then by a planar TEM. LWR is calculated from the edges positions on TEM images. Moreover, we already have demonstrated the novel on-wafer 3D-profile metrology as "FIB-to-CDSEM method" with FIB slope cut and CD-SEM measuring. Using the method, a few micrometers wide on a wafer is coated and cut by 45-degree slope using FIB tool. Then, the wafer is transferred to CD-SEM to measure the cross section image by top down CD-SEM measurement. We applied FIB-to-CDSEM method to a CMOS image sensor feature. The 45-degree slope cut surface is observed using AFM. The surface profile of slope cut surface and line profiles are analyzed for improving the accuracy of FIB-to-CDSEM method.

  17. Dimensional Metrology of Non-rigid Parts Without Specialized Inspection Fixtures =

    NASA Astrophysics Data System (ADS)

    Sabri, Vahid

    Quality control is an important factor for manufacturing companies looking to prosper in an era of globalization, market pressures and technological advances. Functionality and product quality cannot be guaranteed without this important aspect. Manufactured parts have deviations from their nominal (CAD) shape caused by the manufacturing process. Thus, geometric inspection is a very important element in the quality control of mechanical parts. We will focus here on the geometric inspection of non-rigid (flexible) parts which are widely used in the aeronautic and automotive industries. Non-rigid parts can have different forms in a free-state condition compared with their nominal models due to residual stress and gravity loads. To solve this problem, dedicated inspection fixtures are generally used in industry to compensate for the displacement of such parts for simulating the use state in order to perform geometric inspections. These fixtures and the installation and inspection processes are expensive and time-consuming. Our aim in this thesis is therefore to develop an inspection method which eliminates the need for specialized fixtures. This is done by acquiring a point cloud from the part in a free-state condition using a contactless measuring device such as optical scanning and comparing it with the CAD model for the deviation identification. Using a non-rigid registration method and finite element analysis, we numerically inspect the profile of a non-rigid part. To do so, a simulated displacement is performed using an improved definition of displacement boundary conditions for simulating unfixed parts. In addition, we propose a numerical method for dimensional metrology of non-rigid parts in a free-state condition based on the arc length measurement by calculating the geodesic distance using the Fast Marching Method (FMM). In this thesis, we apply our developed methods on industrial non-rigid parts with free-form surfaces simulated with different types of

  18. PREFACE: Advanced Metrology for Ultrasound in Medicine12-14 May 2010

    NASA Astrophysics Data System (ADS)

    Shaw, Adam

    2011-02-01

    speakers. Professor Khuri-Yakub from Stanford opened proceedings and gave us the lowdown on the potential of CMUT transducers in the generation and detection of ultrasound. Rob Hekkenberg from TNO in the Netherlands underlined the importance of international standardisation and gave us the benefit of his experience in helping set up new facilities around the world. Professor Ron Roy from Boston University gave us a personal view on how establishing well-founded measurement methods has been essential in driving forward the research in his department. Finally, Professor Markys Cain from NPL and Queen Mary, University of London, told us about some of the subtler issues around characterising the properties of piezoelectric, ferroelectric, multiferroic and magnetoelectric materials. Proffered papers covered topics from hydrophones and power measurement through to novel measurement methods, materials testing and even some biological studies. Ultrasound in medicine goes from strength to strength, with new applications being developed almost monthly it seems. Metrology and Standards need to keep pace with these developments, which is what makes this conference important. I would like to thank everyone who attended or otherwise contributed to the success of AMUM 2010. I would particularly like to acknowledge the contributions of the guest speakers, the scientific advisory board members, NPL colleagues and the AMUM secretariat, who made the whole thing run so smoothly. Adam ShawChair, AMUM Scientific Committee

  19. Entanglement-seeded, dual, optical parametric amplification: Applications to quantum imaging and metrology

    NASA Astrophysics Data System (ADS)

    Glasser, Ryan T.; Cable, Hugo; Dowling, Jonathan P.; de Martini, Francesco; Sciarrino, Fabio; Vitelli, Chiara

    2008-07-01

    The study of optical parametric amplifiers (OPAs) has been successful in describing and creating nonclassical light for use in fields such as quantum metrology and quantum lithography [Agarwal , J. Opt. Soc. Am. B 24, 2 (2007)]. In this paper we present the theory of an OPA scheme utilizing an entangled state input. The scheme involves two identical OPAs seeded with the maximally path-entangled ∣N00N⟩ state (∣2,0⟩+∣0,2⟩)/2 . The stimulated amplification results in output state probability amplitudes that have a dependence on the number of photons in each mode, which differs greatly from two-mode squeezed vacuum. A large family of entangled output states are found. Specific output states allow for the heralded creation of N=4 N00N states, which may be used for quantum lithography, to write sub-Rayleigh fringe patterns, and for quantum interferometry, to achieve Heisenberg-limited phase measurement sensitivity.

  20. Silver Nanoparticles: Technological Advances, Societal Impacts, and Metrological Challenges

    PubMed Central

    Calderón-Jiménez, Bryan; Johnson, Monique E.; Montoro Bustos, Antonio R.; Murphy, Karen E.; Winchester, Michael R.; Vega Baudrit, José R.

    2017-01-01

    Silver nanoparticles (AgNPs) show different physical and chemical properties compared to their macroscale analogs. This is primarily due to their small size and, consequently, the exceptional surface area of these materials. Presently, advances in the synthesis, stabilization, and production of AgNPs have fostered a new generation of commercial products and intensified scientific investigation within the nanotechnology field. The use of AgNPs in commercial products is increasing and impacts on the environment and human health are largely unknown. This article discusses advances in AgNP production and presents an overview of the commercial, societal, and environmental impacts of this emerging nanoparticle (NP), and nanomaterials in general. Finally, we examine the challenges associated with AgNP characterization, discuss the importance of the development of NP reference materials (RMs) and explore their role as a metrological mechanism to improve the quality and comparability of NP measurements. PMID:28271059

  1. Silver nanoparticles: technological advances, societal impacts, and metrological challenges

    NASA Astrophysics Data System (ADS)

    Calderón-Jiménez, Bryan; Johnson, Monique E.; Montoro Bustos, Antonio R.; Murphy, Karen E.; Winchester, Michael R.; Vega Baudrit, José R.

    2017-02-01

    Silver nanoparticles (AgNPs) show different physical and chemical properties compared to their macroscale analogs. This is primarily due to their small size and, consequently, the exceptional surface area of these materials. Presently, advances in the synthesis, stabilization, and production of AgNPs have fostered a new generation of commercial products and intensified scientific investigation within the nanotechnology field. The use of AgNPs in commercial products is increasing and impacts on the environment and human health are largely unknown. This article discusses advances in AgNP production and presents an overview of the commercial, societal, and environmental impacts of this emerging nanoparticle (NP), and nanomaterials in general. Finally, we examine the challenges associated with AgNP characterization, discuss the importance of the development of NP reference materials (RMs) and explore their role as a metrological mechanism to improve the quality and comparability of NP measurements.

  2. Present status of metrology of electro-optical surveillance systems

    NASA Astrophysics Data System (ADS)

    Chrzanowski, K.

    2017-10-01

    There has been a significant progress in equipment for testing electro-optical surveillance systems over the last decade. Modern test systems are increasingly computerized, employ advanced image processing and offer software support in measurement process. However, one great challenge, in form of relative low accuracy, still remains not solved. It is quite common that different test stations, when testing the same device, produce different results. It can even happen that two testing teams, while working on the same test station, with the same tested device, produce different results. Rapid growth of electro-optical technology, poor standardization, limited metrology infrastructure, subjective nature of some measurements, fundamental limitations from laws of physics, tendering rules and advances in artificial intelligence are major factors responsible for such situation. Regardless, next decade should bring significant improvements, since improvement in measurement accuracy is needed to sustain fast growth of electro-optical surveillance technology.

  3. Silver Nanoparticles: Technological Advances, Societal Impacts, and Metrological Challenges.

    PubMed

    Calderón-Jiménez, Bryan; Johnson, Monique E; Montoro Bustos, Antonio R; Murphy, Karen E; Winchester, Michael R; Vega Baudrit, José R

    2017-01-01

    Silver nanoparticles (AgNPs) show different physical and chemical properties compared to their macroscale analogs. This is primarily due to their small size and, consequently, the exceptional surface area of these materials. Presently, advances in the synthesis, stabilization, and production of AgNPs have fostered a new generation of commercial products and intensified scientific investigation within the nanotechnology field. The use of AgNPs in commercial products is increasing and impacts on the environment and human health are largely unknown. This article discusses advances in AgNP production and presents an overview of the commercial, societal, and environmental impacts of this emerging nanoparticle (NP), and nanomaterials in general. Finally, we examine the challenges associated with AgNP characterization, discuss the importance of the development of NP reference materials (RMs) and explore their role as a metrological mechanism to improve the quality and comparability of NP measurements.

  4. Automated hotspot analysis with aerial image CD metrology for advanced logic devices

    NASA Astrophysics Data System (ADS)

    Buttgereit, Ute; Trautzsch, Thomas; Kim, Min-ho; Seo, Jung-Uk; Yoon, Young-Keun; Han, Hak-Seung; Chung, Dong Hoon; Jeon, Chan-Uk; Meyers, Gary

    2014-09-01

    Continuously shrinking designs by further extension of 193nm technology lead to a much higher probability of hotspots especially for the manufacturing of advanced logic devices. The CD of these potential hotspots needs to be precisely controlled and measured on the mask. On top of that, the feature complexity increases due to high OPC load in the logic mask design which is an additional challenge for CD metrology. Therefore the hotspot measurements have been performed on WLCD from ZEISS, which provides the benefit of reduced complexity by measuring the CD in the aerial image and qualifying the printing relevant CD. This is especially of advantage for complex 2D feature measurements. Additionally, the data preparation for CD measurement becomes more critical due to the larger amount of CD measurements and the increasing feature diversity. For the data preparation this means to identify these hotspots and mark them automatically with the correct marker required to make the feature specific CD measurement successful. Currently available methods can address generic pattern but cannot deal with the pattern diversity of the hotspots. The paper will explore a method how to overcome those limitations and to enhance the time-to-result in the marking process dramatically. For the marking process the Synopsys WLCD Output Module was utilized, which is an interface between the CATS mask data prep software and the WLCD metrology tool. It translates the CATS marking directly into an executable WLCD measurement job including CD analysis. The paper will describe the utilized method and flow for the hotspot measurement. Additionally, the achieved results on hotspot measurements utilizing this method will be presented.

  5. Critical Quantitative Study of Immigrant Students

    ERIC Educational Resources Information Center

    Conway, Katherine M.

    2014-01-01

    The author discusses the importance of critical quantitative research for studies of immigrant students, a large and growing group, whose higher education experience is crucial to the future of the United States. The author outlines some of the distinctions to be made among immigrant students and recommends areas of future inquiry.

  6. Metrology for AGP - Astrometric Gravitation Probe

    NASA Astrophysics Data System (ADS)

    Gai, Mario; et al.

    2015-08-01

    The Astrometric Gravitation Probe (AGP) is a concept of space mission aimed at tests of Fundamental Physics in the Solar system, using Fizeau interferometry and coronagraphy techniques to implement differential astrometry among superposed stellar fields. The main goal is verification of the General Relativity (GR) and competing gravitation theories in the weak field of the Solar System by high precision measurement of the light deflection in the vicinity of the Sun at < 10-7 and of the main and minor planet dynamics at the microarcsec/year level. The AGP payload concept is based on a single main telescope (1.15 m diameter) implementing a multi-aperture Fizeau interferometer, for simultaneous observation of four regions close to the Solar limb and in opposition; coronagraphic techniques are applied on the elementary sub-apertures. The star displacement due to light deflection is derived by differential astrometry on images taken in different deflection conditions (e.g. ON and OFF). The instrument design is focused on systematic error control through multiple field simultaneous observation and calibration. The metrology system requirements related to the science goals are discussed, and the technical aspects of possible implementations are investigated. The potential benefit of auto-collimation and cophasing techniques derives from monitoring comparably large sections of the optical system common to the stellar beams. The performance at microarcsec level is verified by simulation.

  7. A review of manufacturing metrology for improved reliability of silicon photovoltaic modules

    NASA Astrophysics Data System (ADS)

    Davis, Kristopher O.; Walters, Joseph; Schneller, Eric; Seigneur, Hubert; Brooker, R. Paul; Scardera, Giuseppe; Rodgers, Marianne P.; Mohajeri, Nahid; Shiradkar, Narendra; Dhere, Neelkanth G.; Wohlgemuth, John; Rudack, Andrew C.; Schoenfeld, Winston V.

    2014-10-01

    In this work, the use of manufacturing metrology across the supply chain to improve crystalline silicon (c-Si) photovoltaic (PV) module reliability and durability is addressed. Additionally, an overview and summary of a recent extensive literature survey of relevant measurement techniques aimed at reducing or eliminating the probability of field failures is presented. An assessment of potential gaps is also given, wherein the PV community could benefit from new research and demonstration efforts. This review is divided into three primary areas representing different parts of the c-Si PV supply chain: (1) feedstock production, crystallization and wafering; (2) cell manufacturing; and (3) module manufacturing.

  8. Large Volume, Optical and Opto-Mechanical Metrology Techniques for ISIM on JWST

    NASA Technical Reports Server (NTRS)

    Hadjimichael, Theo

    2015-01-01

    The final, flight build of the Integrated Science Instrument Module (ISIM) element of the James Webb Space Telescope is the culmination of years of work across many disciplines and partners. This paper covers the large volume, ambient, optical and opto-mechanical metrology techniques used to verify the mechanical integration of the flight instruments in ISIM, including optical pupil alignment. We present an overview of ISIM's integration and test program, which is in progress, with an emphasis on alignment and optical performance verification. This work is performed at NASA Goddard Space Flight Center, in close collaboration with the European Space Agency, the Canadian Space Agency, and the Mid-Infrared Instrument European Consortium.

  9. Welcome to Surface Topography: Metrology and Properties

    NASA Astrophysics Data System (ADS)

    Leach, Richard

    2013-11-01

    I am delighted to welcome readers to this inaugural issue of Surface Topography: Metrology and Properties (STMP). In these days of citation indexes and academic reviews, it is a tough, and maybe a brave, job to start a new journal. But the subject area has never been more active and we are seeing genuine breakthroughs in the use of surfaces to control functional performance. Most manufactured parts rely on some form of control of their surface characteristics. The surface is usually defined as that feature on a component or device, which interacts with either the environment in which it is housed (or in which the device operates), or with another surface. The surface topography and material characteristics of a part can affect how fluids interact with it, how the part looks and feels and how two bearing parts will slide together. The need to control, and hence measure, surface features is becoming increasingly important as we move into a miniaturized world. Surface features can become the dominant functional features of a part and may become large in comparison to the overall size of an object. Research into surface texture measurement and characterization has been carried out for over a century and is now more active than ever, especially as new areal surface texture specification standards begin to be introduced. The range of disciplines for which the function of a surface relates to its topography is very diverse; from metal sheet manufacturing to art restoration, from plastic electronics to forensics. Until now, there has been no obvious publishing venue to bring together all these applications with the underlying research and theory, or to unite those working in academia with engineering and industry. Hence the creation of Surface Topography: Metrology and Properties . STMP will publish the best work being done across this broad discipline in one journal, helping researchers to share common themes and highlighting and promoting the extraordinary benefits this

  10. EDITORIAL: Metrological Aspects of Accelerator Technology and High Energy Physics Experiments

    NASA Astrophysics Data System (ADS)

    Romaniuk, Ryszard S.; Pozniak, Krzysztof T.

    2007-08-01

    The subject of this special feature in Measurement Science and Technology concerns measurement methods, devices and subsystems, both hardware and software aspects, applied in large experiments of high energy physics (HEP) and superconducting RF accelerator technology (SRF). These experiments concern mainly the physics of elementary particles or the building of new machines and detectors. The papers present practical examples of applied solutions in large, contemporary, international research projects such as HERA, LHC, FLASH, XFEL, ILC and others. These machines are unique in their global scale and consist of extremely dedicated apparatus. The apparatus is characterized by very large dimensions, a considerable use of resources and a high level of overall technical complexity. They possess a large number of measurement channels (ranging from thousands to over 100 million), are characterized by fast of processing of measured data and high measurement accuracies, and work in quite adverse environments. The measurement channels cooperate with a large number of different sensors of momenta, energies, trajectories of elementary particles, electron, proton and photon beam profiles, accelerating fields in resonant cavities, and many others. The provision of high quality measurement systems requires the designers to use only the most up-to-date technical solutions, measurement technologies, components and devices. Research work in these demanding fields is a natural birthplace of new measurement methods, new data processing and acquisition algorithms, complex, networked measurement system diagnostics and monitoring. These developments are taking place in both hardware and software layers. The chief intention of this special feature is that the papers represent equally some of the most current metrology research problems in HEP and SRF. The accepted papers have been divided into four topical groups: superconducting cavities (4 papers), low level RF systems (8 papers

  11. Comparative advantages and limitations of the basic metrology methods applied to the characterization of nanomaterials.

    PubMed

    Linkov, Pavel; Artemyev, Mikhail; Efimov, Anton E; Nabiev, Igor

    2013-10-07

    Fabrication of modern nanomaterials and nanostructures with specific functional properties is both scientifically promising and commercially profitable. The preparation and use of nanomaterials require adequate methods for the control and characterization of their size, shape, chemical composition, crystalline structure, energy levels, pathways and dynamics of physical and chemical processes during their fabrication and further use. In this review, we discuss different instrumental methods for the analysis and metrology of materials and evaluate their advantages and limitations at the nanolevel.

  12. Performance of the primary mirror center-of-curvature optical metrology system during cryogenic testing of the JWST Pathfinder telescope

    NASA Astrophysics Data System (ADS)

    Hadaway, James B.; Wells, Conrad; Olczak, Gene; Waldman, Mark; Whitman, Tony; Cosentino, Joseph; Connolly, Mark; Chaney, David; Telfer, Randal

    2016-07-01

    The James Webb Space Telescope (JWST) primary mirror (PM) is 6.6 m in diameter and consists of 18 hexagonal segments, each 1.5 m point-to-point. Each segment has a six degree-of-freedom hexapod actuation system and a radius of-curvature (RoC) actuation system. The full telescope will be tested at its cryogenic operating temperature at Johnson Space Center. This testing will include center-of-curvature measurements of the PM, using the Center-of-Curvature Optical Assembly (COCOA) and the Absolute Distance Meter Assembly (ADMA). The COCOA includes an interferometer, a reflective null, an interferometer-null calibration system, coarse and fine alignment systems, and two displacement measuring interferometer systems. A multiple-wavelength interferometer (MWIF) is used for alignment and phasing of the PM segments. The ADMA is used to measure, and set, the spacing between the PM and the focus of the COCOA null (i.e. the PM center-of-curvature) for determination of the ROC. The performance of these metrology systems was assessed during two cryogenic tests at JSC. This testing was performed using the JWST Pathfinder telescope, consisting mostly of engineering development and spare hardware. The Pathfinder PM consists of two spare segments. These tests provided the opportunity to assess how well the center-of-curvature optical metrology hardware, along with the software and procedures, performed using real JWST telescope hardware. This paper will describe the test setup, the testing performed, and the resulting metrology system performance. The knowledge gained and the lessons learned during this testing will be of great benefit to the accurate and efficient cryogenic testing of the JWST flight telescope.

  13. Performance of the Primary Mirror Center-of-curvature Optical Metrology System During Cryogenic Testing of the JWST Pathfinder Telescope

    NASA Technical Reports Server (NTRS)

    Hadaway, James B.; Wells, Conrad; Olczak, Gene; Waldman, Mark; Whitman, Tony; Cosentino, Joseph; Connolly, Mark; Chaney, David; Telfer, Randal

    2016-01-01

    The James Webb Space Telescope (JWST) primary mirror (PM) is 6.6 m in diameter and consists of 18 hexagonal segments, each 1.5 m point-to-point. Each segment has a six degree-of-freedom hexapod actuation system and a radius-of-curvature (RoC) actuation system. The full telescope will be tested at its cryogenic operating temperature at Johnson Space Center. This testing will include center-of-curvature measurements of the PM, using the Center-of-Curvature Optical Assembly (COCOA) and the Absolute Distance Meter Assembly (ADMA). The COCOA includes an interferometer, a reflective null, an interferometer-null calibration system, coarse & fine alignment systems, and two displacement measuring interferometer systems. A multiple-wavelength interferometer (MWIF) is used for alignment & phasing of the PM segments. The ADMA is used to measure, and set, the spacing between the PM and the focus of the COCOA null (i.e. the PM center-of-curvature) for determination of the ROC. The performance of these metrology systems was assessed during two cryogenic tests at JSC. This testing was performed using the JWST Pathfinder telescope, consisting mostly of engineering development & spare hardware. The Pathfinder PM consists of two spare segments. These tests provided the opportunity to assess how well the center-of-curvature optical metrology hardware, along with the software & procedures, performed using real JWST telescope hardware. This paper will describe the test setup, the testing performed, and the resulting metrology system performance. The knowledge gained and the lessons learned during this testing will be of great benefit to the accurate & efficient cryogenic testing of the JWST flight telescope.

  14. FOREWORD: CCM Second International Seminar: Pressure Metrology from 1 kPa to 1 GPa

    NASA Astrophysics Data System (ADS)

    Molinar, G. F.

    1994-01-01

    The Comité Consultatif pour la Masse et les Grandeurs Apparentées (CCM), through its High Pressure and Medium Pressure Working Groups, organized this Second International Seminar on Pressure Metrology from 1 kPa to 1 GPa, which was held at the Laboratoire National d'Essais (LNE), Paris, France, from 2 to 4 June 1993. The scope of the seminar was to review the state of the art of pressure measurements in the 1 kPa to I GPa pressure range and to present innovative contributions by standards laboratories, universities and industry. The seminar was organized in six sessions: liquid-column manometers; piston gauge pressure standards; properties of liquids and gases relevant to pressure metrology; pressure transducers and transfer standards; pressure standard comparison (methods and results); dynamic pressure measurements. Each session opened with the presentation of a review paper on major requirements in that field and, at the end of the seminar, a general discussion was organized on the actual limits of accuracy of static and dynamic pressure measurements in fluid media, and the fundamental problems in pressure metrology between 1 kPa and 1 GPa. The seminar was attended by sixty scientists from twenty-four countries, all working in the field of pressure measurements. Forty-nine papers were presented. The participation of scientists from so many countries indicates the importance of pressure metrology from the scientific and industrial points of view. Most papers were presented by scientists from national standards laboratories, with eight papers from universities and four from industry. Eleven papers reported the results of cooperative work involving metrological institutions dealing with high pressure, generally national standards laboratories, an indication that scientific links are already well established at this level. Links are also strengthening between industry and standards laboratories. Although industrial participation at the seminar was relatively small

  15. Metrological-grade tunable coherent source in the mid-infrared for molecular precision spectroscopy

    NASA Astrophysics Data System (ADS)

    Insero, G.; Clivati, C.; D'Ambrosio, D.; Cancio Pastor, P.; Verde, M.; Schunemann, P. G.; Zondy, J.-J.; Inguscio, M.; Calonico, D.; Levi, F.; De Natale, P.; Santambrogio, G.; Borri, S.

    2018-02-01

    We report on a metrological-grade mid-IR source with a 10-14 short-term instability for high-precision spectroscopy. Our source is based on the combination of a quantum cascade laser and a coherent radiation obtained by difference-frequency generation in an orientation-patterned gallium phosphide (OP-GaP) crystal. The pump and signal lasers are locked to an optical frequency comb referenced to the primary frequency standard via an optical fiber link. We demonstrate the robustness of the apparatus by measuring a vibrational transition around 6 μm on a metastable state of CO molecuels with 11 digits of precision.

  16. Fabrication and metrology of lithium niobate narrowband optical filters for the solar orbiter

    NASA Astrophysics Data System (ADS)

    Gensemer, Stephen D.; Farrant, David

    2014-06-01

    We report on the fabrication of custom voltage tunable etalons for the SO/PHI spaceborne solar imaging instrument [A. Gandorfer, S. K. Solanki, J. Woch, V. M. Pillet, A. A. Herrero, and T. Appourchaux, J. Phys.: Conference Series 271, 012086 (2011)]. The etalons were manufactured to place a transmission maximum within 0.3 Å of the FeI emission line at 6175.0 Å. Meeting this specification requires an overall thickness specified to within ±15 nm, over a 60 mm aperture. We describe here the metrology, modelling and coating procedures we developed to achieve this.

  17. Study of Lever-Arm Effect Using Embedded Photogrammetry and On-Board GPS Receiver on Uav for Metrological Mapping Purpose and Proposal of a Free Ground Measurements Calibration Procedure

    NASA Astrophysics Data System (ADS)

    Daakir, M.; Pierrot-Deseilligny, M.; Bosser, P.; Pichard, F.; Thom, C.; Rabot, Y.

    2016-03-01

    Nowadays, Unmanned Aerial Vehicle (UAV) on-board photogrammetry knows a significant growth due to the democratization of using drones in the civilian sector. Also, due to changes in regulations laws governing the rules of inclusion of a UAV in the airspace which become suitable for the development of professional activities. Fields of application of photogrammetry are diverse, for instance: architecture, geology, archaeology, mapping, industrial metrology, etc. Our research concerns the latter area. Vinci-Construction- Terrassement is a private company specialized in public earthworks that uses UAVs for metrology applications. This article deals with maximum accuracy one can achieve with a coupled camera and GPS receiver system for direct-georeferencing of Digital Surface Models (DSMs) without relying on Ground Control Points (GCPs) measurements. This article focuses specially on the lever-arm calibration part. This proposed calibration method is based on two steps: a first step involves the proper calibration for each sensor, i.e. to determine the position of the optical center of the camera and the GPS antenna phase center in a local coordinate system relative to the sensor. A second step concerns a 3d modeling of the UAV with embedded sensors through a photogrammetric acquisition. Processing this acquisition allows to determine the value of the lever-arm offset without using GCPs.

  18. Quantitative analysis of substituted N,N-dimethyl-tryptamines in the presence of natural type XII alkaloids.

    PubMed

    Ivanova, Bojidarka; Spiteller, Michael

    2012-10-01

    This paper reports the qualitative and quantitative analysis (QA) of mixtures of hallucinogens, N,N-dimethyltryptamine (DMT) (1), 5-methoxy- (la) and 5-hydroxy-N,N-dimethyltryptamine (1b) in the presence of beta-carbolines (indole alkaloids of type XII) ((2), (3) and (5)}. The validated electronic absorption spectroscopic (EAs) protocol achieved a concentration limit of detection (LOD) of 7.2.10(-7) mol/L {concentration limit of quantification (LOQ) of 24.10(-7) mol/L) using bands (lambda max within 260+/-0.23-262+/-0.33 nm. Metrology, including accuracy, measurement repeatability, measurement precision, trueness of measurement, and reproducibility of the measurements are presented using N,N-dimethyltryptamine (DMA) as standard. The analytical quantities of mixtures of alkaloids 4, 6 and 7 are: lambda max 317+/-0.45, 338+/-0.69 and 430+/-0.09 for 4 (LOD, 8.6.10(-7) mol/L; LOQ, 28.66(6), mol/L), as well as 528+/-0.75 nm for 6 and 7 (LOD, 8.2.10(-7) mol/L; LOQ, 27.33(3), mol/L), respectively. The partially validated protocols by high performance liquid chromatography (HPLC), electrospray ionization (ESI), mass spectrometry (MS), both in single and tandem operation (MS/MS) mode, as well as matrix/assisted laser desorption/ionization (MALDI) MS are elaborated. The Raman spectroscopic (RS) protocol for analysis of psychoactive substances, characterized by strong fluorescence RS profile was developed, with the detection limits being discussed. The known synergistic effect leading to increase the psychoactive and hallucinogenic properties and the reported acute poisoning cases from 1-7, make the present study emergent, since as well the current lack of analytical data and the herein metrology obtained contributed to the elaboration of highly selective and precise analytical protocols, which would be of interest in the field of criminal forensic analysis.

  19. Image-based overlay and alignment metrology through optically opaque media with sub-surface probe microscopy

    NASA Astrophysics Data System (ADS)

    van Es, Maarten H.; Mohtashami, Abbas; Piras, Daniele; Sadeghian, Hamed

    2018-03-01

    Nondestructive subsurface nanoimaging through optically opaque media is considered to be extremely challenging and is essential for several semiconductor metrology applications including overlay and alignment and buried void and defect characterization. The current key challenge in overlay and alignment is the measurement of targets that are covered by optically opaque layers. Moreover, with the device dimensions moving to the smaller nodes and the issue of the so-called loading effect causing offsets between between targets and product features, it is increasingly desirable to perform alignment and overlay on product features or so-called on-cell overlay, which requires higher lateral resolution than optical methods can provide. Our recently developed technique known as SubSurface Ultrasonic Resonance Force Microscopy (SSURFM) has shown the capability for high-resolution imaging of structures below a surface based on (visco-)elasticity of the constituent materials and as such is a promising technique to perform overlay and alignment with high resolution in upcoming production nodes. In this paper, we describe the developed SSURFM technique and the experimental results on imaging buried features through various layers and the ability to detect objects with resolution below 10 nm. In summary, the experimental results show that the SSURFM is a potential solution for on-cell overlay and alignment as well as detecting buried defects or voids and generally metrology through optically opaque layers.

  20. Opportunities for scientists to influence policy: when does radiation metrology matter in development of national policy?

    PubMed

    Coursey, Bert M

    2014-05-01

    Accurate measurements of radiation and radioactivity rarely rise to the level of national policy. The things that matter most to ordinary citizens do not normally include questions of science and technology. Citizens are more often concerned with issues close to home relating to commerce, health, safety, security and the environment. When questions of confidence in measurements arise, they are first directed to the ministry that has responsibilities in that area. When the required uncertainty in field measurements challenges the capability of the regulatory authorities, the National Metrology Institute may be asked to develop transfer standards to enhance the capabilities of the ministry with the mission lead. In this paper, we will consider eight instances over the past nine decades in which questions in radiation and radionuclide metrology in the US did rise to the level that they influenced decisions on national policy. These eight examples share some common threads. Radioactivity and ionizing radiation are useful tools in many disciplines, but can often represent potential or perceived threats to health and public safety. When unforeseen applications of radiation arise, or when environmental radioactivity from natural and man-made sources presents a possible health hazard, the radiation metrologists may be called upon to provide the technical underpinning for policy development. © 2013 Published by Elsevier Ltd.

  1. Enabling optical metrology on small 5×5μm2 in-cell targets to support flexible sampling and higher order overlay and CD control for advanced logic devices nodes

    NASA Astrophysics Data System (ADS)

    Salerno, Antonio; de la Fuente, Isabel; Hsu, Zack; Tai, Alan; Chang, Hammer; McNamara, Elliott; Cramer, Hugo; Li, Daoping

    2018-03-01

    In next generation Logic devices, overlay control requirements shrink to sub 2.5nm level on-product overlay. Historically on-product overlay has been defined by the overlay capability of after-develop in-scribe targets. However, due to design and dimension, the after development metrology targets are not completely representative for the final overlay of the device. In addition, they are confined to the scribe-lane area, which limits the sampling possibilities. To address these two issues, metrology on structures matching the device structure and which can be sampled with high density across the device is required. Conventional after-etch CDSEM techniques on logic devices present difficulties in discerning the layers of interest, potential destructive charging effects and finally, they are limited by the long measurement times[1] [2] [3] . All together, limit the sampling densities and making CDSEM less attractive for control applications. Optical metrology can overcome most of these limitations. Such measurement, however, does require repetitive structures. This requirement is not fulfilled by logic devices, as the features vary in pitch and CD over the exposure field. The solution is to use small targets, with a maximum pad size of 5x5um2 , which can easily be placed in the logic cell area. These targets share the process and architecture of the device features of interest, but with a modified design that replicates as close as possible the device layout, allowing for in-device metrology for both CD and Overlay. This solution enables measuring closer to the actual product feature location and, not being limited to scribe-lanes, it opens the possibility of higher-density sampling schemes across the field. In summary, these targets become the facilitator of in-device metrology (IDM), that is, enabling the measurements both in-device Overlay and the CD parameters of interest and can deliver accurate, high-throughput, dense and after-etch measurements for Logic

  2. PREFACE: 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013)

    NASA Astrophysics Data System (ADS)

    Fu, Wei-En

    2014-03-01

    hospitality. It is my privilege and pleasure to welcome you all to the 14th International Conference on Metrology and Properties of Engineering Surfaces here in Taipei. Tom Thomas Halmstad, 1st June 2013 Greetings from Chairman of Local Organizing CommitteeVictor Lin It is the great honor of Center for Measurement Standards (CMS), metrology group of Industrial Technology Research Institute (ITRI), to host the 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013) from 17-21 June, 2013, in Taipei, Taiwan. In collaboration with four local universities, National Taiwan University (NTU), National Cheng-Kung University (NCKU), National Taiwan University of Science and Technology (NTST) and National Tsing-Hua University (NTHU), we have spent more than one year to prepare this Conference since the approval by the International Programme Committee (IPC). With the guidance from the IPC, we are able to go through the laborious, but important, process of paper selection and review from more than 100 submissions, and also to maintain the tradition in gathering the high quality and state-of-the-art papers. Finally, more than 65 full papers are collected in the programme (oral and poster), and over 120 surface metrologists from 17 countries (or economies) will attend the Conference. As stated in the preface by Professor Thomas, this series of conferences were founded by Tom and late Professor Ken Stout in the United Kingdom more than thirty years ago. I was lucky to join Ken's research group in Birmingham, and to start my journey over surface metrology in 1989, under the financial support from ITRI. With the encouragement from Professor Liam Blunt and endeavors of my colleagues, we are able to hold the Conference first time in emerging Asia, and to ''carry on the heritage and pave the way to the future'' (a Chinese proverb) in surface metrology. Taiwan is also known as Formosa, from Portuguese Ilha Formosa, which means ''Beautiful Island

  3. Computational modeling of colorimetric primary transducer for metrological assurance in additive manufacturing

    NASA Astrophysics Data System (ADS)

    Skliarov, Volodymyr

    2018-03-01

    Many additive manufacturing (AM) systems are based on laser technology. The advantage of laser technology is that it provides a high-intensity and high-collimation energy beam that can be controlled. Since AM requires that the material on each layer has to be solid or connected to the previous one, the energy of laser radiation is exactly the needed technical tool for the processing of the material. AM uses two types of laser processing: cutting and heating. One of the most popular (common) types of measurements in the field of laser metrology is the control of the energy parameters of the sources of laser radiation. At present, calorimeters provide the highest accuracy of absolute measurements of laser radiation in the power range from several watts to tens of kilowatts. The main elements that determine the accuracy of reproduction, maintenance and transfer of the unit of laser power are the primary measuring converters (PMCs), which are the part of the equipment of the national primary measurement standards of Ukraine. A significant contribution to the uncertainty budget of the primary measuring calorimetric converter is the unbalanced replacement of laser radiation by the heat flux that calibrates this converter. The heterogeneous internal structure of the calorimetric primary converter, the nonlinearity of processes occurring in it, and the multifactorial process of its calibration substantially complicate the development of primary measuring converters. The purpose of this paper is to simulate the thermal field of the primary converter for maximum reduction of the uncertainty of calibration. The presented research is a part of the scientific work that NSC "Institute of Metrology" carries out under COOMET and EMPIRE projects. The modeling was performed in the academic version of ANSYS.

  4. The research progress of metrological 248nm deep ultraviolent microscope inspection device

    NASA Astrophysics Data System (ADS)

    Wang, Zhi-xin; Li, Qi; Gao, Si-tian; Shi, Yu-shu; Li, Wei; Li, Shi

    2016-01-01

    In lithography process, the precision of wafer pattern to a large extent depends on the geometric dimensioning and tolerance of photomasks when accuracy of lithography aligner is certain. Since the minimum linewidth (Critical Dimension) of the aligner exposing shrinks to a few tens of nanometers in size, one-tenth of tolerance errors in fabrication may lead to microchip function failure, so it is very important to calibrate these errors of photomasks. Among different error measurement instruments, deep ultraviolent (DUV) microscope because of its high resolution, as well as its advantages compared to scanning probe microscope restrained by measuring range and scanning electron microscope restrained by vacuum environment, makes itself the most suitable apparatus. But currently there is very few DUV microscope adopting 248nm optical system, means it can attain 80nm resolution; furthermore, there is almost no DUV microscope possessing traceable calibration capability. For these reason, the National Institute of Metrology, China is developing a metrological 248nm DUV microscope mainly consists of DUV microscopic components, PZT and air supporting stages as well as interferometer calibration framework. In DUV microscopic component, the Köhler high aperture transmit condenser, DUV splitting optical elements and PMT pinhole scanning elements are built. In PZT and air supporting stages, a novel PZT actuating flexural hinge stage nested separate X, Y direction kinematics and a friction wheel driving long range air supporting stage are researched. In interferometer framework, a heterodyne multi-pass interferometer measures XY axis translation and Z axis rotation through Zerodur mirror mounted on stage. It is expected the apparatus has the capability to calibrate one dimensional linewidths and two dimensional pitches ranging from 200nm to 50μm with expanded uncertainty below 20nm.

  5. Advanced overlay analysis through design based metrology

    NASA Astrophysics Data System (ADS)

    Ji, Sunkeun; Yoo, Gyun; Jo, Gyoyeon; Kang, Hyunwoo; Park, Minwoo; Kim, Jungchan; Park, Chanha; Yang, Hyunjo; Yim, Donggyu; Maruyama, Kotaro; Park, Byungjun; Yamamoto, Masahiro

    2015-03-01

    As design rule shrink, overlay has been critical factor for semiconductor manufacturing. However, the overlay error which is determined by a conventional measurement with an overlay mark based on IBO and DBO often does not represent the physical placement error in the cell area. The mismatch may arise from the size or pitch difference between the overlay mark and the cell pattern. Pattern distortion caused by etching or CMP also can be a source of the mismatch. In 2014, we have demonstrated that method of overlay measurement in the cell area by using DBM (Design Based Metrology) tool has more accurate overlay value than conventional method by using an overlay mark. We have verified the reproducibility by measuring repeatable patterns in the cell area, and also demonstrated the reliability by comparing with CD-SEM data. We have focused overlay mismatching between overlay mark and cell area until now, further more we have concerned with the cell area having different pattern density and etch loading. There appears a phenomenon which has different overlay values on the cells with diverse patterning environment. In this paper, the overlay error was investigated from cell edge to center. For this experiment, we have verified several critical layers in DRAM by using improved(Better resolution and speed) DBM tool, NGR3520.

  6. Laser source for dimensional metrology: investigation of an iodine stabilized system based on narrow linewidth 633 nm DBR diode

    NASA Astrophysics Data System (ADS)

    Rerucha, Simon; Yacoot, Andrew; Pham, Tuan M.; Cizek, Martin; Hucl, Vaclav; Lazar, Josef; Cip, Ondrej

    2017-04-01

    We demonstrated that an iodine stabilized distributed Bragg reflector (DBR) diode based laser system lasing at a wavelength in close proximity to λ =633 nm could be used as an alternative laser source to the helium-neon lasers in both scientific and industrial metrology. This yields additional advantages besides the optical frequency stability and coherence: inherent traceability, wider optical frequency tuning range, higher output power and high frequency modulation capability. We experimentally investigated the characteristics of the laser source in two major steps: first using a wavelength meter referenced to a frequency comb controlled with a hydrogen maser and then on an interferometric optical bench testbed where we compared the performance of the laser system with that of a traditional frequency stabilized He-Ne laser. The results indicate that DBR diode laser system provides a good laser source for applications in dimensional (nano)metrology, especially in conjunction with novel interferometric detection methods exploiting high frequency modulation or multiaxis measurement systems.

  7. Advanced optical imaging platform for CD metrology and defect review on 130-nm to 100-nm node reticles: an overview of preliminary results

    NASA Astrophysics Data System (ADS)

    Hourd, Andrew C.; Grimshaw, Anthony; Scheuring, Gerd; Gittinger, Christian; Brueck, Hans-Juergen; Chen, Shiuh-Bin; Chen, Parkson W.; Hartmann, Hans; Ordynskyy, Volodymyr; Jonckheere, Rik M.; Philipsen, Vicky; Schaetz, Thomas; Sommer, Karl

    2002-08-01

    Critical Dimension fidelity continues to be one of the key driving parameters defining photomask quality and printing performance. The present advanced optical CD metrology systems, operating at i-line, will very soon be challenged as viable tools owing to their restricted resolution and measurement linearity impact on the ability to produce repeatable measurements. Alternative measurement technologies such as CD-SEM and -AFM have started to appear, but are also not without tier concerns in the field of reticle CD metrology. This paper introduces a new optical metrology system (MueTec /) operating at DUV wavelength (248nm), which has been specifically designed to meet the resolution and measurement repeatability requirements of reticle manufacture at the 130nm and 100nm nodes. The system is based upon a specially designed mechanical-optical platform for maximum stability and very advanced optical, illumination, alignment and software systems. The at wavelength operation of this system also makes it an ideal platform for defect printability analysis and review. The system is currently part of a European Commission funded assessment project (IST-2000-28086: McD'OR) to develop a testing strategy to verify the system performance, agree on equipment specifications and demonstrate its capability on advanced production reticles - including long-term reliability. It is the preliminary results from this evaluation that are presented here.

  8. Certified Reference Material for Use in 1H, 31P, and 19F Quantitative NMR, Ensuring Traceability to the International System of Units.

    PubMed

    Rigger, Romana; Rück, Alexander; Hellriegel, Christine; Sauermoser, Robert; Morf, Fabienne; Breitruck, KathrinBreitruck; Obkircher, Markus

    2017-09-01

    In recent years, quantitative NMR (qNMR) spectroscopy has become one of the most important tools for content determination of organic substances and quantitative evaluation of impurities. Using Certified Reference Materials (CRMs) as internal or external standards, the extensively used qNMR method can be applied for purity determination, including unbroken traceability to the International System of Units (SI). The implementation of qNMR toward new application fields, e.g., metabolomics, environmental analysis, and physiological pathway studies, brings along more complex molecules and systems, thus making use of 1H qNMR challenging. A smart workaround is possible by the use of other NMR active nuclei, namely 31P and 19F. This article presents the development of three classes of qNMR CRMs based on different NMR active nuclei (1H, 31P, and 19F), and the corresponding approaches to establish traceability to the SI through primary CRMs from the National Institute of Standards and Technology and the National Metrology Institute of Japan. These TraceCERT® qNMR CRMs are produced under ISO/IEC 17025 and ISO Guide 34 using high-performance qNMR.

  9. Living cell dry mass measurement using quantitative phase imaging with quadriwave lateral shearing interferometry: an accuracy and sensitivity discussion.

    PubMed

    Aknoun, Sherazade; Savatier, Julien; Bon, Pierre; Galland, Frédéric; Abdeladim, Lamiae; Wattellier, Benoit; Monneret, Serge

    2015-01-01

    Single-cell dry mass measurement is used in biology to follow cell cycle, to address effects of drugs, or to investigate cell metabolism. Quantitative phase imaging technique with quadriwave lateral shearing interferometry (QWLSI) allows measuring cell dry mass. The technique is very simple to set up, as it is integrated in a camera-like instrument. It simply plugs onto a standard microscope and uses a white light illumination source. Its working principle is first explained, from image acquisition to automated segmentation algorithm and dry mass quantification. Metrology of the whole process, including its sensitivity, repeatability, reliability, sources of error, over different kinds of samples and under different experimental conditions, is developed. We show that there is no influence of magnification or spatial light coherence on dry mass measurement; effect of defocus is more critical but can be calibrated. As a consequence, QWLSI is a well-suited technique for fast, simple, and reliable cell dry mass study, especially for live cells.

  10. Metrological Software Test for Simulating the Method of Determining the Thermocouple Error in Situ During Operation

    NASA Astrophysics Data System (ADS)

    Chen, Jingliang; Su, Jun; Kochan, Orest; Levkiv, Mariana

    2018-04-01

    The simplified metrological software test (MST) for modeling the method of determining the thermocouple (TC) error in situ during operation is considered in the paper. The interaction between the proposed MST and a temperature measuring system is also reflected in order to study the error of determining the TC error in situ during operation. The modelling studies of the random error influence of the temperature measuring system, as well as interference magnitude (both the common and normal mode noises) on the error of determining the TC error in situ during operation using the proposed MST, have been carried out. The noise and interference of the order of 5-6 μV cause the error of about 0.2-0.3°C. It is shown that high noise immunity is essential for accurate temperature measurements using TCs.

  11. Optimized Biasing of Pump Laser Diodes in a Highly Reliable Metrology Source for Long-Duration Space Missions

    NASA Technical Reports Server (NTRS)

    Poberezhskiy, Ilya; Chang, Daniel; Erlig, Hernan

    2011-01-01

    Non Planar Ring Oscillator (NPRO) lasers are highly attractive for metrology applications. NPRO reliability for prolonged space missions is limited by reliability of 808 nm pump diodes. Combined laser farm aging parameter allows comparing different bias approaches. Monte-Carlo software developed to calculate the reliability of laser pump architecture, perform parameter sensitivity studies To meet stringent Space Interferometry Mission (SIM) Lite lifetime reliability / output power requirements, we developed a single-mode Laser Pump Module architecture that: (1) provides 2 W of power at 808 nm with >99.7% reliability for 5.5 years (2) consists of 37 de-rated diode lasers operating at -5C, with outputs combined in a very low loss 37x1 all-fiber coupler

  12. Methodological aspects of multicenter studies with quantitative PET.

    PubMed

    Boellaard, Ronald

    2011-01-01

    Quantification of whole-body FDG PET studies is affected by many physiological and physical factors. Much of the variability in reported standardized uptake value (SUV) data seen in the literature results from the variability in methodology applied among these studies, i.e., due to the use of different scanners, acquisition and reconstruction settings, region of interest strategies, SUV normalization, and/or corrections methods. To date, the variability in applied methodology prohibits a proper comparison and exchange of quantitative FDG PET data. Consequently, the promising role of quantitative PET has been demonstrated in several monocentric studies, but these published results cannot be used directly as a guideline for clinical (multicenter) trials performed elsewhere. In this chapter, the main causes affecting whole-body FDG PET quantification and strategies to minimize its inter-institute variability are addressed.

  13. Foundations of Metrology: Important Early Works on Weights and Measures in the Library of the National Bureau of Standards.

    ERIC Educational Resources Information Center

    Terner, Janet R.

    The purpose of this project was to survey the National Bureau of Standards library holdings of pre-1900 works on metrology and from these, to assemble a special collection of historically important documents. The 265 imprints selected for the collection are listed in this report. Each entry includes the main author, title, imprint, and collation…

  14. Picometre and nanoradian heterodyne interferometry and its application in dilatometry and surface metrology

    NASA Astrophysics Data System (ADS)

    Schuldt, T.; Gohlke, M.; Kögel, H.; Spannagel, R.; Peters, A.; Johann, U.; Weise, D.; Braxmaier, C.

    2012-05-01

    A high-sensitivity heterodyne interferometer implementing differential wavefront sensing for tilt measurement was developed over the last few years. With this setup, using an aluminium breadboard and compact optical mounts with a beam height of 2 cm, noise levels less than 5 pm Hz-1/2 in translation and less than 10 nrad Hz-1/2 in tilt measurement, both for frequencies above 10-2 Hz, have been demonstrated. Here, a new, compact and ruggedized interferometer setup utilizing a baseplate made of Zerodur, a thermally and mechanically highly stable glass ceramic with a coefficient of thermal expansion (CTE) of 2 × 10-8 K-1, is presented. The optical components are fixed to the baseplate using a specifically developed, easy-to-handle, assembly-integration technology based on a space-qualified two-component epoxy. While developed as a prototype for future applications aboard satellite space missions (such as Laser Interferometer Space Antenna), the interferometer is used in laboratory experiments for dilatometry and surface metrology. A first dilatometer setup with a demonstrated accuracy of 10-7 K-1 in CTE measurement was realized. As it was seen that the accuracy is limited by the dimensional stability of the sample tube support, a new setup was developed utilizing Zerodur as structural material for the sample tube support. In another activity, the interferometer is used for characterization of high-quality mirror surfaces at the picometre level and for high-accuracy two-dimensional surface characterization in a prototype for industrial applications. In this paper, the corresponding designs, their realizations and first measurements of both applications in dilatometry and surface metrology are presented.

  15. [Metrology research on biomedical engineering publications from China in recent years].

    PubMed

    Yu, Lu; Su, Juan; Wang, Ying; Sha, Xianzheng

    2014-12-01

    The present paper is to evaluate the scientific research level and development trends of biomedical engineering in China using metrology analysis on Chinese biomedical engineering scientific literatures. Pubmed is used to search the biomedical engineering publications in recent 5 years which are indexed by Science Citation Index, and the number and cited times of these publications and the impact factor of the journals are analyzed. The results show that comparing with the world, although the number of the publication in China has increased in recent 5 years, there is still much room for improvement. Among Chinese mainland, Hongkong and Taiwan, Chinese mainland maintains the obvious advantage in this subject, but Hongkong has the highest average cited number. Shanghai and Beijing have better research ability than other areas in Chinese mainland.

  16. Magnetic properties comparison of mass standards among seventeen national metrology institutes

    NASA Astrophysics Data System (ADS)

    Becerra, L. O.; Berry, J.; Chang, C. S.; Chapman, G. D.; Chung, J. W.; Davis, R. S.; Field, I.; Fuchs, P.; Jacobsson, U.; Lee, S. M.; Loayza, V. M.; Madec, T.; Matilla, C.; Ooiwa, A.; Scholz, F.; Sutton, C.; van Andel, I.

    2006-10-01

    The ubiquitous technology of magnetic force compensation of gravitational forces acting on artifacts on the pans of modern balances and comparators has brought with it the problem of magnetic leakage from the compensation coils. Leaking magnetic fields, as well as those due to the surroundings of the balance, can interact with the artifact whose mass is to be determined, causing erroneous values to be observed. For this reason, and to comply with normative standards, it has become important for mass metrologists to evaluate the magnetic susceptibility and any remanent magnetization that mass standards may possess. This paper describes a comparison of measurements of these parameters among seventeen national metrology institutes. The measurements are made on three transfer standards whose magnetic parameters span the range that might be encountered in stainless steel mass standards.

  17. Metrology and Alignment of Light Weight Grazing Incidence X-Ray Mirrors

    NASA Technical Reports Server (NTRS)

    Zhang, William; Content, David; Petre, Robert; Saha, Timo

    2000-01-01

    Metrology and alignment of light weight X-ray optics have been a challenge for two reasons: (1) that the intrinsic mirror quality and distortions caused by handling can not be easily separated, and (2) the diffraction limits of the visible light become a severe problem at the order of one arc-minute. Traditional methods of using a normal incident pencil or small parallel beam which monitors a tiny fraction of the mirror in question at a given time can not adequately monitor those distortions. We are developing a normal incidence setup that monitors a large fraction, if not the whole, of the mirror at any given time. It will allow us to align thin X-ray mirrors to-an accuracy of a few arc seconds or to a limit dominated by the mirror intrinsic quality.

  18. Airborne hygrometer calibration inter-comparison against a metrological water vapour standard

    NASA Astrophysics Data System (ADS)

    Smorgon, Denis; Boese, Norbert; Ebert, Volker

    2014-05-01

    Water vapour is the most important atmospheric greenhouse gas, which causes a major feedback to warming and other changes in the climate system. Knowledge of the distribution of water vapour and its climate induced changes is especially important in the upper troposphere and lower stratosphere (UT/LS) where vapour plays a critical role in atmospheric radiative balance, cirrus cloud formation, and photochemistry. But, our understanding of water in the UT/LS is limited by significant uncertainties in current UT/LS water measurements. One of the most comprehensive inter-comparison campaigns for airborne hygrometers, termed AQUAVIT (AV1) [1], took place in 2007 at the AIDA chamber at the Karlsruhe Institute of Technology (KIT) in Germany. AV1 was a well-defined, referred, blind inter-comparison of 22 airborne field instruments from 17 international research groups. One major metrological deficit of AV1, however, was, that no traceable reference instrument participated in the inter-comparison experiments and that the calibration procedures of the participating instruments were not monitored or interrogated. Consequently a follow-up inter-comparison was organized in April 2013, which for the first time also provides a traceable link to the international humidity scale. This AQUAVIT2 (AV2) campaign (details see: http://www.imk-aaf.kit.edu/aquavit/index.php/Main_Page) was again located at KIT/AIDA and organised by an international organizing committee including KIT, PTB, FZJ and others. Generally AV2 is divided in two parallel comparisons: 1) AV2-A uses the AIDA chamber for a simultaneous comparison of all instruments (incl. sampling and in-situ instruments) over a broad range of conditions characteristic for the UT/LS; 2) AV2-B, about which this paper is reporting, is a sequential comparison of selected hygrometers and (when possible) their reference calibration infrastructures by means of a chilled mirror hygrometer traced back to the primary National humidity standard

  19. Formation metrology and control for large separated optics space telescopes

    NASA Technical Reports Server (NTRS)

    Mettler, E.; Quadrelli, M.; Breckenridge, W.

    2002-01-01

    In this paper we present formation flying performance analysis initial results for a representative large space telescope composed of separated optical elements [Mett 02]. A virtual-structure construct (an equivalent rigid body) is created by unique metrology and control that combines both centralized and decentralized methods. The formation may be in orbit at GEO for super-resolution Earth observation, as in the case of Figure 1, or it may be in an Earth-trailing orbit for astrophysics, Figure 2. Extended applications are envisioned for exo-solar planet interferometric imaging by a formation of very large separated optics telescopes, Figure 3. Space telescopes, with such large apertures and f/10 to f/100 optics, are not feasible if connected by massive metering structures. Instead, the new virtual-structure paradigm of information and control connectivity between the formation elements provides the necessary spatial rigidity and alignment precision for the telescope.

  20. Two-mode bosonic quantum metrology with number fluctuations

    NASA Astrophysics Data System (ADS)

    De Pasquale, Antonella; Facchi, Paolo; Florio, Giuseppe; Giovannetti, Vittorio; Matsuoka, Koji; Yuasa, Kazuya

    2015-10-01

    We search for the optimal quantum pure states of identical bosonic particles for applications in quantum metrology, in particular, in the estimation of a single parameter for the generic two-mode interferometric setup. We consider the general case in which the total number of particles is fluctuating around an average N with variance Δ N2 . By recasting the problem in the framework of classical probability, we clarify the maximal accuracy attainable and show that it is always larger than the one reachable with a fixed number of particles (i.e., Δ N =0 ). In particular, for larger fluctuations, the error in the estimation diminishes proportionally to 1 /Δ N , below the Heisenberg-like scaling 1 /N . We also clarify the best input state, which is a quasi-NOON state for a generic setup and, for some special cases, a two-mode Schrödinger-cat state with a vacuum component. In addition, we search for the best state within the class of pure Gaussian states with a given average N , which is revealed to be a product state (with no entanglement) with a squeezed vacuum in one mode and the vacuum in the other.

  1. Design of crossed planar phase grating for metrology

    NASA Astrophysics Data System (ADS)

    Tang, Yu; Chen, Xinrong; Li, Chaoming; Wang, Rui; Xu, Haiyan; Cheng, Yushui

    2018-01-01

    Crossed-grating is widely used as the standard element for metrology in two-dimensional precision positioning system. It has many advantages such as high resolution, compact structure, good environmental adaptability and less Abbe error. In this paper, the design of crossed planar reflecting phase grating used under the Littrow condition with circularly polarized light at 780nm wavelength has been carried out. The aim of the design is to find out the range of structure parameters of crossed-grating that has higher -1st order diffraction efficiency and good efficiency equilibrium for both of TE- and TM-polarized incident lights. By adoption of the Fourier modal method (FMM), the microstructure parameters of the 1200lines/mm crossed grating with the duty cycle range of 10% to 50% and the profile depth of 150nm to 350nm have been searched exactly. The calculation results show that: When the duty cycle range of the grating is 42% to 44% and profile depth is 210nm to 220nm, the -1st diffraction efficiencies of TE- and TM-polarized lights are both above 60% and the efficiency equilibrium is better than 80%.

  2. Quantitative proteomics to study carbapenem resistance in Acinetobacter baumannii

    PubMed Central

    Tiwari, Vishvanath; Tiwari, Monalisa

    2014-01-01

    Acinetobacter baumannii is an opportunistic pathogen causing pneumonia, respiratory infections and urinary tract infections. The prevalence of this lethal pathogen increases gradually in the clinical setup where it can grow on artificial surfaces, utilize ethanol as a carbon source. Moreover it resists desiccation. Carbapenems, a β-lactam, are the most commonly prescribed drugs against A. baumannii. Resistance against carbapenem has emerged in Acinetobacter baumannii which can create significant health problems and is responsible for high morbidity and mortality. With the development of quantitative proteomics, a considerable progress has been made in the study of carbapenem resistance of Acinetobacter baumannii. Recent updates showed that quantitative proteomics has now emerged as an important tool to understand the carbapenem resistance mechanism in Acinetobacter baumannii. Present review also highlights the complementary nature of different quantitative proteomic methods used to study carbapenem resistance and suggests to combine multiple proteomic methods for understanding the response to antibiotics by Acinetobacter baumannii. PMID:25309531

  3. A hybrid 2D/3D inspection concept with smart routing optimisation for high throughput, high dynamic range and traceable critical dimension metrology

    NASA Astrophysics Data System (ADS)

    Jones, Christopher W.; O’Connor, Daniel

    2018-07-01

    Dimensional surface metrology is required to enable advanced manufacturing process control for products such as large-area electronics, microfluidic structures, and light management films, where performance is determined by micrometre-scale geometry or roughness formed over metre-scale substrates. While able to perform 100% inspection at a low cost, commonly used 2D machine vision systems are insufficient to assess all of the functionally relevant critical dimensions in such 3D products on their own. While current high-resolution 3D metrology systems are able to assess these critical dimensions, they have a relatively small field of view and are thus much too slow to keep up with full production speeds. A hybrid 2D/3D inspection concept is demonstrated, combining a small field of view, high-performance 3D topography-measuring instrument with a large field of view, high-throughput 2D machine vision system. In this concept, the location of critical dimensions and defects are first registered using the 2D system, then smart routing algorithms and high dynamic range (HDR) measurement strategies are used to efficiently acquire local topography using the 3D sensor. A motion control platform with a traceable position referencing system is used to recreate various sheet-to-sheet and roll-to-roll inline metrology scenarios. We present the artefacts and procedures used to calibrate this hybrid sensor system for traceable dimensional measurement, as well as exemplar measurement of optically challenging industrial test structures.

  4. Returning to Work after Cancer: Quantitative Studies and Prototypical Narratives

    PubMed Central

    Steiner, John F.; Nowels, Carolyn T.; Main, Deborah S.

    2009-01-01

    Objective A combination of quantitative data and illustrative narratives may allow cancer survivorship researchers to disseminate their research findings more broadly. We identified recent, methodologically rigorous quantitative studies on return to work after cancer, summarized the themes from these studies, and illustrated those themes with narratives of individual cancer survivors. Methods We reviewed English-language studies of return to work for adult cancer survivors through June, 2008, and identified 13 general themes from papers that met methodological criteria (population-based sampling, prospective and longitudinal assessment, detailed assessment of work, evaluation of economic impact, assessment of moderators of work return, and large sample size). We drew survivorship narratives from a prior qualitative research study to illustrate these themes. Results Nine quantitative studies met 4 or more of our 6 methodological criteria. These studies suggested that most cancer survivors could return to work without residual disabilities. Cancer site, clinical prognosis, treatment modalities, socioeconomic status, and attributes of the job itself influenced the likelihood of work return. Three narratives - a typical survivor who returned to work after treatment, an individual unable to return to work, and an inspiring survivor who returned to work despite substantial barriers - illustrated many of the themes from the quantitative literature while providing additional contextual details. Conclusion Illustrative narratives can complement the findings of cancer survivorship research if researchers are rigorous and transparent in the selection, analysis, and retelling of those stories. PMID:19507264

  5. [Metrological analysis of measuring systems in testing an anticipatory reaction to the position of a moving object].

    PubMed

    Aksiuta, E F; Ostashev, A V; Sergeev, E V; Aksiuta, V E

    1997-01-01

    The methods of the information (entropy) error theory were used to make a metrological analysis of the well-known commercial measuring systems for timing an anticipative reaction (AR) to the position of a moving object, which is based on the electromechanical, gas-discharge, and electron principles. The required accuracy of measurement was ascertained to be achieved only by using the systems based on the electron principle of moving object simulation and AR measurement.

  6. SOLEIL shining on the solution-state structure of biomacromolecules by synchrotron X-ray footprinting at the Metrology beamline.

    PubMed

    Baud, A; Aymé, L; Gonnet, F; Salard, I; Gohon, Y; Jolivet, P; Brodolin, K; Da Silva, P; Giuliani, A; Sclavi, B; Chardot, T; Mercère, P; Roblin, P; Daniel, R

    2017-05-01

    Synchrotron X-ray footprinting complements the techniques commonly used to define the structure of molecules such as crystallography, small-angle X-ray scattering and nuclear magnetic resonance. It is remarkably useful in probing the structure and interactions of proteins with lipids, nucleic acids or with other proteins in solution, often better reflecting the in vivo state dynamics. To date, most X-ray footprinting studies have been carried out at the National Synchrotron Light Source, USA, and at the European Synchrotron Radiation Facility in Grenoble, France. This work presents X-ray footprinting of biomolecules performed for the first time at the X-ray Metrology beamline at the SOLEIL synchrotron radiation source. The installation at this beamline of a stopped-flow apparatus for sample delivery, an irradiation capillary and an automatic sample collector enabled the X-ray footprinting study of the structure of the soluble protein factor H (FH) from the human complement system as well as of the lipid-associated hydrophobic protein S3 oleosin from plant seed. Mass spectrometry analysis showed that the structural integrity of both proteins was not affected by the short exposition to the oxygen radicals produced during the irradiation. Irradiated molecules were subsequently analysed using high-resolution mass spectrometry to identify and locate oxidized amino acids. Moreover, the analyses of FH in its free state and in complex with complement C3b protein have allowed us to create a map of reactive solvent-exposed residues on the surface of FH and to observe the changes in oxidation of FH residues upon C3b binding. Studies of the solvent accessibility of the S3 oleosin show that X-ray footprinting offers also a unique approach to studying the structure of proteins embedded within membranes or lipid bodies. All the biomolecular applications reported herein demonstrate that the Metrology beamline at SOLEIL can be successfully used for synchrotron X-ray footprinting of

  7. Ultrastable laser array at 633 nm for real-time dimensional metrology

    NASA Astrophysics Data System (ADS)

    Lawall, John; Pedulla, J. Marc; Le Coq, Yann

    2001-07-01

    We describe a laser system for very-high-accuracy dimensional metrology. A sealed-cavity helium-neon laser is offset locked to an iodine-stabilized laser in order to realize a secondary standard with higher power and less phase noise. Synchronous averaging is employed to remove the effect of the frequency modulation present on the iodine-stabilized laser. Additional lasers are offset locked to the secondary standard for use in interferometry. All servo loops are implemented digitally. The offset-locked lasers have intrinsic linewidths of the order of 2.5 kHz and exhibit a rms deviation from the iodine-stabilized laser below 18 kHz. The amplitude noise is at the shot-noise limit for frequencies above 700 kHz. We describe and evaluate the system in detail, and include a discussion of the noise associated with various types of power supplies.

  8. Experimental metrology to obtain thermal phonon transmission coefficients at solid interfaces

    NASA Astrophysics Data System (ADS)

    Hua, Chengyun; Chen, Xiangwen; Ravichandran, Navaneetha K.; Minnich, Austin J.

    2017-05-01

    Interfaces play an essential role in phonon-mediated heat conduction in solids, impacting applications ranging from thermoelectric waste heat recovery to heat dissipation in electronics. From the microscopic perspective, interfacial phonon transport is described by transmission coefficients that link vibrational modes in the materials composing the interface. However, direct experimental determination of these coefficients is challenging because most experiments provide a mode-averaged interface conductance that obscures the microscopic detail. Here, we report a metrology to extract thermal phonon transmission coefficients at solid interfaces using ab initio phonon transport modeling and a thermal characterization technique, time-domain thermoreflectance. In combination with transmission electron microscopy characterization of the interface, our approach allows us to link the atomic structure of an interface to the spectral content of the heat crossing it. Our work provides a useful perspective on the microscopic processes governing interfacial heat conduction.

  9. Joint estimation of phase and phase diffusion for quantum metrology.

    PubMed

    Vidrighin, Mihai D; Donati, Gaia; Genoni, Marco G; Jin, Xian-Min; Kolthammer, W Steven; Kim, M S; Datta, Animesh; Barbieri, Marco; Walmsley, Ian A

    2014-04-14

    Phase estimation, at the heart of many quantum metrology and communication schemes, can be strongly affected by noise, whose amplitude may not be known, or might be subject to drift. Here we investigate the joint estimation of a phase shift and the amplitude of phase diffusion at the quantum limit. For several relevant instances, this multiparameter estimation problem can be effectively reshaped as a two-dimensional Hilbert space model, encompassing the description of an interferometer phase probed with relevant quantum states--split single-photons, coherent states or N00N states. For these cases, we obtain a trade-off bound on the statistical variances for the joint estimation of phase and phase diffusion, as well as optimum measurement schemes. We use this bound to quantify the effectiveness of an actual experimental set-up for joint parameter estimation for polarimetry. We conclude by discussing the form of the trade-off relations for more general states and measurements.

  10. Model-Based Infrared Metrology for Advanced Technology Nodes and 300 mm Wafer Processing

    NASA Astrophysics Data System (ADS)

    Rosenthal, Peter A.; Duran, Carlos; Tower, Josh; Mazurenko, Alex; Mantz, Ulrich; Weidner, Peter; Kasic, Alexander

    2005-09-01

    The use of infrared spectroscopy for production semiconductor process monitoring has evolved recently from primarily unpatterned, i.e. blanket test wafer measurements in a limited historical application space of blanket epitaxial, BPSG, and FSG layers to new applications involving patterned product wafer measurements, and new measurement capabilities. Over the last several years, the semiconductor industry has adopted a new set of materials associated with copper/low-k interconnects, and new structures incorporating exotic materials including silicon germanium, SOI substrates and high aspect ratio trenches. The new device architectures and more chemically sophisticated materials have raised new process control and metrology challenges that are not addressed by current measurement technology. To address the challenges we have developed a new infrared metrology tool designed for emerging semiconductor production processes, in a package compatible with modern production and R&D environments. The tool incorporates recent advances in reflectance instrumentation including highly accurate signal processing, optimized reflectometry optics, and model-based calibration and analysis algorithms. To meet the production requirements of the modern automated fab, the measurement hardware has been integrated with a fully automated 300 mm platform incorporating front opening unified pod (FOUP) interfaces, automated pattern recognition and high throughput ultra clean robotics. The tool employs a suite of automated dispersion-model analysis algorithms capable of extracting a variety of layer properties from measured spectra. The new tool provides excellent measurement precision, tool matching, and a platform for deploying many new production and development applications. In this paper we will explore the use of model based infrared analysis as a tool for characterizing novel bottle capacitor structures employed in high density dynamic random access memory (DRAM) chips. We will explore

  11. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  12. Neural network approximation of nonlinearity in laser nano-metrology system based on TLMI

    NASA Astrophysics Data System (ADS)

    Olyaee, Saeed; Hamedi, Samaneh

    2011-02-01

    In this paper, an approach based on neural network (NN) for nonlinearity modeling in a nano-metrology system using three-longitudinal-mode laser heterodyne interferometer (TLMI) for length and displacement measurements is presented. We model nonlinearity errors that arise from elliptically and non-orthogonally polarized laser beams, rotational error in the alignment of laser head with respect to the polarizing beam splitter, rotational error in the alignment of the mixing polarizer, and unequal transmission coefficients in the polarizing beam splitter. Here we use a neural network algorithm based on the multi-layer perceptron (MLP) network. The simulation results show that multi-layer feed forward perceptron network is successfully applicable to real noisy interferometer signals.

  13. Quantitative susceptibility mapping of human brain at 3T: a multisite reproducibility study.

    PubMed

    Lin, P-Y; Chao, T-C; Wu, M-L

    2015-03-01

    Quantitative susceptibility mapping of the human brain has demonstrated strong potential in examining iron deposition, which may help in investigating possible brain pathology. This study assesses the reproducibility of quantitative susceptibility mapping across different imaging sites. In this study, the susceptibility values of 5 regions of interest in the human brain were measured on 9 healthy subjects following calibration by using phantom experiments. Each of the subjects was imaged 5 times on 1 scanner with the same procedure repeated on 3 different 3T systems so that both within-site and cross-site quantitative susceptibility mapping precision levels could be assessed. Two quantitative susceptibility mapping algorithms, similar in principle, one by using iterative regularization (iterative quantitative susceptibility mapping) and the other with analytic optimal solutions (deterministic quantitative susceptibility mapping), were implemented, and their performances were compared. Results show that while deterministic quantitative susceptibility mapping had nearly 700 times faster computation speed, residual streaking artifacts seem to be more prominent compared with iterative quantitative susceptibility mapping. With quantitative susceptibility mapping, the putamen, globus pallidus, and caudate nucleus showed smaller imprecision on the order of 0.005 ppm, whereas the red nucleus and substantia nigra, closer to the skull base, had a somewhat larger imprecision of approximately 0.01 ppm. Cross-site errors were not significantly larger than within-site errors. Possible sources of estimation errors are discussed. The reproducibility of quantitative susceptibility mapping in the human brain in vivo is regionally dependent, and the precision levels achieved with quantitative susceptibility mapping should allow longitudinal and multisite studies such as aging-related changes in brain tissue magnetic susceptibility. © 2015 by American Journal of Neuroradiology.

  14. Analog to digital workflow improvement: a quantitative study.

    PubMed

    Wideman, Catherine; Gallet, Jacqueline

    2006-01-01

    This study tracked a radiology department's conversion from utilization of a Kodak Amber analog system to a Kodak DirectView DR 5100 digital system. Through the use of ProModel Optimization Suite, a workflow simulation software package, significant quantitative information was derived from workflow process data measured before and after the change to a digital system. Once the digital room was fully operational and the radiology staff comfortable with the new system, average patient examination time was reduced from 9.24 to 5.28 min, indicating that a higher patient throughput could be achieved. Compared to the analog system, chest examination time for modality specific activities was reduced by 43%. The percentage of repeat examinations experienced with the digital system also decreased to 8% vs. the level of 9.5% experienced with the analog system. The study indicated that it is possible to quantitatively study clinical workflow and productivity by using commercially available software.

  15. Quantitative geomorphologic studies from spaceborne platforms

    NASA Technical Reports Server (NTRS)

    Williams, R. S., Jr.

    1985-01-01

    Although LANDSAT images of our planet represent a quantum improvement in the availability of a global image-data set for independent or comparative regional geomorphic studies of landforms, such images have several limitations which restrict their suitability for quantitative geomorphic investigations. The three most serious deficiencies are: (1) photogrammetric inaccuracies, (2) two-dimensional nature of the data, and (3) spatial resolution. These deficiencies are discussed, as well as the use of stereoscopic images and laser altimeter data.

  16. In-die photomask registration and overlay metrology with PROVE using 2D correlation methods

    NASA Astrophysics Data System (ADS)

    Seidel, D.; Arnz, M.; Beyer, D.

    2011-11-01

    According to the ITRS roadmap, semiconductor industry drives the 193nm lithography to its limits, using techniques like double exposure, double patterning, mask-source optimization and inverse lithography. For photomask metrology this translates to full in-die measurement capability for registration and critical dimension together with challenging specifications for repeatability and accuracy. Especially, overlay becomes more and more critical and must be ensured on every die. For this, Carl Zeiss SMS has developed the next generation photomask registration and overlay metrology tool PROVE® which serves the 32nm node and below and which is already well established in the market. PROVE® features highly stable hardware components for the stage and environmental control. To ensure in-die measurement capability, sophisticated image analysis methods based on 2D correlations have been developed. In this paper we demonstrate the in-die capability of PROVE® and present corresponding measurement results for shortterm and long-term measurements as well as the attainable accuracy for feature sizes down to 85nm using different illumination modes and mask types. Standard measurement methods based on threshold criteria are compared with the new 2D correlation methods to demonstrate the performance gain of the latter. In addition, mask-to-mask overlay results of typical box-in-frame structures down to 200nm feature size are presented. It is shown, that from overlay measurements a reproducibility budget can be derived that takes into account stage, image analysis and global effects like mask loading and environmental control. The parts of the budget are quantified from measurement results to identify critical error contributions and to focus on the corresponding improvement strategies.

  17. Multicenter AIDS Cohort Study Quantitative Coronary Plaque Progression Study: rationale and design.

    PubMed

    Nakanishi, Rine; Post, Wendy S; Osawa, Kazuhiro; Jayawardena, Eranthi; Kim, Michael; Sheidaee, Nasim; Nezarat, Negin; Rahmani, Sina; Kim, Nicholas; Hathiramani, Nicolai; Susarla, Shriraj; Palella, Frank; Witt, Mallory; Blaha, Michael J; Brown, Todd T; Kingsley, Lawrence; Haberlen, Sabina A; Dailing, Christopher; Budoff, Matthew J

    2018-01-01

    The association of HIV with coronary atherosclerosis has been established; however, the progression of coronary atherosclerosis over time among participants with HIV is not well known. The Multicenter AIDS Cohort Study Quantitative Coronary Plaque Progression Study is a large prospective multicenter study quantifying progression of coronary plaque assessed by serial coronary computed tomography angiography (CTA). HIV-infected and uninfected men who were enrolled in the Multicenter AIDS Cohort Study Cardiovascular Substudy were eligible to complete a follow-up contrast coronary CTA 3-6 years after baseline. We measured coronary plaque volume and characteristics (calcified and noncalcified plaque including fibrous, fibrous-fatty, and low attenuation) and vulnerable plaque among HIV-infected and uninfected men using semiautomated plaque software to investigate the progression of coronary atherosclerosis over time. We describe a novel, large prospective multicenter study investigating incidence, transition of characteristics, and progression in coronary atherosclerosis quantitatively assessed by serial coronary CTAs among HIV-infected and uninfected men.

  18. Comparison of two metrological approaches for the prediction of human haptic perception

    NASA Astrophysics Data System (ADS)

    Neumann, Annika; Frank, Daniel; Vondenhoff, Thomas; Schmitt, Robert

    2016-06-01

    Haptic perception is regarded as a key component of customer appreciation and acceptance for various products. The prediction of customers’ haptic perception is of interest both during product development and production phases. This paper presents the results of a multivariate analysis between perceived roughness and texture related surface measurements, to examine whether perceived roughness can be accurately predicted using technical measurements. Studies have shown that standardized measurement parameters, such as the roughness coefficients (e.g. Rz or Ra), do not show a one-dimensional linear correlation with the human perception (of roughness). Thus, an alternative measurement method was compared to standard measurements of roughness, in regard to its capability of predicting perceived roughness through technical measurements. To estimate perceived roughness, an experimental study was conducted in which 102 subjects evaluated four sets of 12 different geometrical surface structures regarding their relative perceived roughness. The two different metrological procedures were examined in relation to their capability to predict the perceived roughness of the subjects stated within the study. The standardized measurements of the surface roughness were made using a structured light 3D-scanner. As an alternative method, surface induced vibrations were measured by a finger-like sensor during robot-controlled traverse over a surface. The presented findings provide a better understanding of the predictability of human haptic perception using technical measurements.

  19. Virtual Metrology applied in Run-to-Run Control for a Chemical Mechanical Planarization process

    NASA Astrophysics Data System (ADS)

    Jebri, M. A.; El Adel, E. M.; Graton, G.; Ouladsine, M.; Pinaton, J.

    2017-01-01

    This paper deals with missing data in semiconductor manufacturing derived from a measurement sampling strategies. The idea is to construct a virtual metrology module to estimate non measured variables using a new modified Just-In-Time Learning approach (JITL). The aim of this paper is to integrate estimated data into product control loop. In collaboration with our industrial partner STMicroelectronics Rousset, the accuracy of the proposed method is illustrated by using industrial data-sets derived from Chemical Mechanical Planarization (CMP) process that enables us to compare results obtained with the classical and the modified version of JITL approach. Then, the contribution of the estimated data is shown in product quality improvement.

  20. Industry/University/Government partnerships in metrology: A new paradigm for the future

    NASA Astrophysics Data System (ADS)

    Helms, C. R.

    1998-11-01

    A business process is described where Industry/University/Government interactions are optimized for highest productivity across these three sectors. This cross-functional approach provides for the rapid development of differentiated products for competitive advantage in industry, best of class scholarship and academically free university research, and the assurance of U.S. economic and military strength. The major focus of this paper will be R&D. However, the above objectives will only be met if effective transition from R&D into final product marketing, design, and manufacturing are included as an additional required concurrent, cross-functional activity. Metrology will be shown as an area that meets all the requirements for the development of a broad cross-functional partnership between industry, academia, and the Government that creates significant value for each sector.