Sample records for radhard soi technology

  1. Reconfigurable, Bi-Directional Flexfet Level Shifter for Low-Power, Rad-Hard Integration

    NASA Technical Reports Server (NTRS)

    DeGregorio, Kelly; Wilson, Dale G.

    2009-01-01

    Two prototype Reconfigurable, Bi-directional Flexfet Level Shifters (ReBiLS) have been developed, where one version is a stand-alone component designed to interface between external low voltage and high voltage, and the other version is an embedded integrated circuit (IC) for interface between internal low-voltage logic and external high-voltage components. Targeting stand-alone and embedded circuits separately allows optimization for these distinct applications. Both ReBiLS designs use the commercially available 180-nm Flex fet Independently Double-Gated (IDG) SOI CMOS (silicon on insulator, complementary metal oxide semiconductor) technology. Embedded ReBiLS circuits were integrated with a Reed-Solomon (RS) encoder using CMOS Ultra-Low-Power Radiation Tolerant (CULPRiT) double-gated digital logic circuits. The scope of the project includes: creation of a new high-voltage process, development of ReBiLS circuit designs, and adjustment of the designs to maximize performance through simulation, layout, and manufacture of prototypes. The primary technical objectives were to develop a high-voltage, thick oxide option for the 180-nm Flexfet process, and to develop a stand-alone ReBiLS IC with two 8-channel I/O busses, 1.8 2.5 I/O on the low-voltage pins, 5.0-V-tolerant input and 3.3-V output I/O on the high-voltage pins, and 100-MHz minimum operation with 10-pF external loads. Another objective was to develop an embedded, rad-hard ReBiLS I/O cell with 0.5-V low-voltage operation for interface with core logic, 5.0-V-tolerant input and 3.3-V output I/O pins, and 100-MHz minimum operation with 10- pF external loads. A third objective was to develop a 0.5- V Reed-Solomon Encoder with embedded ReBilS I/O: Transfer the existing CULPRiT RS encoder from a 0.35-micron bulk-CMOS process to the ASI 180-nm Flexfet, rad-hard SOI Process. 0.5-V low-voltage core logic. 5.0-V-tolerant input and 3.3-V output I/O pins. 100-MHz minimum operation with 10- pF external loads. The stand

  2. Mongoose: Creation of a Rad-Hard MIPS R3000

    NASA Technical Reports Server (NTRS)

    Lincoln, Dan; Smith, Brian

    1993-01-01

    This paper describes the development of a 32 Bit, full MIPS R3000 code-compatible Rad-Hard CPU, code named Mongoose. Mongoose progressed from contract award, through the design cycle, to operational silicon in 12 months to meet a space mission for NASA. The goal was the creation of a fully static device capable of operation to the maximum Mil-883 derated speed, worst-case post-rad exposure with full operational integrity. This included consideration of features for functional enhancements relating to mission compatibility and removal of commercial practices not supported by Rad-Hard technology. 'Mongoose' developed from an evolution of LSI Logic's MIPS-I embedded processor, LR33000, code named Cobra, to its Rad-Hard 'equivalent', Mongoose. The term 'equivalent' is used to infer that the core of the processor is functionally identical, allowing the same use and optimizations of the MIPS-I Instruction Set software tool suite for compilation, software program trace, etc. This activity was started in September of 1991 under a contract from NASA-Goddard Space Flight Center (GSFC)-Flight Data Systems. The approach affected a teaming of NASA-GSFC for program development, LSI Logic for system and ASIC design coupled with the Rad-Hard process technology, and Harris (GASD) for Rad-Hard microprocessor design expertise. The program culminated with the generation of Rad-Hard Mongoose prototypes one year later.

  3. SOI technology for power management in automotive and industrial applications

    NASA Astrophysics Data System (ADS)

    Stork, Johannes M. C.; Hosey, George P.

    2017-02-01

    Semiconductor on Insulator (SOI) technology offers an assortment of opportunities for chip manufacturers in the Power Management market. Recent advances in the automotive and industrial markets, along with emerging features, the increasing use of sensors, and the ever-expanding "Internet of Things" (IoT) are providing for continued growth in these markets while also driving more complex solutions. The potential benefits of SOI include the ability to place both high-voltage and low-voltage devices on a single chip, saving space and cost, simplifying designs and models, and improving performance, thereby cutting development costs and improving time to market. SOI also offers novel new approaches to long-standing technologies.

  4. BIMOS transistor solutions for ESD protection in FD-SOI UTBB CMOS technology

    NASA Astrophysics Data System (ADS)

    Galy, Philippe; Athanasiou, S.; Cristoloveanu, S.

    2016-01-01

    We evaluate the Electro-Static Discharge (ESD) protection capability of BIpolar MOS (BIMOS) transistors integrated in ultrathin silicon film for 28 nm Fully Depleted SOI (FD-SOI) Ultra Thin Body and BOX (UTBB) high-k metal gate technology. Using as a reference our measurements in hybrid bulk-SOI structures, we extend the BIMOS design towards the ultrathin silicon film. Detailed study and pragmatic evaluations are done based on 3D TCAD simulation with standard physical models using Average Current Slope (ACS) method and quasi-static DC stress (Average Voltage Slope AVS method). These preliminary 3D TACD results are very encouraging in terms of ESD protection efficiency in advanced FD-SOI CMOS.

  5. A Wide Range Temperature Sensor Using SOI Technology

    NASA Technical Reports Server (NTRS)

    Patterson, Richard L.; Elbuluk, Malik E.; Hammoud, Ahmad

    2009-01-01

    Silicon-on-insulator (SOI) technology is becoming widely used in integrated circuit chips for its advantages over the conventional silicon counterpart. The decrease in leakage current combined with lower power consumption allows electronics to operate in a broader temperature range. This paper describes the performance of an SOIbased temperature sensor under extreme temperatures and thermal cycling. The sensor comprised of a temperature-to-frequency relaxation oscillator circuit utilizing an SOI precision timer chip. The circuit was evaluated under extreme temperature exposure and thermal cycling between -190 C and +210 C. The results indicate that the sensor performed well over the entire test temperature range and it was able to re-start at extreme temperatures.

  6. Low-Power RF SOI-CMOS Technology for Distributed Sensor Networks

    NASA Technical Reports Server (NTRS)

    Dogan, Numan S.

    2003-01-01

    The objective of this work is to design and develop Low-Power RF SOI-CMOS Technology for Distributed Sensor Networks. We briefly report on the accomplishments in this work. We also list the impact of this work on graduate student research training/involvement.

  7. Fully Integrated, Miniature, High-Frequency Flow Probe Utilizing MEMS Leadless SOI Technology

    NASA Technical Reports Server (NTRS)

    Ned, Alex; Kurtz, Anthony; Shang, Tonghuo; Goodman, Scott; Giemette. Gera (d)

    2013-01-01

    This work focused on developing, fabricating, and fully calibrating a flowangle probe for aeronautics research by utilizing the latest microelectromechanical systems (MEMS), leadless silicon on insulator (SOI) sensor technology. While the concept of angle probes is not new, traditional devices had been relatively large due to fabrication constraints; often too large to resolve flow structures necessary for modern aeropropulsion measurements such as inlet flow distortions and vortices, secondary flows, etc. Mea surements of this kind demanded a new approach to probe design to achieve sizes on the order of 0.1 in. (.3 mm) diameter or smaller, and capable of meeting demanding requirements for accuracy and ruggedness. This approach invoked the use of stateof- the-art processing techniques to install SOI sensor chips directly onto the probe body, thus eliminating redundancy in sensor packaging and probe installation that have historically forced larger probe size. This also facilitated a better thermal match between the chip and its mount, improving stability and accuracy. Further, the leadless sensor technology with which the SOI sensing element is fabricated allows direct mounting and electrical interconnecting of the sensor to the probe body. This leadless technology allowed a rugged wire-out approach that is performed at the sensor length scale, thus achieving substantial sensor size reductions. The technology is inherently capable of high-frequency and high-accuracy performance in high temperatures and harsh environments.

  8. Some material structural properties of SOI substrates produced by SDB technology

    NASA Astrophysics Data System (ADS)

    Hui, Li; Guo-Liang, Sun; Juan, Zhan; Qin-Yi, Tong

    1987-10-01

    SOI substrates have been produced by silicon direct bonding (SDB) technology. Thermal oxides ranging in thickness from native oxide to 1 μm or even more, on either or both wafers have been bonded successfully. The fracture strength of the SOI layer is 130-200 kg/cm 2 which is similar to the value of intrinsic bulk silicon. Dislocations have been shown to be concentrated on the backsides of the substrate and no additional defects have been developed within 80 μm of the Si-SiO 2 bonding area. Mobility and minority carrier lifetime similar to that of the original bulk silicon have been obtained after annealing.

  9. Nanometric Integrated Temperature and Thermal Sensors in CMOS-SOI Technology

    PubMed Central

    Malits, Maria; Nemirovsky, Yael

    2017-01-01

    This paper reviews and compares the thermal and noise characterization of CMOS (complementary metal-oxide-semiconductor) SOI (Silicon on insulator) transistors and lateral diodes used as temperature and thermal sensors. DC analysis of the measured sensors and the experimental results in a broad (300 K up to 550 K) temperature range are presented. It is shown that both sensors require small chip area, have low power consumption, and exhibit linearity and high sensitivity over the entire temperature range. However, the diode’s sensitivity to temperature variations in CMOS-SOI technology is highly dependent on the diode’s perimeter; hence, a careful calibration for each fabrication process is needed. In contrast, the short thermal time constant of the electrons in the transistor’s channel enables measuring the instantaneous heating of the channel and to determine the local true temperature of the transistor. This allows accurate “on-line” temperature sensing while no additional calibration is needed. In addition, the noise measurements indicate that the diode’s small area and perimeter causes a high 1/f noise in all measured bias currents. This is a severe drawback for the sensor accuracy when using the sensor as a thermal sensor; hence, CMOS-SOI transistors are a better choice for temperature sensing. PMID:28758932

  10. Nanometric Integrated Temperature and Thermal Sensors in CMOS-SOI Technology.

    PubMed

    Malits, Maria; Nemirovsky, Yael

    2017-07-29

    This paper reviews and compares the thermal and noise characterization of CMOS (complementary metal-oxide-semiconductor) SOI (Silicon on insulator) transistors and lateral diodes used as temperature and thermal sensors. DC analysis of the measured sensors and the experimental results in a broad (300 K up to 550 K) temperature range are presented. It is shown that both sensors require small chip area, have low power consumption, and exhibit linearity and high sensitivity over the entire temperature range. However, the diode's sensitivity to temperature variations in CMOS-SOI technology is highly dependent on the diode's perimeter; hence, a careful calibration for each fabrication process is needed. In contrast, the short thermal time constant of the electrons in the transistor's channel enables measuring the instantaneous heating of the channel and to determine the local true temperature of the transistor. This allows accurate "on-line" temperature sensing while no additional calibration is needed. In addition, the noise measurements indicate that the diode's small area and perimeter causes a high 1/ f noise in all measured bias currents. This is a severe drawback for the sensor accuracy when using the sensor as a thermal sensor; hence, CMOS-SOI transistors are a better choice for temperature sensing.

  11. Monolithic integration of SOI waveguide photodetectors and transimpedance amplifiers

    NASA Astrophysics Data System (ADS)

    Li, Shuxia; Tarr, N. Garry; Ye, Winnie N.

    2018-02-01

    In the absence of commercial foundry technologies offering silicon-on-insulator (SOI) photonics combined with Complementary Metal Oxide Semiconductor (CMOS) transistors, monolithic integration of conventional electronics with SOI photonics is difficult. Here we explore the implementation of lateral bipolar junction transistors (LBJTs) and Junction Field Effect Transistors (JFETs) in a commercial SOI photonics technology lacking MOS devices but offering a variety of n- and p-type ion implants intended to provide waveguide modulators and photodetectors. The fabrication makes use of the commercial Institute of Microelectronics (IME) SOI photonics technology. Based on knowledge of device doping and geometry, simple compact LBJT and JFET device models are developed. These models are then used to design basic transimpedance amplifiers integrated with optical waveguides. The devices' experimental current-voltage characteristics results are reported.

  12. The operation of 0.35 μm partially depleted SOI CMOS technology in extreme environments

    NASA Astrophysics Data System (ADS)

    Li, Ying; Niu, Guofu; Cressler, John D.; Patel, Jagdish; Liu, S. T.; Reed, Robert A.; Mojarradi, Mohammad M.; Blalock, Benjamin J.

    2003-06-01

    We evaluate the usefulness of partially depleted SOI CMOS devices fabricated in a 0.35 μm technology on UNIBOND material for electronics applications requiring robust operation under extreme environment conditions consisting of low and/or high temperature, and under substantial radiation exposure. The threshold voltage, effective mobility, and the impact ionization parameters were determined across temperature for both the nFETs and the pFETs. The radiation response was characterized using threshold voltage shifts of both the front-gate and back-gate transistors. These results suggest that this 0.35 μm partially depleted SOI CMOS technology is suitable for operation across a wide range of extreme environment conditions consisting of: cryogenic temperatures down to 86 K, elevated temperatures up to 573 K, and under radiation exposure to 1.3 Mrad(Si) total dose.

  13. Assessment of SOI Devices and Circuits at Extreme Temperatures

    NASA Technical Reports Server (NTRS)

    Elbuluk, Malik; Hammoud, Ahmad; Patterson, Richard L.

    2007-01-01

    Electronics designed for use in future NASA space exploration missions are expected to encounter extreme temperatures and wide thermal swings. Such missions include planetary surface exploration, bases, rovers, landers, orbiters, and satellites. Electronics designed for such applications must, therefore, be able to withstand exposure to extreme temperatures and to perform properly for the duration of mission. The Low Temperature Electronics Program at the NASA Glenn Research Center focuses on research and development of electrical devices, circuits, and systems suitable for applications in deep space exploration missions and aerospace environment. Silicon-On-Insulator (SOI) technology has been under active consideration in the electronics industry for many years due to the advantages that it can provide in integrated circuit (IC) chips and computer processors. Faster switching, less power, radiationtolerance, reduced leakage, and high temp-erature capability are some of the benefits that are offered by using SOI-based devices. A few SOI circuits are available commercially. However, there is a noticeable interest in SOI technology for different applications. Very little data, however, exist on the performance of such circuits under cryogenic temperatures. In this work, the performance of SOI integrated circuits, evaluated under low temperature and thermal cycling, are reported. In particular, three examples of SOI circuits that have been tested for operation at low at temperatures are given. These circuits are SOI operational amplifiers, timers and power MOSFET drivers. The investigations were carried out to establish a baseline on the functionality and to determine suitability of these circuits for use in space exploration missions at cryogenic temperatures. The findings are useful to mission planners and circuit designers so that proper selection of electronic parts can be made, and risk assessment can be established for such circuits for use in space missions.

  14. Stopping electric field extension in a modified nanostructure based on SOI technology - A comprehensive numerical study

    NASA Astrophysics Data System (ADS)

    Anvarifard, Mohammad K.; Orouji, Ali A.

    2017-11-01

    This article has related a particular knowledge in order to reduce short channel effects (SCEs) in nano-devices based on silicon-on-insulator (SOI) MOSFETs. The device under study has been designed in 22 nm node technology with embedding Si3N4 extra oxide as a stopping layer of electric field and a useful heatsink for transferring generated heat. Two important subjects (DC characteristics and RF characteristics) have been investigated, simultaneously. Stopping electric field extension and enhancement of channel thermal conduction are introduced as an entrance gateway for this work so that improve the electrical characteristics, eventually. The inserted extra oxide made by the Si3N4 material has a vital impact on the modification of the electrical and thermal features in the proposed device. An immense comparison between the proposed SOI and conventional SOI showed that the proposed structure has higher electrical and thermal proficiency than the conventional structure in terms of main parameters such as short channel effects (SCEs), leakage current, floating body effect (FBE), self-heating effect (SHE), voltage gain, ratio of On-current to Off- current, transconductance, output conductance, minimum noise figure and power gain.

  15. Design and implementation of a low-power SOI CMOS receiver

    NASA Astrophysics Data System (ADS)

    Zencir, Ertan

    There is a strong demand for wireless communications in civilian and military applications, and space explorations. This work attempts to implement a low-power, high-performance fully-integrated receiver for deep space communications using Silicon on Insulator (SOI) CMOS technology. Design and implementation of a UHF low-IF receiver front-end in a 0.35-mum SOI CMOS technology are presented. Problems and challenges in implementing a highly integrated receiver at UHF are identified. Low-IF architecture, suitable for low-power design, has been adopted to mitigate the noise at the baseband. Design issues of the receiver building blocks including single-ended and differential LNA's, passive and active mixers, and variable gain/bandwidth complex filters are discussed. The receiver is designed to have a variable conversion gain of more than 100 dB with a 70 dB image rejection and a power dissipation of 45 mW from a 2.5-V supply. Design and measured performance of the LNA's, and the mixer are presented. Measurement results of RF front-end blocks including a single-ended LNA, a differential LNA, and a double-balanced mixer demonstrate the low power realizability of RF front-end circuits in SOI CMOS technology. We also report on the design and simulation of the image-rejecting complex IF filter and the full receiver circuit. Gain, noise, and linearity performance of the receiver components prove the viability of fully integrated low-power receivers in SOI CMOS technology.

  16. RC64, a Rad-Hard Many-Core High- Performance DSP for Space Applications

    NASA Astrophysics Data System (ADS)

    Ginosar, Ran; Aviely, Peleg; Gellis, Hagay; Liran, Tuvia; Israeli, Tsvika; Nesher, Roy; Lange, Fredy; Dobkin, Reuven; Meirov, Henri; Reznik, Dror

    2015-09-01

    RC64, a novel rad-hard 64-core signal processing chip targets DSP performance of 75 GMACs (16bit), 150 GOPS and 38 single precision GFLOPS while dissipating less than 10 Watts. RC64 integrates advanced DSP cores with a multi-bank shared memory and a hardware scheduler, also supporting DDR2/3 memory and twelve 3.125 Gbps full duplex high speed serial links using SpaceFibre and other protocols. The programming model employs sequential fine-grain tasks and a separate task map to define task dependencies. RC64 is implemented as a 300 MHz integrated circuit on a 65nm CMOS technology, assembled in hermetically sealed ceramic CCGA624 package and qualified to the highest space standards.

  17. RC64, a Rad-Hard Many-Core High-Performance DSP for Space Applications

    NASA Astrophysics Data System (ADS)

    Ginosar, Ran; Aviely, Peleg; Liran, Tuvia; Alon, Dov; Mandler, Alberto; Lange, Fredy; Dobkin, Reuven; Goldberg, Miki

    2014-08-01

    RC64, a novel rad-hard 64-core signal processing chip targets DSP performance of 75 GMACs (16bit), 150 GOPS and 20 single precision GFLOPS while dissipating less than 10 Watts. RC64 integrates advanced DSP cores with a multi-bank shared memory and a hardware scheduler, also supporting DDR2/3 memory and twelve 2.5 Gbps full duplex high speed serial links using SpaceFibre and other protocols. The programming model employs sequential fine-grain tasks and a separate task map to define task dependencies. RC64 is implemented as a 300 MHz integrated circuit on a 65nm CMOS technology, assembled in hermetically sealed ceramic CCGA624 package and qualified to the highest space standards.

  18. FinFET and UTBB for RF SOI communication systems

    NASA Astrophysics Data System (ADS)

    Raskin, Jean-Pierre

    2016-11-01

    Performance of RF integrated circuit (IC) is directly linked to the analog and high frequency characteristics of the transistors, the quality of the back-end of line process as well as the electromagnetic properties of the substrate. Thanks to the introduction of the trap-rich high-resistivity Silicon-on-Insulator (SOI) substrate on the market, the ICs requirements in term of linearity are fulfilled. Today partially depleted SOI MOSFET is the mainstream technology for RF SOI systems. Future generations of mobile communication systems will require transistors with better high frequency performance at lower power consumption. The advanced MOS transistors in competition are FinFET and Ultra Thin Body and Buried oxide (UTBB) SOI MOSFETs. Both devices have been intensively studied these last years. Most of the reported data concern their digital performance. In this paper, their analog/RF behavior is described and compared. Both show similar characteristics in terms of transconductance, Early voltage, voltage gain, self-heating issue but UTBB outperforms FinFET in terms of cutoff frequencies thanks to their relatively lower fringing parasitic capacitances.

  19. Reduction of leakage current at the gate edge of SDB SOI NMOS transistor

    NASA Astrophysics Data System (ADS)

    Kang, Sung-Weon; Lyu, Jong-Son; Kang, Jin-Young; Kang, Sang-Won; Lee, Jin-Hyo

    1995-06-01

    Leakage current through the parasitic channel formed at the sidewall of the SOI active region has been investigated by measuring the subthreshold I-V characteristics. Partially depleted (PD, approximately 2500 Angstrom) and fully depleted (FD, approximately 800 Angstrom) SOI NMOS transistors of enhancement mode have been fabricated using the silicon direct bonding (SDB) technology. Isolation processes for the SOI devices were LOCOS, LOCOS with channel stop ion implantation or fully recessed trench (FRT). The electron concentration of the parasitic channel is calculated by the PISCES Ilb simulation. As a result, leakage current of the FD mode SOI device with FRT isolation at the front and back gate biases of 0 V was reduced to approximately pA and no hump was seen on the drain current curve.

  20. A Single Chip Automotive Control LSI Using SOI Bipolar Complimentary MOS Double-Diffused MOS

    NASA Astrophysics Data System (ADS)

    Kawamoto, Kazunori; Mizuno, Shoji; Abe, Hirofumi; Higuchi, Yasushi; Ishihara, Hideaki; Fukumoto, Harutsugu; Watanabe, Takamoto; Fujino, Seiji; Shirakawa, Isao

    2001-04-01

    Using the example of an air bag controller, a single chip solution for automotive sub-control systems is investigated, by using a technological combination of improved circuits, bipolar complimentary metal oxide silicon double-diffused metal oxide silicon (BiCDMOS) and thick silicon on insulator (SOI). For circuits, an automotive specific reduced instruction set computer (RISC) center processing unit (CPU), and a novel, all integrated system clock generator, dividing digital phase-locked loop (DDPLL) are proposed. For the device technologies, the authors use SOI-BiCDMOS with trench dielectric-isolation (TD) which enables integration of various devices in an integrated circuit (IC) while avoiding parasitic miss operations by ideal isolation. The structures of the SOI layer and TD, are optimized for obtaining desired device characteristics and high electromagnetic interference (EMI) immunity. While performing all the air bag system functions over a wide range of supply voltage, and ambient temperature, the resulting single chip reduces the electronic parts to about a half of those in the conventional air bags. The combination of single chip oriented circuits and thick SOI-BiCDMOS technologies offered in this work is valuable for size reduction and improved reliability of automotive electronic control units (ECUs).

  1. A MEMS SOI-based piezoresistive fluid flow sensor

    NASA Astrophysics Data System (ADS)

    Tian, B.; Li, H. F.; Yang, H.; Song, D. L.; Bai, X. W.; Zhao, Y. L.

    2018-02-01

    In this paper, a SOI (silicon-on-insulator)-based piezoresistive fluid flow sensor is presented; the presented flow sensor mainly consists of a nylon sensing head, stainless steel cantilever beam, SOI sensor chip, printed circuit board, half-cylinder gasket, and stainless steel shell. The working principle of the sensor and some detailed contrastive analysis about the sensor structure were introduced since the nylon sensing head and stainless steel cantilever beam have distinct influence on the sensor performance; the structure of nylon sensing head and stainless steel cantilever beam is also discussed. The SOI sensor chip was fabricated using micro-electromechanical systems technologies, such as reactive ion etching and low pressure chemical vapor deposition. The designed fluid sensor was packaged and tested; a calibration installation system was purposely designed for the sensor experiment. The testing results indicated that the output voltage of the sensor is proportional to the square of the fluid flow velocity, which is coincident with the theoretical derivation. The tested sensitivity of the sensor is 3.91 × 10-4 V ms2/kg.

  2. Improved operation of graded-channel SOI nMOSFETs down to liquid helium temperature

    NASA Astrophysics Data System (ADS)

    Pavanello, Marcelo Antonio; de Souza, Michelly; Ribeiro, Thales Augusto; Martino, João Antonio; Flandre, Denis

    2016-11-01

    This paper presents the operation of Graded-Channel (GC) Silicon-On-Insulator (SOI) nMOSFETs at low temperatures down to liquid helium temperature in comparison to standard uniformly doped transistors. Devices from two different technologies have been measured and show that the mobility increase rate with temperature for GC SOI transistors is similar to uniformly doped devices for temperatures down to 90 K. However, at liquid helium temperature the rate of mobility increase is larger in GC SOI than in standard devices because of the different mobility scattering mechanisms. The analog properties of GC SOI devices have been investigated down to 4.16 K and show that because of its better transconductance and output conductance, an intrinsic voltage gain improvement with temperature is also obtained for devices in the whole studied temperature range. GC devices are also capable of reducing the impact ionization due to the high electric field in the drain region, increasing the drain breakdown voltage of fully-depleted SOI MOSFETs at any studied temperature and the kink voltage at 4.16 K.

  3. Micromachined Thin-Film Sensors for SOI-CMOS Co-Integration

    NASA Astrophysics Data System (ADS)

    Laconte, Jean; Flandre, D.; Raskin, Jean-Pierre

    Co-integration of sensors with their associated electronics on a single silicon chip may provide many significant benefits regarding performance, reliability, miniaturization and process simplicity without significantly increasing the total cost. Micromachined Thin-Film Sensors for SOI-CMOS Co-integration covers the challenges and interests and demonstrates the successful co-integration of gas flow sensors on dielectric membrane, with their associated electronics, in CMOS-SOI technology. We firstly investigate the extraction of residual stress in thin layers and in their stacking and the release, in post-processing, of a 1 μm-thick robust and flat dielectric multilayered membrane using Tetramethyl Ammonium Hydroxide (TMAH) silicon micromachining solution.

  4. Special Issue: Planar Fully-Depleted SOI technology

    NASA Astrophysics Data System (ADS)

    Allibert, F.; Hiramoto, T.; Nguyen, B. Y.

    2016-03-01

    We are in the era of mobile computing with smart handheld devices and remote data storage "in the cloud," with devices that are almost always on and driven by needs of high data transmission rate, instant access/connection and long battery life. With all the ambitious requirements for better performance with lower power consumption, the SoC solution must also be cost-effective in order to capture the large, highly-competitive consumer mobile and wearable markets. The Fully-Depleted SOI device/circuit is a unique option that can satisfy all these requirements and has made tremendous progress in development for various applications and adoption by foundries, integrated device manufacturers (IDM), and fabless companies in the last 3 years.

  5. Analysis of Aluminum-Nitride SOI for High-Temperature Electronics

    NASA Technical Reports Server (NTRS)

    Biegel, Bryan A.; Osman, Mohamed A.; Yu, Zhiping

    2000-01-01

    We use numerical simulation to investigate the high-temperature (up to 500K) operation of SOI MOSFETs with Aluminum-Nitride (AIN) buried insulators, rather than the conventional silicon-dioxide (SiO2). Because the thermal conductivity of AIN is about 100 times that of SiO2, AIN SOI should greatly reduce the often severe self-heating problem of conventional SOI, making SOI potentially suitable for high-temperature applications. A detailed electrothermal transport model is used in the simulations, and solved with a PDE solver called PROPHET In this work, we compare the performance of AIN-based SOI with that of SiO2-based SOI and conventional MOSFETs. We find that AIN SOI does indeed remove the self-heating penalty of SOL However, several device design trade-offs remain, which our simulations highlight.

  6. Method to improve commercial bonded SOI material

    DOEpatents

    Maris, Humphrey John; Sadana, Devendra Kumar

    2000-07-11

    A method of improving the bonding characteristics of a previously bonded silicon on insulator (SOI) structure is provided. The improvement in the bonding characteristics is achieved in the present invention by, optionally, forming an oxide cap layer on the silicon surface of the bonded SOI structure and then annealing either the uncapped or oxide capped structure in a slightly oxidizing ambient at temperatures greater than 1200.degree. C. Also provided herein is a method for detecting the bonding characteristics of previously bonded SOI structures. According to this aspect of the present invention, a pico-second laser pulse technique is employed to determine the bonding imperfections of previously bonded SOI structures.

  7. Advanced Liquid-Free, Piezoresistive, SOI-Based Pressure Sensors for Measurements in Harsh Environments.

    PubMed

    Ngo, Ha-Duong; Mukhopadhyay, Biswaijit; Ehrmann, Oswin; Lang, Klaus-Dieter

    2015-08-18

    In this paper we present and discuss two innovative liquid-free SOI sensors for pressure measurements in harsh environments. The sensors are capable of measuring pressures at high temperatures. In both concepts media separation is realized using a steel membrane. The two concepts represent two different strategies for packaging of devices for use in harsh environments and at high temperatures. The first one is a "one-sensor-one-packaging_technology" concept. The second one uses a standard flip-chip bonding technique. The first sensor is a "floating-concept", capable of measuring pressures at temperatures up to 400 °C (constant load) with an accuracy of 0.25% Full Scale Output (FSO). A push rod (mounted onto the steel membrane) transfers the applied pressure directly to the center-boss membrane of the SOI-chip, which is placed on a ceramic carrier. The chip membrane is realized by Deep Reactive Ion Etching (DRIE or Bosch Process). A novel propertied chip housing employing a sliding sensor chip that is fixed during packaging by mechanical preloading via the push rod is used, thereby avoiding chip movement, and ensuring optimal push rod load transmission. The second sensor can be used up to 350 °C. The SOI chips consists of a beam with an integrated centre-boss with was realized using KOH structuring and DRIE. The SOI chip is not "floating" but bonded by using flip-chip technology. The fabricated SOI sensor chip has a bridge resistance of 3250 Ω. The realized sensor chip has a sensitivity of 18 mV/µm measured using a bridge current of 1 mA.

  8. Design, fabrication, and characteristics of microheaters with low consumption power using SDB SOI membrane and trench structures

    NASA Astrophysics Data System (ADS)

    Chung, Gwiy-Sang; Choi, Sung-Kyu; Nam, Hoy-Duck

    2001-10-01

    This paper presents the optimized design, fabrication and thermal characteristics of micro-heaters for thermal MEMS (micro electro mechanical system) applications using SDB and SOI membranes and trench structures. The micro-heater is based on a thermal measurement principle and contains for thermal isolation regions a 10 micrometers thick Si membrane with oxide-filled trenches in the SOI membrane rim. The micro- heater was fabricated with Pt-RTD on the same substrate by using MgO as medium layer. The thermal characteristics of the micro-heater with the SOI membrane is 280 degree(s)C at input power 0.9 W; for the SOI membrane with 10 trenches, it is 580 degree(s)C due to reduction of the external thermal loss. Consequently, the micro-heater with trenches in SOI membrane rim provides a powerful and versatile alternative technology for improving the performance of micro-thermal sensors and actuators.

  9. Evaluation of a High Temperature SOI Half-Bridge MOSFET Driver, Type CHT-HYPERION

    NASA Technical Reports Server (NTRS)

    Patterson, Richard; Hammoud, Ahmad

    2010-01-01

    Silicon-On-Insulator (SOI) technology utilizes the addition of an insulation layer in its structure to reduce leakage currents and to minimize parasitic junctions. As a result, SOIbased devices exhibit reduced internal heating as compared to the conventional silicon devices, consume less power, and can withstand higher operating temperatures. In addition, SOI electronic integrated circuits display good tolerance to radiation by virtue of introducing barriers or lengthening the path for penetrating particles and/or providing a region for trapping incident ionization. The benefits of these parts make them suitable for use in deep space and planetary exploration missions where extreme temperatures and radiation are encountered. Although designed for high temperatures, very little data exist on the operation of SOI devices and circuits at cryogenic temperatures. In this work, the performance of a commercial-off-the-shelf (COTS) SOI half-bridge driver integrated circuit was evaluated under extreme temperatures and thermal cycling. The investigations were carried out to establish a baseline on the functionality and to determine suitability of this device for use in space exploration missions under extreme temperature conditions.

  10. Low-Power SOI CMOS Transceiver

    NASA Technical Reports Server (NTRS)

    Fujikawa, Gene (Technical Monitor); Cheruiyot, K.; Cothern, J.; Huang, D.; Singh, S.; Zencir, E.; Dogan, N.

    2003-01-01

    The work aims at developing a low-power Silicon on Insulator Complementary Metal Oxide Semiconductor (SOI CMOS) Transceiver for deep-space communications. RF Receiver must accomplish the following tasks: (a) Select the desired radio channel and reject other radio signals, (b) Amplify the desired radio signal and translate them back to baseband, and (c) Detect and decode the information with Low BER. In order to minimize cost and achieve high level of integration, receiver architecture should use least number of external filters and passive components. It should also consume least amount of power to minimize battery cost, size, and weight. One of the most stringent requirements for deep-space communication is the low-power operation. Our study identified that two candidate architectures listed in the following meet these requirements: (1) Low-IF receiver, (2) Sub-sampling receiver. The low-IF receiver uses minimum number of external components. Compared to Zero-IF (Direct conversion) architecture, it has less severe offset and flicker noise problems. The Sub-sampling receiver amplifies the RF signal and samples it using track-and-hold Subsampling mixer. These architectures provide low-power solution for the short- range communications missions on Mars. Accomplishments to date include: (1) System-level design and simulation of a Double-Differential PSK receiver, (2) Implementation of Honeywell SOI CMOS process design kit (PDK) in Cadence design tools, (3) Design of test circuits to investigate relationships between layout techniques, geometry, and low-frequency noise in SOI CMOS, (4) Model development and verification of on-chip spiral inductors in SOI CMOS process, (5) Design/implementation of low-power low-noise amplifier (LNA) and mixer for low-IF receiver, and (6) Design/implementation of high-gain LNA for sub-sampling receiver. Our initial results show that substantial improvement in power consumption is achieved using SOI CMOS as compared to standard CMOS

  11. Performance analysis of SOI MOSFET with rectangular recessed channel

    NASA Astrophysics Data System (ADS)

    Singh, M.; Mishra, S.; Mohanty, S. S.; Mishra, G. P.

    2016-03-01

    In this paper a two dimensional (2D) rectangular recessed channel-silicon on insulator metal oxide semiconductor field effect transistor (RRC-SOI MOSFET), using the concept of groove between source and drain regions, which is one of the channel engineering technique to suppress the short channel effect (SCE). This suppression is mainly due to corner potential barrier of the groove and the simulation is carried out by using ATLAS 2D device simulator. To have further improvement of SCE in RRC-SOI MOSFET, three more devices are designed by using dual material gate (DMG) and gate dielectric technique, which results in formation of devices i.e. DMRRC-SOI,MLSMRRC-SOI, MLDMRRC-SOI MOSFET. The effect of different structures of RRC-SOI on AC and RF parameters are investigated and the importance of these devices over RRC MOSFET regarding short channel effect is analyzed.

  12. Silicon-on-insulator field effect transistor with improved body ties for rad-hard applications

    DOEpatents

    Schwank, James R.; Shaneyfelt, Marty R.; Draper, Bruce L.; Dodd, Paul E.

    2001-01-01

    A silicon-on-insulator (SOI) field-effect transistor (FET) and a method for making the same are disclosed. The SOI FET is characterized by a source which extends only partially (e.g. about half-way) through the active layer wherein the transistor is formed. Additionally, a minimal-area body tie contact is provided with a short-circuit electrical connection to the source for reducing floating body effects. The body tie contact improves the electrical characteristics of the transistor and also provides an improved single-event-upset (SEU) radiation hardness of the device for terrestrial and space applications. The SOI FET also provides an improvement in total-dose radiation hardness as compared to conventional SOI transistors fabricated without a specially prepared hardened buried oxide layer. Complementary n-channel and p-channel SOI FETs can be fabricated according to the present invention to form integrated circuits (ICs) for commercial and military applications.

  13. Reconfigurable ultra-thin film GDNMOS device for ESD protection in 28 nm FD-SOI technology

    NASA Astrophysics Data System (ADS)

    Athanasiou, Sotirios; Legrand, Charles-Alexandre; Cristoloveanu, Sorin; Galy, Philippe

    2017-02-01

    We propose a novel ESD protection device (GDNMOS: Gated Diode merged NMOS) fabricated with 28 nm UTBB FD-SOI high-k metal gate technology. By modifying the combination of the diode and transistor gate stacks, the robustness of the device is optimized, achieving a maximum breakdown voltage (VBR) of 4.9 V. In addition, modifications of the gate length modulate the trigger voltage (Vt1) with a minimum value of 3.5 V. Variable electrostatic doping (gate-induced) in diode and transistor body enables reconfigurable operation. A lower doping of the base enhances the bipolar gain, leading to thyristor behavior. This innovative architecture demonstrates excellent capability for high-voltage protection while maintaining a latch-up free behavior.

  14. Characterization of pixel sensor designed in 180 nm SOI CMOS technology

    NASA Astrophysics Data System (ADS)

    Benka, T.; Havranek, M.; Hejtmanek, M.; Jakovenko, J.; Janoska, Z.; Marcisovska, M.; Marcisovsky, M.; Neue, G.; Tomasek, L.; Vrba, V.

    2018-01-01

    A new type of X-ray imaging Monolithic Active Pixel Sensor (MAPS), X-CHIP-02, was developed using a 180 nm deep submicron Silicon On Insulator (SOI) CMOS commercial technology. Two pixel matrices were integrated into the prototype chip, which differ by the pixel pitch of 50 μm and 100 μm. The X-CHIP-02 contains several test structures, which are useful for characterization of individual blocks. The sensitive part of the pixel integrated in the handle wafer is one of the key structures designed for testing. The purpose of this structure is to determine the capacitance of the sensitive part (diode in the MAPS pixel). The measured capacitance is 2.9 fF for 50 μm pixel pitch and 4.8 fF for 100 μm pixel pitch at -100 V (default operational voltage). This structure was used to measure the IV characteristics of the sensitive diode. In this work, we report on a circuit designed for precise determination of sensor capacitance and IV characteristics of both pixel types with respect to X-ray irradiation. The motivation for measurement of the sensor capacitance was its importance for the design of front-end amplifier circuits. The design of pixel elements, as well as circuit simulation and laboratory measurement techniques are described. The experimental results are of great importance for further development of MAPS sensors in this technology.

  15. CCSDS SOIS Subnetwork Services: A First Reference Implementation

    NASA Astrophysics Data System (ADS)

    Gunes-Lasnet, S.; Notebaert, O.; Farges, P.-Y.; Fowell, S.

    2008-08-01

    The CCSDS SOIS working groups are developing a range of standards for spacecraft onboard interfaces with the intention of promoting reuse of hardware and software designs across a range of missions while enabling interoperability of onboard systems from diverse sources. The CCSDS SOIS working groups released in June 2007 their red books for both Subnetwork and application support layers. In order to allow the verification of these recommended standards and to pave the way for future implementation onboard spacecrafts, it is essential for these standards to be prototyped on a representative spacecraft platform, to provide valuable feed back to the SOIS working group. A first reference implementation of both Subnetwork and Application Support SOIS services over SpaceWire and Mil-Std-1553 bus is thus being realised by SciSys Ltd and Astrium under an ESA contract.

  16. Investigation of radiation hardened SOI wafer fabricated by ion-cut technique

    NASA Astrophysics Data System (ADS)

    Chang, Yongwei; Wei, Xing; Zhu, Lei; Su, Xin; Gao, Nan; Dong, Yemin

    2018-07-01

    Total ionizing dose (TID) effect on Silicon-on-Insulator (SOI) wafers due to inherent buried oxide (BOX) is a significant concern as it leads to the degradation of electrical properties of SOI-based devices and circuits, even failures of the systems associated with them. This paper reports the radiation hardening implementation of SOI wafer fabricated by ion-cut technique integrated with low-energy Si+ implantation. The electrical properties and radiation response of pseudo-MOS transistors are analyzed. The results demonstrate that the hardening process can significantly improve the TID tolerance of SOI wafers by generating Si nanocrystals (Si-NCs) within the BOX. The presence of Si-NCs created through Si+ implantation is evidenced by high-resolution transmission electron microscopy (HR-TEM). Under the pass gate (PG) irradiation bias, the anti-radiation properties of H-gate SOI nMOSFETs suggest that the radiation hardened SOI wafers with optimized Si implantation dose can perform effectively in a radiation environment. The radiation hardening process provides an excellent way to reinforce the TID tolerance of SOI wafers.

  17. SOI-silicon as structural layer for NEMS applications

    NASA Astrophysics Data System (ADS)

    Villarroya, Maria; Figueras, Eduard; Perez-Murano, Francesc; Campabadal, Francesca; Esteve, Jaume; Barniol, Nuria

    2003-04-01

    The objective of this paper is to present the compatibilization between a standard CMOS on bulk silicon process and the fabrication of nanoelectromechanical systems using Silicon On Insulator (SOI) wafers as substrate. This compatibilization is required as first step to fabricate a very high sensitive mass sensor based on a resonant cantilever with nanometer dimensions using the crystal silicon COI layer as the structural layer. The cantilever is driven electrostatically to its resonance frequency by an electrode placed parallel to the cantilever. A capacitive readout is performed. To achieve very high resolution, very small dimensions of the cantilever (nanometer range) are needed. For this reason, the control and excitation circuitry has to be integrated on the same substrate than the cantilever. Prior to the development of this sensor, it is necessary to develop a substrate able to be used first to integrate a standard CMOS circuit and afterwards to fabricate the nano-resonator. Starting from a SOI wafer and using very simple processes, the SOI silicon layer is removed, except from the areas in which nano-structures will be fabricated; obtaining a silicon substrate with islands with a SOI structure. The CMOS circuitry will be integrated on the bulk silicon region, while the remainder SOI region will be used for the nanoresonator. The silicon oxide of this SOI region is used as insulator; and as sacrificial layer, etched to release the cantilever from the substrate. To assure the cover of the different CMOS layers over the step of the islands, it is essential to avoid very sharp steps.

  18. Cantilever-type Thermal Microactuators Fabricated by SOI-MUMPs with U-type and I-type Configurations

    NASA Astrophysics Data System (ADS)

    Osada, Takahiro; Ochiai, Kuniyuki; Osada, Kazuki; Muro, Hideo

    Recently, the micro fluid systems have been extensively studied, where microactuators such as micro valves fabricated by MEMS technology are essential for realizing these systems. In this paper thermal microactuators with U-type and I-type shapes fabricated by SOI-MUMPs technology have been investigated for optimizing their configurations.

  19. Advanced Liquid-Free, Piezoresistive, SOI-Based Pressure Sensors for Measurements in Harsh Environments

    PubMed Central

    Ngo, Ha-Duong; Mukhopadhyay, Biswaijit; Ehrmann, Oswin; Lang, Klaus-Dieter

    2015-01-01

    In this paper we present and discuss two innovative liquid-free SOI sensors for pressure measurements in harsh environments. The sensors are capable of measuring pressures at high temperatures. In both concepts media separation is realized using a steel membrane. The two concepts represent two different strategies for packaging of devices for use in harsh environments and at high temperatures. The first one is a “one-sensor-one-packaging_technology” concept. The second one uses a standard flip-chip bonding technique. The first sensor is a “floating-concept”, capable of measuring pressures at temperatures up to 400 °C (constant load) with an accuracy of 0.25% Full Scale Output (FSO). A push rod (mounted onto the steel membrane) transfers the applied pressure directly to the center-boss membrane of the SOI-chip, which is placed on a ceramic carrier. The chip membrane is realized by Deep Reactive Ion Etching (DRIE or Bosch Process). A novel propertied chip housing employing a sliding sensor chip that is fixed during packaging by mechanical preloading via the push rod is used, thereby avoiding chip movement, and ensuring optimal push rod load transmission. The second sensor can be used up to 350 °C. The SOI chips consists of a beam with an integrated centre-boss with was realized using KOH structuring and DRIE. The SOI chip is not “floating” but bonded by using flip-chip technology. The fabricated SOI sensor chip has a bridge resistance of 3250 Ω. The realized sensor chip has a sensitivity of 18 mV/µm measured using a bridge current of 1 mA. PMID:26295235

  20. Silicon-On-Insulator (SOI) Devices and Mixed-Signal Circuits for Extreme Temperature Applications

    NASA Technical Reports Server (NTRS)

    Patterson, Richard; Hammoud, Ahmad; Elbuluk, Malik

    2008-01-01

    Electronic systems in planetary exploration missions and in aerospace applications are expected to encounter extreme temperatures and wide thermal swings in their operational environments. Electronics designed for such applications must, therefore, be able to withstand exposure to extreme temperatures and to perform properly for the duration of the missions. Electronic parts based on silicon-on-insulator (SOI) technology are known, based on device structure, to provide faster switching, consume less power, and offer better radiation-tolerance compared to their silicon counterparts. They also exhibit reduced current leakage and are often tailored for high temperature operation. However, little is known about their performance at low temperature. The performance of several SOI devices and mixed-signal circuits was determined under extreme temperatures, cold-restart, and thermal cycling. The investigations were carried out to establish a baseline on the functionality and to determine suitability of these devices for use in space exploration missions under extreme temperatures. The experimental results obtained on selected SOI devices are presented and discussed in this paper.

  1. Investigation of veritcal graded channel doping in nanoscale fully-depleted SOI-MOSFET

    NASA Astrophysics Data System (ADS)

    Ramezani, Zeinab; Orouji, Ali A.

    2016-10-01

    For achieving reliable transistor, we investigate an amended channel doping (ACD) engineering which improves the electrical and thermal performances of fully-depleted silicon-on-insulator (SOI) MOSFET. We have called the proposed structure with the amended channel doping engineering as ACD-SOI structure and compared it with a conventional fully-depleted SOI MOSFET (C-SOI) with uniform doping distribution using 2-D ATLAS simulator. The amended channel doping is a vertical graded doping that is distributed from the surface of structure with high doping density to the bottom of channel, near the buried oxide, with low doping density. Short channel effects (SCEs) and leakage current suppress due to high barrier height near the source region and electric field modification in the ACD-SOI in comparison with the C-SOI structure. Furthermore, by lower electric field and electron temperature near the drain region that is the place of hot carrier generation, we except the improvement of reliability and gate induced drain lowering (GIDL) in the proposed structure. Undesirable Self heating effect (SHE) that become a critical challenge for SOI MOSFETs is alleviated in the ACD-SOI structure because of utilizing low doping density near the buried oxide. Thus, refer to accessible results, the ACD-SOI structure with graded distribution in vertical direction is a reliable device especially in low power and high temperature applications.

  2. Performance of an SOI Boot-Strapped Full-Bridge MOSFET Driver, Type CHT-FBDR, under Extreme Temperatures

    NASA Technical Reports Server (NTRS)

    Patterson, Richard; Hammoud, Ahmad

    2009-01-01

    Electronic systems designed for use in deep space and planetary exploration missions are expected to encounter extreme temperatures and wide thermal swings. Silicon-based devices are limited in their wide-temperature capability and usually require extra measures, such as cooling or heating mechanisms, to provide adequate ambient temperature for proper operation. Silicon-On-Insulator (SOI) technology, on the other hand, lately has been gaining wide spread use in applications where high temperatures are encountered. Due to their inherent design, SOI-based integrated circuit chips are able to operate at temperatures higher than those of the silicon devices by virtue of reducing leakage currents, eliminating parasitic junctions, and limiting internal heating. In addition, SOI devices provide faster switching, consume less power, and offer improved radiation-tolerance. Very little data, however, exist on the performance of such devices and circuits under cryogenic temperatures. In this work, the performance of an SOI bootstrapped, full-bridge driver integrated circuit was evaluated under extreme temperatures and thermal cycling. The investigations were carried out to establish a baseline on the functionality and to determine suitability of this device for use in space exploration missions under extreme temperature conditions.

  3. Characterizing SOI Wafers By Use Of AOTF-PHI

    NASA Technical Reports Server (NTRS)

    Cheng, Li-Jen; Li, Guann-Pyng; Zang, Deyu

    1995-01-01

    Developmental nondestructive method of characterizing layers of silicon-on-insulator (SOI) wafer involves combination of polarimetric hyperspectral imaging by use of acousto-optical tunable filters (AOTF-PHI) and computational resources for extracting pertinent data on SOI wafers from polarimetric hyperspectral images. Offers high spectral resolution and both ease and rapidity of optical-wavelength tuning. Further efforts to implement all of processing of polarimetric spectral image data in special-purpose hardware for sake of procesing speed. Enables characterization of SOI wafers in real time for online monitoring and adjustment of production. Also accelerates application of AOTF-PHI to other applications in which need for high-resolution spectral imaging, both with and without polarimetry.

  4. Fabrication of SOI structures with buried cavities using Si wafer direct bonding and electrochemical etch-stop

    NASA Astrophysics Data System (ADS)

    Chung, Gwiy-Sang

    2003-10-01

    This paper describes the fabrication of SOI structures with buried cavities using SDB and electrochemical etch-stop. These methods are suitable for thick membrane fabrication with accurate thickness, uniformity, and flatness. After a feed-through hole for supplied voltage and buried cavities was formed on a handle Si wafer with p-type, the handle wafer was bonded to an active Si wafer consisting of a p-type substrate with an n-type epitaxial layer corresponding to membrane thickness. The bonded pair was then thinned until electrochemical etch-stop occurred at the pn junction during electrochemical etchback. By using the SDB SOI structure with buried cavities, active membranes, which have a free standing structure with a dimension of 900×900 μm2, were fabricated. It is confirmed that the fabrication process of the SDB SOI structure with buried cavities is a powerful and versatile technology for new MEMS applications.

  5. Study of CMOS-SOI Integrated Temperature Sensing Circuits for On-Chip Temperature Monitoring.

    PubMed

    Malits, Maria; Brouk, Igor; Nemirovsky, Yael

    2018-05-19

    This paper investigates the concepts, performance and limitations of temperature sensing circuits realized in complementary metal-oxide-semiconductor (CMOS) silicon on insulator (SOI) technology. It is shown that the MOSFET threshold voltage ( V t ) can be used to accurately measure the chip local temperature by using a V t extractor circuit. Furthermore, the circuit's performance is compared to standard circuits used to generate an accurate output current or voltage proportional to the absolute temperature, i.e., proportional-to-absolute temperature (PTAT), in terms of linearity, sensitivity, power consumption, speed, accuracy and calibration needs. It is shown that the V t extractor circuit is a better solution to determine the temperature of low power, analog and mixed-signal designs due to its accuracy, low power consumption and no need for calibration. The circuit has been designed using 1 µm partially depleted (PD) CMOS-SOI technology, and demonstrates a measurement inaccuracy of ±1.5 K across 300 K⁻500 K temperature range while consuming only 30 µW during operation.

  6. A novel nanoscale SOI MOSFET by embedding undoped region for improving self-heating effect

    NASA Astrophysics Data System (ADS)

    Ghaffari, Majid; Orouji, Ali A.

    2018-06-01

    Because of the low thermal conductivity of the SiO2 (oxide), the Buried Oxide (BOX) layer in a Silicon-On-Insulator Metal-Oxide Semiconductor Field-Effect Transistor (SOI MOSFET) prevents heat dissipation in the silicon layer and causes increase in the device lattice temperature. In this paper, a new technique is proposed for reducing Self-Heating Effects (SHEs). The key idea in the proposed structure is using a Silicon undoped Region (SR) in the nanoscale SOI MOSFET under the drain and channel regions in order to decrease the SHE. The novel transistor is named Silicon undoped Region SOI-MOSFET (SR-SOI). Due to the embedded silicon undoped region in the suitable place, the proposed structure has decreased the device lattice temperature. The location and dimensions of the proposed region have been carefully optimized to achieve the best results. This work has explored enhancement such as decreased maximum lattice temperature, increased electron mobility, increased drain current, lower DC drain conductance and higher DC transconductance and also decreased bandgap energy variations. Also, for modeling of the structure in the SPICE tools, the main characterizations have been extracted such as thermal resistance (RTH), thermal capacitance (CTH), and SHE characteristic frequency (fTH). All parameters are extracted in relation with the AC operation indicate excellent performance of the SR-SOI device. The results show that proposed region is a suitable alternative to oxide as a part of the buried oxide layer in SOI structures and has better performance in high temperature. Using two-dimensional (2-D) and two-carrier device simulation is done comparison of the SR-SOI structure with a Conventional SOI (C-SOI). As a result, the SR-SOI device can be regarded as a useful substitution for the C-SOI device in nanoscale integrated circuits as a reliable device.

  7. The Bridges SOI Model School Program at Palo Verde School, Palo Verde, Arizona.

    ERIC Educational Resources Information Center

    Stock, William A.; DiSalvo, Pamela M.

    The Bridges SOI Model School Program is an educational service based upon the SOI (Structure of Intellect) Model School curriculum. For the middle seven months of the academic year, all students in the program complete brief daily exercises that develop specific cognitive skills delineated in the SOI model. Additionally, intensive individual…

  8. A novel self-aligned oxygen (SALOX) implanted SOI MOSFET device structure

    NASA Astrophysics Data System (ADS)

    Tzeng, J. C.; Baerg, W.; Ting, C.; Siu, B.

    The morphology of the novel self-aligned oxygen implanted SOI (SALOX SOI) [1] MOSFET was studied. The channel silicon of SALOX SOI was confirmed to be undamaged single crystal silicon and was connected with the substrate. Buried oxide formed by oxygen implantation in this SALOX SOI structure was shown by a cross section transmission electron micrograph (X-TEM) to be amorphous. The source/drain silicon on top of the buried oxide was single crystal, as shown by the transmission electron diffraction (TED) pattern. The source/drain regions were elevated due to the buried oxide volume expansion. A sharp silicon—silicon dioxide interface between the source/drain silicon and buried oxide was observed by Auger electron spectroscopy (AES). Well behaved n-MOS transistor current voltage characteristics were obtained and showed no I-V kink.

  9. SOAR Optical Imager (SOI) | SOAR

    Science.gov Websites

    SPARTAN Near-IR Camera Ohio State Infrared Imager/Spectrograph (OSIRIS) - NO LONGER AVAILABLE SOAR ?: ADS link to SOI instrument SPIE paper Last update: C. Briceño, Aug 23, 2017 SOAR Optical Imager

  10. Second Harmonic Generation characterization of SOI wafers: Impact of layer thickness and interface electric field

    NASA Astrophysics Data System (ADS)

    Damianos, D.; Vitrant, G.; Lei, M.; Changala, J.; Kaminski-Cachopo, A.; Blanc-Pelissier, D.; Cristoloveanu, S.; Ionica, I.

    2018-05-01

    In this work, we investigate Second Harmonic Generation (SHG) as a non-destructive characterization method for Silicon-On-Insulator (SOI) materials. For thick SOI stacks, the SHG signal is related to the thickness variations of the different layers. However, in thin SOI films, the comparison between measurements and optical modeling suggests a supplementary SHG contribution attributed to the electric fields at the SiO2/Si interfaces. The impact of the electric field at each interface of the SOI on the SHG is assessed. The SHG technique can be used to evaluate interfacial electric fields and consequently interface charge density in SOI materials.

  11. An SEU resistant 256K SOI SRAM

    NASA Astrophysics Data System (ADS)

    Hite, L. R.; Lu, H.; Houston, T. W.; Hurta, D. S.; Bailey, W. E.

    1992-12-01

    A novel SEU (single event upset) resistant SRAM (static random access memory) cell has been implemented in a 256K SOI (silicon on insulator) SRAM that has attractive performance characteristics over the military temperature range of -55 to +125 C. These include worst-case access time of 40 ns with an active power of only 150 mW at 25 MHz, and a worst-case minimum WRITE pulse width of 20 ns. Measured SEU performance gives an Adams 10 percent worst-case error rate of 3.4 x 10 exp -11 errors/bit-day using the CRUP code with a conservative first-upset LET threshold. Modeling does show that higher bipolar gain than that measured on a sample from the SRAM lot would produce a lower error rate. Measurements show the worst-case supply voltage for SEU to be 5.5 V. Analysis has shown this to be primarily caused by the drain voltage dependence of the beta of the SOI parasitic bipolar transistor. Based on this, SEU experiments with SOI devices should include measurements as a function of supply voltage, rather than the traditional 4.5 V, to determine the worst-case condition.

  12. An SOI CMOS-Based Multi-Sensor MEMS Chip for Fluidic Applications.

    PubMed

    Mansoor, Mohtashim; Haneef, Ibraheem; Akhtar, Suhail; Rafiq, Muhammad Aftab; De Luca, Andrea; Ali, Syed Zeeshan; Udrea, Florin

    2016-11-04

    An SOI CMOS multi-sensor MEMS chip, which can simultaneously measure temperature, pressure and flow rate, has been reported. The multi-sensor chip has been designed keeping in view the requirements of researchers interested in experimental fluid dynamics. The chip contains ten thermodiodes (temperature sensors), a piezoresistive-type pressure sensor and nine hot film-based flow rate sensors fabricated within the oxide layer of the SOI wafers. The silicon dioxide layers with embedded sensors are relieved from the substrate as membranes with the help of a single DRIE step after chip fabrication from a commercial CMOS foundry. Very dense sensor packing per unit area of the chip has been enabled by using technologies/processes like SOI, CMOS and DRIE. Independent apparatuses were used for the characterization of each sensor. With a drive current of 10 µA-0.1 µA, the thermodiodes exhibited sensitivities of 1.41 mV/°C-1.79 mV/°C in the range 20-300 °C. The sensitivity of the pressure sensor was 0.0686 mV/(V excit kPa) with a non-linearity of 0.25% between 0 and 69 kPa above ambient pressure. Packaged in a micro-channel, the flow rate sensor has a linearized sensitivity of 17.3 mV/(L/min) -0.1 in the tested range of 0-4.7 L/min. The multi-sensor chip can be used for simultaneous measurement of fluid pressure, temperature and flow rate in fluidic experiments and aerospace/automotive/biomedical/process industries.

  13. Effects of patterning induced stress relaxation in strained SOI/SiGe layers and substrate

    NASA Astrophysics Data System (ADS)

    Hermann, P.; Hecker, M.; Renn, F.; Rölke, M.; Kolanek, K.; Rinderknecht, J.; Eng, L. M.

    2011-06-01

    Local stress fields in strained silicon structures important for CMOS technology are essentially related to size effects and properties of involved materials. In the present investigation, Raman spectroscopy was utilized to analyze the stress distribution within strained silicon (sSi) and silicon-germanium (SiGe) island structures. As a result of the structuring of initially unpatterned strained films, a size-dependent relaxation of the intrinsic film stresses was obtained in agreement with model calculations. This changed stress state in the features also results in the appearance of opposing stresses in the substrate underneath the islands. Even for strained island structures on top of silicon-on-insulator (SOI) wafers, corresponding stresses in the silicon substrate underneath the oxide were detected. Within structures, the stress relaxation is more pronounced for islands on SOI substrates as compared to those on bulk silicon substrates.

  14. SOI MESFETs on high-resistivity, trap-rich substrates

    NASA Astrophysics Data System (ADS)

    Mehr, Payam; Zhang, Xiong; Lepkowski, William; Li, Chaojiang; Thornton, Trevor J.

    2018-04-01

    The DC and RF characteristics of metal-semiconductor field-effect-transistors (MESFETs) on conventional CMOS silicon-on-insulator (SOI) substrates are compared to nominally identical devices on high-resistivity, trap-rich SOI substrates. While the DC transfer characteristics are statistically identical on either substrate, the maximum available gain at GHz frequencies is enhanced by ∼2 dB when using the trap-rich substrates, with maximum operating frequencies, fmax, that are approximately 5-10% higher. The increased fmax is explained by the reduced substrate conduction at GHz frequencies using a lumped-element, small-signal model.

  15. An SOI CMOS-Based Multi-Sensor MEMS Chip for Fluidic Applications †

    PubMed Central

    Mansoor, Mohtashim; Haneef, Ibraheem; Akhtar, Suhail; Rafiq, Muhammad Aftab; De Luca, Andrea; Ali, Syed Zeeshan; Udrea, Florin

    2016-01-01

    An SOI CMOS multi-sensor MEMS chip, which can simultaneously measure temperature, pressure and flow rate, has been reported. The multi-sensor chip has been designed keeping in view the requirements of researchers interested in experimental fluid dynamics. The chip contains ten thermodiodes (temperature sensors), a piezoresistive-type pressure sensor and nine hot film-based flow rate sensors fabricated within the oxide layer of the SOI wafers. The silicon dioxide layers with embedded sensors are relieved from the substrate as membranes with the help of a single DRIE step after chip fabrication from a commercial CMOS foundry. Very dense sensor packing per unit area of the chip has been enabled by using technologies/processes like SOI, CMOS and DRIE. Independent apparatuses were used for the characterization of each sensor. With a drive current of 10 µA–0.1 µA, the thermodiodes exhibited sensitivities of 1.41 mV/°C–1.79 mV/°C in the range 20–300 °C. The sensitivity of the pressure sensor was 0.0686 mV/(Vexcit kPa) with a non-linearity of 0.25% between 0 and 69 kPa above ambient pressure. Packaged in a micro-channel, the flow rate sensor has a linearized sensitivity of 17.3 mV/(L/min)−0.1 in the tested range of 0–4.7 L/min. The multi-sensor chip can be used for simultaneous measurement of fluid pressure, temperature and flow rate in fluidic experiments and aerospace/automotive/biomedical/process industries. PMID:27827904

  16. A study of process-related electrical defects in SOI lateral bipolar transistors fabricated by ion implantation

    NASA Astrophysics Data System (ADS)

    Yau, J.-B.; Cai, J.; Hashemi, P.; Balakrishnan, K.; D'Emic, C.; Ning, T. H.

    2018-04-01

    We report a systematic study of process-related electrical defects in symmetric lateral NPN transistors on silicon-on-insulator (SOI) fabricated using ion implantation for all the doped regions. A primary objective of this study is to see if pipe defects (emitter-collector shorts caused by locally enhanced dopant diffusion) are a show stopper for such bipolar technology. Measurements of IC-VCE and Gummel currents in parallel-connected transistor chains as a function of post-fabrication rapid thermal anneal cycles allow several process-related electrical defects to be identified. They include defective emitter-base and collector-base diodes, pipe defects, and defects associated with a dopant-deficient region in an extrinsic base adjacent its intrinsic base. There is no evidence of pipe defects being a major concern in SOI lateral bipolar transistors.

  17. Single-Event Upset and Scaling Trends in New Generation of the Commercial SOI PowerPC Microprocessors

    NASA Technical Reports Server (NTRS)

    Irom, Farokh; Farmanesh, Farhad; Kouba, Coy K.

    2006-01-01

    Single-event upset effects from heavy ions are measured for Motorola silicon-on-insulator (SOI) microprocessor with 90 nm feature sizes. The results are compared with previous results for SOI microprocessors with feature sizes of 130 and 180 nm. The cross section of the 90 nm SOI processors is smaller than results for 130 and 180 nm counterparts, but the threshold is about the same. The scaling of the cross section with reduction of feature size and core voltage for SOI microprocessors is discussed.

  18. Single Event Transient Analysis of an SOI Operational Amplifier for Use in Low-Temperature Martian Exploration

    NASA Technical Reports Server (NTRS)

    Laird, Jamie S.; Scheik, Leif; Vizkelethy, Gyorgy; Mojarradi, Mohammad M; Chen, Yuan; Miyahira, Tetsuo; Blalock, Benjamin; Greenwell, Robert; Doyle, Barney

    2006-01-01

    The next generation of Martian rover#s to be launched by JPL are to examine polar regions where temperatures are extremely low and the absence of an earth-like atmosphere results in high levels of cosmic radiation at ground level. Cosmic rays lead to a plethora of radiation effects including Single Event Transients (SET) which can severely degrade microelectronic functionality. As such, a radiation-hardened, temperature compensated CMOS Single-On-Insulator (SOI) Operational Amplifier has been designed for JPL by the University of Tennessee and fabricated by Honeywell using the SOI V process. SOI technology has been shownto be far less sensitive to transient effects than both bulk and epilayer Si. Broad beam heavy-ion tests at the University of Texas A&M using Kr and Xebeams of energy 25MeV/amu were performed to ascertain the duration and severity of the SET for the op-amp configured for a low and high gain application. However, some ambiguity regarding the location of transient formation required the use of a focused MeV ion microbeam. A 36MeV O6(+) microbeam. the Sandia National Laboratory (SNL) was used to image and verify regions of particular concern. This is a viewgraph presentation

  19. Electron trapping in rad-hard RCA IC's irradiated with electrons and gamma rays

    NASA Technical Reports Server (NTRS)

    Danchenko, V.; Brashears, S. S.; Fang, P. H.

    1984-01-01

    Enhanced electron trapping has been observed in n-channels of rad-hard CMOS devices due to electron and gamma-ray irradiation. Room-temperature annealing results in a positive shift in the threshold potential far beyond its initial value. The slope of the annealing curve immediately after irradiation was found to depend strongly on the gate bias applied during irradiation. Some dependence was also observed on the electron dose rate. No clear dependence on energy and shielding over a delidded device was observed. The threshold shift is probably due to electron trapping at the radiation-induced interface states and tunneling of electrons through the oxide-silicon energy barrier to fill the radiation-induced electron traps. A mathematical analysis, based on two parallel annealing kinetics, hole annealing and electron trapping, is applied to the data for various electron dose rates.

  20. SOI-CMOS Process for Monolithic, Radiation-Tolerant, Science-Grade Imagers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Williams, George; Lee, Adam

    In Phase I, Voxtel worked with Jazz and Sandia to document and simulate the processes necessary to implement a DH-BSI SOI CMOS imaging process. The development is based upon mature SOI CMOS process at both fabs, with the addition of only a few custom processing steps for integration and electrical interconnection of the fully-depleted photodetectors. In Phase I, Voxtel also characterized the Sandia process, including the CMOS7 design rules, and we developed the outline of a process option that included a “BOX etch”, that will permit a “detector in handle” SOI CMOS process to be developed The process flows weremore » developed in cooperation with both Jazz and Sandia process engineers, along with detailed TCAD modeling and testing of the photodiode array architectures. In addition, Voxtel tested the radiation performance of the Jazz’s CA18HJ process, using standard and circular-enclosed transistors.« less

  1. A silicon-on-insulator complementary-metal-oxide-semiconductor compatible flexible electronics technology

    NASA Astrophysics Data System (ADS)

    Tu, Hongen; Xu, Yong

    2012-07-01

    This paper reports a simple flexible electronics technology that is compatible with silicon-on-insulator (SOI) complementary-metal-oxide-semiconductor (CMOS) processes. Compared with existing technologies such as direct fabrication on flexible substrates and transfer printing, the main advantage of this technology is its post-SOI-CMOS compatibility. Consequently, high-performance and high-density CMOS circuits can be first fabricated on SOI wafers using commercial foundry and then be integrated into flexible substrates. The yield is also improved by eliminating the transfer printing step. Furthermore, this technology allows the integration of various sensors and microfluidic devices. To prove the concept of this technology, flexible MOSFETs have been demonstrated.

  2. Formation of SIMOX-SOI structure by high-temperature oxygen implantation

    NASA Astrophysics Data System (ADS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-12-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 1017-1018 ions/cm2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  3. A 60 GOPS/W, -1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology

    NASA Astrophysics Data System (ADS)

    Rossi, Davide; Pullini, Antonio; Loi, Igor; Gautschi, Michael; Gürkaynak, Frank K.; Bartolini, Andrea; Flatresse, Philippe; Benini, Luca

    2016-03-01

    Ultra-low power operation and extreme energy efficiency are strong requirements for a number of high-growth application areas, such as E-health, Internet of Things, and wearable Human-Computer Interfaces. A promising approach to achieve up to one order of magnitude of improvement in energy efficiency over current generation of integrated circuits is near-threshold computing. However, frequency degradation due to aggressive voltage scaling may not be acceptable across all performance-constrained applications. Thread-level parallelism over multiple cores can be used to overcome the performance degradation at low voltage. Moreover, enabling the processors to operate on-demand and over a wide supply voltage and body bias ranges allows to achieve the best possible energy efficiency while satisfying a large spectrum of computational demands. In this work we present the first ever implementation of a 4-core cluster fabricated using conventional-well 28 nm UTBB FD-SOI technology. The multi-core architecture we present in this work is able to operate on a wide range of supply voltages starting from 0.44 V to 1.2 V. In addition, the architecture allows a wide range of body bias to be applied from -1.8 V to 0.9 V. The peak energy efficiency 60 GOPS/W is achieved at 0.5 V supply voltage and 0.5 V forward body bias. Thanks to the extended body bias range of conventional-well FD-SOI technology, high energy efficiency can be guaranteed for a wide range of process and environmental conditions. We demonstrate the ability to compensate for up to 99.7% of chips for process variation with only ±0.2 V of body biasing, and compensate temperature variation in the range -40 °C to 120 °C exploiting -1.1 V to 0.8 V body biasing. When compared to leading-edge near-threshold RISC processors optimized for extremely low power applications, the multi-core architecture we propose has 144× more performance at comparable energy efficiency levels. Even when compared to other low-power processors

  4. Multi-wavelength transceiver integration on SOI for high-performance computing system applications

    NASA Astrophysics Data System (ADS)

    Aalto, Timo; Harjanne, Mikko; Ylinen, Sami; Kapulainen, Markku; Vehmas, Tapani; Cherchi, Matteo; Neumeyr, Christian; Ortsiefer, Markus; Malacarne, Antonio

    2015-03-01

    We present a vision for transceiver integration on a 3 μm SOI waveguide platform for systems scalable to Pb/s. We also present experimental results from the first building blocks developed in the EU-funded RAPIDO project. At 1.3 μm wavelength 80 Gb/s per wavelength is to be achieved using hybrid integration of III-V optoelectronics on SOI. Goals include athermal operation, low-loss I/O coupling, advanced modulation formats and packet switching. An example of the design results is an interposer chip that consists of 12 μm thick SOI waveguides locally tapered down to 3 μm to provide low-loss coupling between an optical single-mode fiber array and the 3 μm SOI chip. First example of experimental results is a 4x4 cyclic AWGs with 5 nm channel spacing, 0.4 dB/facet fiber coupling loss, 3.5 dB center-tocenter loss, and -23 dB adjacent channel crosstalk in 3.5x1.5 mm2 footprint. The second example result is a new VCSEL design that was demonstrated to have up to 40 Gb/s operation at 1.55 μm.

  5. A Demonstration of TIA Using FD-SOI CMOS OPAMP for Far-Infrared Astronomy

    NASA Astrophysics Data System (ADS)

    Nagase, Koichi; Wada, Takehiko; Ikeda, Hirokazu; Arai, Yasuo; Ohno, Morifumi; Hanaoka, Misaki; Kanada, Hidehiro; Oyabu, Shinki; Hattori, Yasuki; Ukai, Sota; Suzuki, Toyoaki; Watanabe, Kentaroh; Baba, Shunsuke; Kochi, Chihiro; Yamamoto, Keita

    2016-07-01

    We are developing a fully depleted silicon-on-insulator (FD-SOI) CMOS readout integrated circuit (ROIC) operated at temperatures below ˜ 4 K. Its application is planned for the readout circuit of high-impedance far-infrared detectors for astronomical observations. We designed a trans-impedance amplifier (TIA) using a CMOS operational amplifier (OPAMP) with FD-SOI technique. The TIA is optimized to readout signals from a germanium blocked impurity band (Ge BIB) detector which is highly sensitive to wavelengths of up to ˜ 200 \\upmu m. For the first time, we demonstrated the FD-SOI CMOS OPAMP combined with the Ge BIB detector at 4.5 K. The result promises to solve issues faced by conventional cryogenic ROICs.

  6. Indium arsenide-on-SOI MOSFETs with extreme lattice mismatch

    NASA Astrophysics Data System (ADS)

    Wu, Bin

    Both molecular beam epitaxy (MBE) and metal organic chemical vapor deposition (MOCVD) have been used to explore the growth of InAs on Si. Despite 11.6% lattice mismatch, planar InAs structures have been observed by scanning electron microscopy (SEM) when nucleating using MBE on patterned submicron Si-on-insulator (SOI) islands. Planar structures of size as large as 500 x 500 nm 2 and lines of width 200 nm and length a few microns have been observed. MOCVD growth of InAs also generates single grain structures on Si islands when the size is reduced to 100 x 100 nm2. By choosing SOI as the growth template, selective growth is enabled by MOCVD. Post-growth pattern-then-anneal process, in which MOCVD InAs is deposited onto unpatterned SOI followed with patterning and annealing of InAs-on-Si structure, is found to change the relative lattice parameters of encapsulated 17/5 nm InAs/Si island. Observed from transmission electron diffraction (TED) patterns, the lattice mismatch of 17/5 nm InAs/Si island reduces from 11.2 to 4.2% after being annealed at 800°C for 30 minutes. High-k Al2O3 dielectrics have been deposited by both electron-beam-enabled physical vapor deposition (PVD) and atomic layer deposition (ALD). Films from both techniques show leakage currents on the order of 10-9A/cm2, at ˜1 MV/cm electric field, breakdown field > ˜6 MV/cm, and dielectric constant > 6, comparable to those of reported ALD prior arts by Groner. The first MOSFETs with extreme lattice mismatch InAs-on-SOI channels using PVD Al2O3 as the gate dielectric are characterized. Channel recess was used to improve the gate control of the drain current.

  7. GSFC Cutting Edge Avionics Technologies for Spacecraft

    NASA Technical Reports Server (NTRS)

    Luers, Philip J.; Culver, Harry L.; Plante, Jeannette

    1998-01-01

    With the launch of NASA's first fiber optic bus on SAMPEX in 1992, GSFC has ushered in an era of new technology development and insertion into flight programs. Predating such programs the Lewis and Clark missions and the New Millenium Program, GSFC has spearheaded the drive to use cutting edge technologies on spacecraft for three reasons: to enable next generation Space and Earth Science, to shorten spacecraft development schedules, and to reduce the cost of NASA missions. The technologies developed have addressed three focus areas: standard interface components, high performance processing, and high-density packaging techniques enabling lower cost systems. To realize the benefits of standard interface components GSFC has developed and utilized radiation hardened/tolerant devices such as PCI target ASICs, Parallel Fiber Optic Data Bus terminals, MIL-STD-1773 and AS1773 transceivers, and Essential Services Node. High performance processing has been the focus of the Mongoose I and Mongoose V rad-hard 32-bit processor programs as well as the SMEX-Lite Computation Hub. High-density packaging techniques have resulted in 3-D stack DRAM packages and Chip-On-Board processes. Lower cost systems have been demonstrated by judiciously using all of our technology developments to enable "plug and play" scalable architectures. The paper will present a survey of development and insertion experiences for the above technologies, as well as future plans to enable more "better, faster, cheaper" spacecraft. Details of ongoing GSFC programs such as Ultra-Low Power electronics, Rad-Hard FPGAs, PCI master ASICs, and Next Generation Mongoose processors.

  8. A photonic crystal ring resonator formed by SOI nano-rods.

    PubMed

    Chiu, Wei-Yu; Huang, Tai-Wei; Wu, Yen-Hsiang; Chan, Yi-Jen; Hou, Chia-Hunag; Chien, Huang Ta; Chen, Chii-Chang

    2007-11-12

    The design, fabrication and measurement of a silicon-on-insulator (SOI) two-dimensional photonic crystal ring resonator are demonstrated in this study. The structure of the photonic crystal is comprised of silicon nano-rods arranged in a hexagonal lattice on an SOI wafer. The photonic crystal ring resonator allows for the simultaneous separation of light at wavelengths of 1.31 and 1.55mum. The device is fabricated by e-beam lithography. The measurement results confirm that a 1.31mum/1.55mum wavelength ring resonator filter with a nano-rod photonic crystal structure can be realized.

  9. Commercial silicon-on-insulator (SOI) wafers as a versatile substrate for laser desorption/ionization mass spectrometry.

    PubMed

    Kim, Shin Hye; Kim, Jeongkwon; Moon, Dae Won; Han, Sang Yun

    2013-01-01

    We report here that a commercial silicon-on-insulator (SOI) wafer offers an opportunity for laser desorption/ionization (LDI) of peptide molecules, which occurs directly from its flat surface without requiring special surface preparation. The LDI-on-SOI exhibits intact ionization of peptides with a good detection limit of lower than 20 fmol, of which the mass range is demonstrated up to insulin with citric acid additives. The LDI process most likely arises from laser-induced surface heating promoted by two-dimensional thermal confinement in the thin Si surface layer of the SOI wafer. As a consequence of the thermal process, the LDI-on-SOI method is also capable of creating post-source decay (PSD) of the resulting peptide LDI ions, which is suitable for peptide sequencing using conventional TOF/TOF mass spectrometry.

  10. Fabrication of Total-Dose-Radiation-Hardened (TDRH) SOI wafer with embedded silicon nanoclusters

    NASA Astrophysics Data System (ADS)

    Wu, Aimin; Wang, Xi; Wei, Xing; Chen, Jing; Chen, Ming; Zhang, Zhengxuan

    2009-05-01

    Si ion-implantation and post annealing of silicon wafers prior to wafer bonding were used to radiation-harden the thermal oxide layer of Silicon on Insulator structures. After grinding and polishing, Total-Dose-Radiation-Hardened SOI (TDRH-SOI) wafers with several-micron-thick device layers were prepared. Electrical characterization before and after X-ray irradiation showed that the flatband voltage shift induced by irradiation was reduced by this preprocessing. Photoluminescence Spectroscopy (PL), Transmission Electron Microscopy (TEM) and X-ray photoelectron spectroscopy (XPS) results indicated that the improvement of the total dose response of the TDRH-SOI wafer was associated with formation of Si nanoclusters in the implanted oxide layer, suggesting that these were the likely candidates for electron and proton trapping centers that reduce the positive charge buildup effect in the buried oxide.

  11. Single-Event Upset and Scaling Trends in New Generation of the Commercial SOI PowerPC Microprocessors

    NASA Technical Reports Server (NTRS)

    Irom, Farokh; Farmanesh, Farhad; Kouba, Coy K.

    2006-01-01

    SEU from heavy-ions is measured for SOI PowerPC microprocessors. Results for 0.13 micron PowerPC with 1.1V core voltages increases over 1.3V versions. This suggests that improvement in SEU for scaled devices may be reversed. In recent years there has been interest in the possible use of unhardened commercial microprocessors in space because of their superior performance compared to hardened processors. However, unhardened devices are susceptible to upset from radiation space. More information is needed on how they respond to radiation before they can be used in space. Only a limited number of advanced microprocessors have been subjected to radiation tests, which are designed with lower clock frequencies and higher internal core voltage voltages than recent devices [1-6]. However the trend for commercial Silicon-on-insulator (SOI) microprocessors is to reduce feature size and internal core voltage and increase the clock frequency. Commercial microprocessors with the PowerPC architecture are now available that use partially depleted SOI processes with feature size of 90 nm and internal core voltage as low as 1.0 V and clock frequency in the GHz range. Previously, we reported SEU measurements for SOI commercial PowerPCs with feature size of 0.18 and 0.13 m [7, 8]. The results showed an order of magnitude reduction in saturated cross section compared to CMOS bulk counterparts. This paper examines SEUs in advanced commercial SOI microprocessors, focusing on SEU sensitivity of D-Cache and hangs with feature size and internal core voltage. Results are presented for the Motorola SOI processor with feature sizes of 0.13 microns and internal core voltages of 1.3 and 1.1 V. These results are compared with results for the Motorola SOI processors with feature size of 0.18 microns and internal core voltage of 1.6 and 1.3 V.

  12. An L-shaped low on-resistance current path SOI LDMOS with dielectric field enhancement

    NASA Astrophysics Data System (ADS)

    Ye, Fan; Xiaorong, Luo; Kun, Zhou; Yuanhang, Fan; Yongheng, Jiang; Qi, Wang; Pei, Wang; Yinchun, Luo; Bo, Zhang

    2014-03-01

    A low specific on-resistance (Ron,sp) SOI NBL TLDMOS (silicon-on-insulator trench LDMOS with an N buried layer) is proposed. It has three features: a thin N buried layer (NBL) on the interface of the SOI layer/buried oxide (BOX) layer, an oxide trench in the drift region, and a trench gate extended to the BOX layer. First, on the on-state, the electron accumulation layer forms beside the extended trench gate; the accumulation layer and the highly doping NBL constitute an L-shaped low-resistance conduction path, which sharply decreases the Ron,sp. Second, in the y-direction, the BOX's electric field (E-field) strength is increased to 154 V/μm from 48 V/μm of the SOI Trench Gate LDMOS (SOI TG LDMOS) owing to the high doping NBL. Third, the oxide trench increases the lateral E-field strength due to the lower permittivity of oxide than that of Si and strengthens the multiple-directional depletion effect. Fourth, the oxide trench folds the drift region along the y-direction and thus reduces the cell pitch. Therefore, the SOI NBL TLDMOS structure not only increases the breakdown voltage (BV), but also reduces the cell pitch and Ron,sp. Compared with the TG LDMOS, the NBL TLDMOS improves the BV by 105% at the same cell pitch of 6 μm, and decreases the Ron,sp by 80% at the same BV.

  13. SOI CMOS Imager with Suppression of Cross-Talk

    NASA Technical Reports Server (NTRS)

    Pain, Bedabrata; Zheng, Xingyu; Cunningham, Thomas J.; Seshadri, Suresh; Sun, Chao

    2009-01-01

    A monolithic silicon-on-insulator (SOI) complementary metal oxide/semiconductor (CMOS) image-detecting integrated circuit of the active-pixel-sensor type, now undergoing development, is designed to operate at visible and near-infrared wavelengths and to offer a combination of high quantum efficiency and low diffusion and capacitive cross-talk among pixels. The imager is designed to be especially suitable for astronomical and astrophysical applications. The imager design could also readily be adapted to general scientific, biological, medical, and spectroscopic applications. One of the conditions needed to ensure both high quantum efficiency and low diffusion cross-talk is a relatively high reverse bias potential (between about 20 and about 50 V) on the photodiode in each pixel. Heretofore, a major obstacle to realization of this condition in a monolithic integrated circuit has been posed by the fact that the required high reverse bias on the photodiode is incompatible with metal oxide/semiconductor field-effect transistors (MOSFETs) in the CMOS pixel readout circuitry. In the imager now being developed, the SOI structure is utilized to overcome this obstacle: The handle wafer is retained and the photodiode is formed in the handle wafer. The MOSFETs are formed on the SOI layer, which is separated from the handle wafer by a buried oxide layer. The electrical isolation provided by the buried oxide layer makes it possible to bias the MOSFETs at CMOS-compatible potentials (between 0 and 3 V), while biasing the photodiode at the required higher potential, and enables independent optimization of the sensory and readout portions of the imager.

  14. Ultra compact triplexing filters based on SOI nanowire AWGs

    NASA Astrophysics Data System (ADS)

    Jiashun, Zhang; Junming, An; Lei, Zhao; Shijiao, Song; Liangliang, Wang; Jianguang, Li; Hongjie, Wang; Yuanda, Wu; Xiongwei, Hu

    2011-04-01

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion.

  15. Development of the Stress of Immigration Survey (SOIS): a Field Test among Mexican Immigrant Women

    PubMed Central

    Sternberg, Rosa Maria; Nápoles, Anna Maria; Gregorich, Steven; Paul, Steven; Lee, Kathryn A.; Stewart, Anita L.

    2016-01-01

    The Stress of Immigration Survey (SOIS) is a screening tool used to assess immigration-related stress. The mixed methods approach included concept development, pretesting, field-testing, and psychometric evaluation in a sample of 131 low-income women of Mexican descent. The 21-item SOIS screens for stress related to language; immigrant status; work issues; yearning for family and home country; and cultural dissonance. Mean scores ranged from 3.6 to 4.4 (1-5 scale, higher is more stress). Cronbach's alphas >.80 for all sub-scales. The SOIS may be a useful screening tool for detecting high levels of immigration-related stress in low-income Mexican immigrant women. PMID:26605954

  16. Design and fabrication of piezoresistive p-SOI Wheatstone bridges for high-temperature applications

    NASA Astrophysics Data System (ADS)

    Kähler, Julian; Döring, Lutz; Merzsch, Stephan; Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2011-06-01

    For future measurements while depth drilling, commercial sensors are required for a temperature range from -40 up to 300 °C. Conventional piezoresistive silicon sensors cannot be used at higher temperatures due to an exponential increase of leakage currents which results in a drop of the bridge voltage. A well-known procedure to expand the temperature range of silicon sensors and to reduce leakage currents is to employ Silicon-On-Insulator (SOI) instead of standard wafer material. Diffused resistors can be operated up to 200 °C, but show the same problems beyond due to leakage of the p-njunction. Our approach is to use p-SOI where resistors as well as interconnects are defined by etching down to the oxide layer. Leakage is suppressed and the temperature dependence of the bridges is very low (TCR = (2.6 +/- 0.1) μV/K@1 mA up to 400 °C). The design and process flow will be presented in detail. The characteristics of Wheatstone bridges made of silicon, n- SOI, and p-SOI will be shown for temperatures up to 300 °C. Besides, thermal FEM-simulations will be described revealing the effect of stress between silicon and the silicon-oxide layer during temperature cycling.

  17. Charge collection properties in an irradiated pixel sensor built in a thick-film HV-SOI process

    NASA Astrophysics Data System (ADS)

    Hiti, B.; Cindro, V.; Gorišek, A.; Hemperek, T.; Kishishita, T.; Kramberger, G.; Krüger, H.; Mandić, I.; Mikuž, M.; Wermes, N.; Zavrtanik, M.

    2017-10-01

    Investigation of HV-CMOS sensors for use as a tracking detector in the ATLAS experiment at the upgraded LHC (HL-LHC) has recently been an active field of research. A potential candidate for a pixel detector built in Silicon-On-Insulator (SOI) technology has already been characterized in terms of radiation hardness to TID (Total Ionizing Dose) and charge collection after a moderate neutron irradiation. In this article we present results of an extensive irradiation hardness study with neutrons up to a fluence of 1× 1016 neq/cm2. Charge collection in a passive pixelated structure was measured by Edge Transient Current Technique (E-TCT). The evolution of the effective space charge concentration was found to be compliant with the acceptor removal model, with the minimum of the space charge concentration being reached after 5× 1014 neq/cm2. An investigation of the in-pixel uniformity of the detector response revealed parasitic charge collection by the epitaxial silicon layer characteristic for the SOI design. The results were backed by a numerical simulation of charge collection in an equivalent detector layout.

  18. A linear 180 nm SOI CMOS antenna switch module using integrated passive device filters for cellular applications

    NASA Astrophysics Data System (ADS)

    Jie, Cui; Lei, Chen; Peng, Zhao; Xu, Niu; Yi, Liu

    2014-06-01

    A broadband monolithic linear single pole, eight throw (SP8T) switch has been fabricated in 180 nm thin film silicon-on-insulator (SOI) CMOS technology with a quad-band GSM harmonic filter in integrated passive devices (IPD) technology, which is developed for cellular applications. The antenna switch module (ASM) features 1.2 dB insertion loss with filter on 2G bands and 0.4 dB insertion loss in 3G bands, less than -45 dB isolation and maximum -103 dB intermodulation distortion for mobile front ends by applying distributed architecture and adaptive supply voltage generator.

  19. Novel spot size converter for coupling standard single mode fibers to SOI waveguides

    NASA Astrophysics Data System (ADS)

    Sisto, Marco Michele; Fisette, Bruno; Paultre, Jacques-Edmond; Paquet, Alex; Desroches, Yan

    2016-03-01

    We have designed and numerically simulated a novel spot size converter for coupling standard single mode fibers with 10.4μm mode field diameter to 500nm × 220nm SOI waveguides. Simulations based on the eigenmode expansion method show a coupling loss of 0.4dB at 1550nm for the TE mode at perfect alignment. The alignment tolerance on the plane normal to the fiber axis is evaluated at +/-2.2μm for <=1dB excess loss, which is comparable to the alignment tolerance between two butt-coupled standard single mode fibers. The converter is based on a cross-like arrangement of SiOxNy waveguides immersed in a 12μm-thick SiO2 cladding region deposited on top of the SOI chip. The waveguides are designed to collectively support a single degenerate mode for TE and TM polarizations. This guided mode features a large overlap to the LP01 mode of standard telecom fibers. Along the spot size converter length (450μm), the mode is first gradually confined in a single SiOxNy waveguide by tapering its width. Then, the mode is adiabatically coupled to a SOI waveguide underneath the structure through a SOI inverted taper. The shapes of SiOxNy and SOI tapers are optimized to minimize coupling loss and structure length, and to ensure adiabatic mode evolution along the structure, thus improving the design robustness to fabrication process errors. A tolerance analysis based on conservative microfabrication capabilities suggests that coupling loss penalty from fabrication errors can be maintained below 0.3dB. The proposed spot size converter is fully compliant to industry standard microfabrication processes available at INO.

  20. Electron mobility in the inversion layers of fully depleted SOI films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zaitseva, E. G., E-mail: ZaytsevaElza@yandex.ru; Naumova, O. V.; Fomin, B. I.

    The dependences of the electron mobility μ{sub eff} in the inversion layers of fully depleted double–gate silicon-on-insulator (SOI) metal–oxide–semiconductor (MOS) transistors on the density N{sub e} of induced charge carriers and temperature T are investigated at different states of the SOI film (inversion–accumulation) from the side of one of the gates. It is shown that at a high density of induced charge carriers of N{sub e} > 6 × 10{sup 12} cm{sup –2} the μeff(T) dependences allow the components of mobility μ{sub eff} that are related to scattering at surface phonons and from the film/insulator surface roughness to be distinguished.more » The μ{sub eff}(N{sub e}) dependences can be approximated by the power functions μ{sub eff}(N{sub e}) ∝ N{sub e}{sup −n}. The exponents n in the dependences and the dominant mechanisms of scattering of electrons induced near the interface between the SOI film and buried oxide are determined for different N{sub e} ranges and film states from the surface side.« less

  1. Use of a Frequency Divider to Evaluate an SOI NAND Gate Device, Type CHT-7400, for Wide Temperature Applications

    NASA Technical Reports Server (NTRS)

    Patterson, Richard L.; Hammoud, Ahmad

    2010-01-01

    Frequency dividers constitute essential elements in designing phase-locked loop circuits and microwave systems. In addition, they are used in providing required clocking signals to microprocessors and can be utilized as digital counters. In some applications, particularly space missions, electronics are often exposed to extreme temperature conditions. Therefore, it is required that circuits designed for such applications incorporate electronic parts and devices that can tolerate and operate efficiently in harsh temperature environments. While present electronic circuits employ COTS (commercial-off- the-shelf) parts that necessitate and are supported with some form of thermal control systems to maintain adequate temperature for proper operation, it is highly desirable and beneficial if the thermal conditioning elements are eliminated. Amongst these benefits are: simpler system design, reduced weight and size, improved reliability, simpler maintenance, and reduced cost. Devices based on silicon-on-insulator (SOI) technology, which utilizes the addition of an insulation layer in the device structure to reduce leakage currents and to minimize parasitic junctions, are well suited for high temperatures due to reduced internal heating as compared to the conventional silicon devices, and less power consumption. In addition, SOI electronic integrated circuits display good tolerance to radiation by virtue of introducing barriers or lengthening the path for penetrating particles and/or providing a region for trapping incident ionization. The benefits of these parts make them suitable for use in deep space and planetary exploration missions where extreme temperatures and radiation are encountered. Although designed for high temperatures, very little data exist on the operation of SOI devices and circuits at cryogenic temperatures. In this work, the performance of a divide-by-two frequency divider circuit built using COTS SOI logic gates was evaluated over a wide temperature

  2. A uniform doping ultra-thin SOI LDMOS with accumulation-mode extended gate and back-side etching technology

    NASA Astrophysics Data System (ADS)

    Yan-Hui, Zhang; Jie, Wei; Chao, Yin; Qiao, Tan; Jian-Ping, Liu; Peng-Cheng, Li; Xiao-Rong, Luo

    2016-02-01

    A uniform doping ultra-thin silicon-on-insulator (SOI) lateral-double-diffused metal-oxide-semiconductor (LDMOS) with low specific on-resistance (Ron,sp) and high breakdown voltage (BV) is proposed and its mechanism is investigated. The proposed LDMOS features an accumulation-mode extended gate (AG) and back-side etching (BE). The extended gate consists of a P- region and two diodes in series. In the on-state with VGD > 0, an electron accumulation layer is formed along the drift region surface under the AG. It provides an ultra-low resistance current path along the whole drift region surface and thus the novel device obtains a low temperature distribution. The Ron,sp is nearly independent of the doping concentration of the drift region. In the off-state, the AG not only modulates the surface electric field distribution and improves the BV, but also brings in a charge compensation effect to further reduce the Ron,sp. Moreover, the BE avoids vertical premature breakdown to obtain high BV and allows a uniform doping in the drift region, which avoids the variable lateral doping (VLD) and the “hot-spot” caused by the VLD. Compared with the VLD SOI LDMOS, the proposed device simultaneously reduces the Ron,sp by 70.2% and increases the BV from 776 V to 818 V. Project supported by the National Natural Science Foundation of China (Grant Nos. 61176069 and 61376079).

  3. Performance study of double SOI image sensors

    NASA Astrophysics Data System (ADS)

    Miyoshi, T.; Arai, Y.; Fujita, Y.; Hamasaki, R.; Hara, K.; Ikegami, Y.; Kurachi, I.; Nishimura, R.; Ono, S.; Tauchi, K.; Tsuboyama, T.; Yamada, M.

    2018-02-01

    Double silicon-on-insulator (DSOI) sensors composed of two thin silicon layers and one thick silicon layer have been developed since 2011. The thick substrate consists of high resistivity silicon with p-n junctions while the thin layers are used as SOI-CMOS circuitry and as shielding to reduce the back-gate effect and crosstalk between the sensor and the circuitry. In 2014, a high-resolution integration-type pixel sensor, INTPIX8, was developed based on the DSOI concept. This device is fabricated using a Czochralski p-type (Cz-p) substrate in contrast to a single SOI (SSOI) device having a single thin silicon layer and a Float Zone p-type (FZ-p) substrate. In the present work, X-ray spectra of both DSOI and SSOI sensors were obtained using an Am-241 radiation source at four gain settings. The gain of the DSOI sensor was found to be approximately three times that of the SSOI device because the coupling capacitance is reduced by the DSOI structure. An X-ray imaging demonstration was also performed and high spatial resolution X-ray images were obtained.

  4. A novel high-performance high-frequency SOI MESFET by the damped electric field

    NASA Astrophysics Data System (ADS)

    Orouji, Ali A.; Khayatian, Ahmad; Keshavarzi, Parviz

    2016-06-01

    In this paper, we introduce a novel silicon-on-insulator (SOI) metal-semiconductor field-effect-transistor (MESFET) using the damped electric field (DEF). The proposed structure is geometrically symmetric and compatible with common SOI CMOS fabrication processes. It has two additional oxide regions under the side gates in order to improve DC and RF characteristics of the DEF structure due to changes in the electrical potential, the electrical field distributions, and rearrangement of the charge carriers. Improvement of device performance is investigated by two-dimensional and two-carrier simulation of fundamental parameters such as breakdown voltage (VBR), drain current (ID), output power density (Pmax), transconductance (gm), gate-drain and gate-source capacitances, cut-off frequency (fT), unilateral power gain (U), current gain (h21), maximum available gain (MAG), and minimum noise figure (Fmin). The results show that proposed structure operates with higher performances in comparison with the similar conventional SOI structure.

  5. The damage equivalence of electrons, protons, alphas and gamma rays in rad-hard MOS devices

    NASA Technical Reports Server (NTRS)

    Stassinopoulos, E. G.; Van Gunten, O.; Brucker, G. J.; Knudson, A. R.; Jordan, T. M.

    1983-01-01

    This paper reports on a study of damage equivalence in rad-hard MOS devices with 100,000 rads (SiO2) capability. Damage sensitivities for electrons of 1, 2, 3, 5, and 7 MeV, protons of 1, 3, 7, 22, and 40 MeV, 3.4-MeV alphas, and Co-60 gammas were measured and compared. Results indicated that qualitatively the same charge recombination effects occurred in hard oxide devices for doses of 100,000 rads (SiO2) as in soft oxide parts for doses of 1 to 4 krads (SiO2). Consequently, damage equivalency or non-equivalency depended on radiation type and energy. However, recovery effects, both during and after irradiation, controlled relative damage sensitivity and its dependency on total dose, dose rate, supply bias, gate bias, radiation type, and energy. Correction factors can be derived from these data or from similar tests of other hard oxide type, so as to properly evaluate the combined effects of the total space environment.

  6. CMOS Image Sensor Using SOI-MOS/Photodiode Composite Photodetector Device

    NASA Astrophysics Data System (ADS)

    Uryu, Yuko; Asano, Tanemasa

    2002-04-01

    A new photodetector device composed of a lateral junction photodiode and a metal-oxide-semiconductor field-effect-transistor (MOSFET), in which the output of the diode is fed through the body of the MOSFET, has been investigated. It is shown that the silicon-on-insulator (SOI)-MOSFET amplifies the junction photodiode current due to the lateral bipolar action. It is also shown that the presence of the electrically floating gate enhances the current amplification factor of the SOI-MOSFET. The output current of this composite device linearly responds by four orders of illumination intensity. As an application of the composite device, a complementary-metal-oxide-semiconductor (CMOS) line sensor incorporating the composite device is fabricated and its operation is demonstrated. The output signal of the line sensor using the composite device was two times larger than that using the lateral photodiode.

  7. Implementation of a Readout Circuit on SOI Technology for the Signal Conditioning of a Neutron Detector in Harsh Environment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ben Krit, S.; Coulie-Castellani, K.; Rahajandraibe, W.

    2015-07-01

    A transistor level implementation of the analog block of a readout system on SOI process is presented here. This system is dedicated to the signal conditioning of a neutron detector in harsh environment. The different parts of the readout circuits are defined. The harsh environment constraints (crossing particle effect, high temperatures) are also detailed and modeled in the circuit in order to test and evaluate the characteristics of the designed block when working under these conditions. (authors)

  8. Analysis of silicon on insulator (SOI) optical microring add-drop filter based on waveguide intersections

    NASA Astrophysics Data System (ADS)

    Kaźmierczak, Andrzej; Bogaerts, Wim; Van Thourhout, Dries; Drouard, Emmanuel; Rojo-Romeo, Pedro; Giannone, Domenico; Gaffiot, Frederic

    2008-04-01

    We present a compact passive optical add-drop filter which incorporates two microring resonators and a waveguide intersection in silicon-on-insulator (SOI) technology. Such a filter is a key element for designing simple layouts of highly integrated complex optical networks-on-chip. The filter occupies an area smaller than 10μm×10μm and exhibits relatively high quality factors (up to 4000) and efficient signal dropping capabilities. In the present work, the influence of filter parameters such as the microring-resonators radii and the coupling section shape are analyzed theoretically and experimentally

  9. A low-noise wide-dynamic-range event-driven detector using SOI pixel technology for high-energy particle imaging

    NASA Astrophysics Data System (ADS)

    Shrestha, Sumeet; Kamehama, Hiroki; Kawahito, Shoji; Yasutomi, Keita; Kagawa, Keiichiro; Takeda, Ayaki; Tsuru, Takeshi Go; Arai, Yasuo

    2015-08-01

    This paper presents a low-noise wide-dynamic-range pixel design for a high-energy particle detector in astronomical applications. A silicon on insulator (SOI) based detector is used for the detection of wide energy range of high energy particles (mainly for X-ray). The sensor has a thin layer of SOI CMOS readout circuitry and a thick layer of high-resistivity detector vertically stacked in a single chip. Pixel circuits are divided into two parts; signal sensing circuit and event detection circuit. The event detection circuit consisting of a comparator and logic circuits which detect the incidence of high energy particle categorizes the incident photon it into two energy groups using an appropriate energy threshold and generate a two-bit code for an event and energy level. The code for energy level is then used for selection of the gain of the in-pixel amplifier for the detected signal, providing a function of high-dynamic-range signal measurement. The two-bit code for the event and energy level is scanned in the event scanning block and the signals from the hit pixels only are read out. The variable-gain in-pixel amplifier uses a continuous integrator and integration-time control for the variable gain. The proposed design allows the small signal detection and wide dynamic range due to the adaptive gain technique and capability of correlated double sampling (CDS) technique of kTC noise canceling of the charge detector.

  10. Anomalous radiation effects in fully depleted SOI MOSFETs fabricated on SIMOX

    NASA Astrophysics Data System (ADS)

    Li, Ying; Niu, Guofu; Cressler, J. D.; Patel, J.; Marshall, C. J.; Marshall, P. W.; Kim, H. S.; Reed, R. A.; Palmer, M. J.

    2001-12-01

    We investigate the proton tolerance of fully depleted silicon-on-insulator (SOI) MOSFETs with H-gate and regular-gate structural configurations. For the front-gate characteristics, the H-gate does not show the edge leakage observed in the regular-gate transistor. An anomalous kink in the back-gate linear I/sub D/-V/sub GS/ characteristics of the fully depleted SOI nFETs has been observed at high radiation doses. This kink is attributed to charged traps generated in the bandgap at the buried oxide/silicon film interface during irradiation. Extensive two-dimensional simulations with MEDICI were used to understand the physical origin of this kink. We also report unusual self-annealing effects in the devices when they are cooled to liquid nitrogen temperature.

  11. Hot-carrier-induced current capability degradation and optimization for lateral IGBT on thick SOI substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Chunwei; Li, Yang; Yue, Wenjing; Fu, Xiaoqian; Li, Zhiming

    2018-07-01

    In this paper, the hot-carrier-induced current capability degradation of a 600 V lateral insulated gate bipolar transistor (LIGBT) on thick silicon on insulator (SOI) substrate is investigated. Our experiments found that, for the SOI-LIGBT, the worst stress condition is the maximum gate voltage (Vgmax) condition and the current degradation is dominated by the damages in the channel region under the Vgmax stress condition. However, further analyses show that the influence of channel region damages on the collector current degradation increases with the increase of measured collector voltage and is maximum in the current saturation region. Therefore, in our opinion, the hot-carrier-induced current capability degradation of the SOI-LIGBT should be evaluated by the degradation of saturation current under the Vgmax stress condition. In addition, a novel SOI-LIGBT structure with an external p-type region was also proposed, which can alleviate the damage in the channel region by reducing the lateral electric field peak. Our experimental results demonstrate that the proposed structure could optimize the hot-carrier reliability effectively with the other characteristics maintained. He is currently a lecturer at the University of Jinan, Jinan, China. His research interests include power electronics, high voltage devices and the electronics reliability.

  12. Improving breakdown voltage performance of SOI power device with folded drift region

    NASA Astrophysics Data System (ADS)

    Qi, Li; Hai-Ou, Li; Ping-Jiang, Huang; Gong-Li, Xiao; Nian-Jiong, Yang

    2016-07-01

    A novel silicon-on-insulator (SOI) high breakdown voltage (BV) power device with interlaced dielectric trenches (IDT) and N/P pillars is proposed. In the studied structure, the drift region is folded by IDT embedded in the active layer, which results in an increase of length of ionization integral remarkably. The crowding phenomenon of electric field in the corner of IDT is relieved by the N/P pillars. Both traits improve two key factors of BV, the ionization integral length and electric field magnitude, and thus BV is significantly enhanced. The electric field in the dielectric layer is enhanced and a major portion of bias is borne by the oxide layer due to the accumulation of inverse charges (holes) at the corner of IDT. The average value of the lateral electric field of the proposed device reaches 60 V/μm with a 10 μm drift length, which increases by 200% in comparison to the conventional SOI LDMOS, resulting in a breakdown voltage of 607 V. Project supported by the Guangxi Natural Science Foundation of China (Grant Nos. 2013GXNSFAA019335 and 2015GXNSFAA139300), Guangxi Experiment Center of Information Science of China (Grant No. YB1406), Guangxi Key Laboratory of Wireless Wideband Communication and Signal Processing of China, Key Laboratory of Cognitive Radio and Information Processing (Grant No. GXKL061505), Guangxi Key Laboratory of Automobile Components and Vehicle Technology of China (Grant No. 2014KFMS04), and the National Natural Science Foundation of China (Grant Nos. 61361011, 61274077, and 61464003).

  13. Subject order-independent group ICA (SOI-GICA) for functional MRI data analysis.

    PubMed

    Zhang, Han; Zuo, Xi-Nian; Ma, Shuang-Ye; Zang, Yu-Feng; Milham, Michael P; Zhu, Chao-Zhe

    2010-07-15

    Independent component analysis (ICA) is a data-driven approach to study functional magnetic resonance imaging (fMRI) data. Particularly, for group analysis on multiple subjects, temporally concatenation group ICA (TC-GICA) is intensively used. However, due to the usually limited computational capability, data reduction with principal component analysis (PCA: a standard preprocessing step of ICA decomposition) is difficult to achieve for a large dataset. To overcome this, TC-GICA employs multiple-stage PCA data reduction. Such multiple-stage PCA data reduction, however, leads to variable outputs due to different subject concatenation orders. Consequently, the ICA algorithm uses the variable multiple-stage PCA outputs and generates variable decompositions. In this study, a rigorous theoretical analysis was conducted to prove the existence of such variability. Simulated and real fMRI experiments were used to demonstrate the subject-order-induced variability of TC-GICA results using multiple PCA data reductions. To solve this problem, we propose a new subject order-independent group ICA (SOI-GICA). Both simulated and real fMRI data experiments demonstrated the high robustness and accuracy of the SOI-GICA results compared to those of traditional TC-GICA. Accordingly, we recommend SOI-GICA for group ICA-based fMRI studies, especially those with large data sets. Copyright 2010 Elsevier Inc. All rights reserved.

  14. Silicon-on-insulator (SOI) active pixel sensors with the photosite implemented in the substrate

    NASA Technical Reports Server (NTRS)

    Pain, Bedabrata (Inventor); Zheng, Xinyu (Inventor)

    2002-01-01

    Active pixel sensors for a high quality imager are fabricated using a silicon-on-insulator (SOI) process by integrating the photodetectors on the SOI substrate and forming pixel readout transistors on the SOI thin-film. The technique can include forming silicon islands on a buried insulator layer disposed on a silicon substrate and selectively etching away the buried insulator layer over a region of the substrate to define a photodetector area. Dopants of a first conductivity type are implanted to form a signal node in the photodetector area and to form simultaneously drain/source regions for a first transistor in at least a first one of the silicon islands. Dopants of a second conductivity type are implanted to form drain/source regions for a second transistor in at least a second one of the silicon islands. Isolation rings around the photodetector also can be formed when dopants of the second conductivity type are implanted. Interconnections among the transistors and the photodetector are provided to allow signals sensed by the photodetector to be read out via the transistors formed on the silicon islands.

  15. Silicon-on-insulator (SOI) active pixel sensors with the photosite implemented in the substrate

    NASA Technical Reports Server (NTRS)

    Zheng, Xinyu (Inventor); Pain, Bedabrata (Inventor)

    2005-01-01

    Active pixel sensors for a high quality imager are fabricated using a silicon-on-insulator (SOI) process by integrating the photodetectors on the SOI substrate and forming pixel readout transistors on the SOI thin-film. The technique can include forming silicon islands on a buried insulator layer disposed on a silicon substrate and selectively etching away the buried insulator layer over a region of the substrate to define a photodetector area. Dopants of a first conductivity type are implanted to form a signal node in the photodetector area and to form simultaneously drain/source regions for a first transistor in at least a first one of the silicon islands. Dopants of a second conductivity type are implanted to form drain/source regions for a second transistor in at least a second one of the silicon islands. Isolation rings around the photodetector also can be formed when dopants of the second conductivity type are implanted. Interconnections among the transistors and the photodetector are provided to allow signals sensed by the photodetector to be read out via the transistors formed on the silicon islands.

  16. An accurate model for predicting high frequency noise of nanoscale NMOS SOI transistors

    NASA Astrophysics Data System (ADS)

    Shen, Yanfei; Cui, Jie; Mohammadi, Saeed

    2017-05-01

    A nonlinear and scalable model suitable for predicting high frequency noise of N-type Metal Oxide Semiconductor (NMOS) transistors is presented. The model is developed for a commercial 45 nm CMOS SOI technology and its accuracy is validated through comparison with measured performance of a microwave low noise amplifier. The model employs the virtual source nonlinear core and adds parasitic elements to accurately simulate the RF behavior of multi-finger NMOS transistors up to 40 GHz. For the first time, the traditional long-channel thermal noise model is supplemented with an injection noise model to accurately represent the noise behavior of these short-channel transistors up to 26 GHz. The developed model is simple and easy to extract, yet very accurate.

  17. Radhard optical patchcords and packaging for satellites using liquid crystal polymers

    NASA Astrophysics Data System (ADS)

    O'Riorden, S.; Mahapatra, A.

    2017-11-01

    There are many advantages to employing fiber optics for high capacity satellite communication. However, optical cables can be susceptible to high radiation, temperature extremes and vacuum environment. Any hardware used in these systems must be rugged, durable and immune to the detrimental effects of the aforementioned conditions. Standard COTS optical fiber will darken when exposed to high levels of radiation limiting the effectiveness of the communications system. Of particular concern to satellites in GEO are energetic electrons, bursts of heavy particles due to solar storms which can cause total dose and single event effects (SEE). Conventional fiber optic cables have several issues performing in high radiation environments. Linden has patented and developed a novel cable using an extruded layer of Liquid Crystal Polymer (LCP) applied to commercially available fiber. Total dose effects are minimized by shielding with Liquid Crystal Polymer jacketing. It is a simple, inexpensive way to increase the radiation shielding and mechanical performance of cables in satellites while concomitantly providing hermeticity and thus increased fatigue factor for optical glass. • LCPs exposed to 5000 Mrad dose of gamma rays retain in excess of 90% of their mechanical properties. • LCPs exposed to 1 Mrad radiation dose with energetic protons retain almost 100% of their mechanical strength. Tensile modulus increases with exposure to the radiation. • Weight for weight the proton absorbing power of LCP is 25% better than that of aluminum. We will present experimental data on radhard optical patchcords.

  18. Impact of underlap spacer region variation on electrostatic and analog performance of symmetrical high-k SOI FinFET at 20 nm channel length

    NASA Astrophysics Data System (ADS)

    Jain, Neeraj; Raj, Balwinder

    2017-12-01

    Continued scaling of CMOS technology to achieve high performance and low power consumption of semiconductor devices in the complex integrated circuits faces the degradation in terms of electrostatic integrity, short channel effects (SCEs), leakage currents, device variability and reliability etc. Nowadays, multigate structure has become the promising candidate to overcome these problems. SOI FinFET is one of the best multigate structures that has gained importance in all electronic design automation (EDA) industries due to its improved short channel effects (SCEs), because of its more effective gate-controlling capabilities. In this paper, our aim is to explore the sensitivity of underlap spacer region variation on the performance of SOI FinFET at 20 nm channel length. Electric field modulation is analyzed with spacer length variation and electrostatic performance is evaluated in terms of performance parameter like electron mobility, electric field, electric potential, sub-threshold slope (SS), ON current (I on), OFF current (I off) and I on/I off ratio. The potential benefits of SOI FinFET at drain-to-source voltage, V DS = 0.05 V and V DS = 0.7 V towards analog and RF design is also evaluated in terms of intrinsic gain (A V), output conductance (g d), trans-conductance (g m), gate capacitance (C gg), and cut-off frequency (f T = g m/2πC gg) with spacer region variations.

  19. Optimized sensitivity of Silicon-on-Insulator (SOI) strip waveguide resonator sensor

    PubMed Central

    TalebiFard, Sahba; Schmidt, Shon; Shi, Wei; Wu, WenXuan; Jaeger, Nicolas A. F.; Kwok, Ezra; Ratner, Daniel M.; Chrostowski, Lukas

    2017-01-01

    Evanescent field sensors have shown promise for biological sensing applications. In particular, Silicon-on-Insulator (SOI)-nano-photonic based resonator sensors have many advantages for lab-on-chip diagnostics, including high sensitivity for molecular detection and compatibility with CMOS foundries for high volume manufacturing. We have investigated the optimum design parameters within the fabrication constraints of Multi-Project Wafer (MPW) foundries that result in the highest sensitivity for a resonator sensor. We have demonstrated the optimum waveguide thickness needed to achieve the maximum bulk sensitivity with SOI-based resonator sensors to be 165 nm using the quasi-TM guided mode. The closest thickness offered by MPW foundry services is 150 nm. Therefore, resonators with 150 nm thick silicon waveguides were fabricated resulting in sensitivities as high as 270 nm/RIU, whereas a similar resonator sensor with a 220 nm thick waveguide demonstrated sensitivities of approximately 200 nm/RIU. PMID:28270963

  20. Development FD-SOI MOSFET Amplifiers for Integrated Read-Out Circuit of Superconducting-Tunnel-Junction Single-Photon-Detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kiuchi, Kenji; et al.

    We proposed a new high-resolution single-photon infrared spectrometer for search for radiative decay of cosmic neutrino background (CνB). The superconducting-tunnel-junctions(STJs) are used as a single-photon counting device. Each STJ consists of Nb/Al/Al xO y/Al/Nb layers, and their thicknesses are optimized for the operation temperature at 370 mK cooled by a 3He sorption refrigerator. Our STJs achieved the leak current 250 pA, and the measured data implies that a smaller area STJ fulfills our requirement. FD-SOI MOSFETs are employed to amplify the STJ signal current in order to increase signal-to-noise ratio (S/N). FD-SOI MOSFETs can be operated at cryogenic temperature ofmore » 370 mK, which reduces the noise of the signal amplification system. FD-SOI MOSFET characteristics are measured at cryogenic temperature. The Id-Vgs curve shows a sharper turn on with a higher threshold voltage and the Id-Vds curve shows a nonlinear shape in linear region at cryogenic temperature. Taking into account these effects, FD-SOI MOSFETs are available for read-out circuit of STJ detectors. The bias voltage for STJ detectors is 0.4 mV, and it must be well stabilized to deliver high performance. We proposed an FD-SOI MOSFET-based charge integrated amplifier design as a read-out circuit of STJ detectors. The requirements for an operational amplifier used in the amplifier is estimated using SPICE simulation. The op-amp is required to have a fast response (GBW ≥ 100 MHz), and it must have low power dissipation as compared to the cooling power of refrigerator.« less

  1. HARM processing techniques for MEMS and MOEMS devices using bonded SOI substrates and DRIE

    NASA Astrophysics Data System (ADS)

    Gormley, Colin; Boyle, Anne; Srigengan, Viji; Blackstone, Scott C.

    2000-08-01

    Silicon-on-Insulator (SOI) MEMS devices (1) are rapidly gaining popularity in realizing numerous solutions for MEMS, especially in the optical and inertia application fields. BCO recently developed a DRIE trench etch, utilizing the Bosch process, and refill process for high voltage dielectric isolation integrated circuits on thick SOI substrates. In this paper we present our most recently developed DRIE processes for MEMS and MOEMS devices. These advanced etch techniques are initially described and their integration with silicon bonding demonstrated. This has enabled process flows that are currently being utilized to develop optical router and filter products for fiber optics telecommunications and high precision accelerometers.

  2. Single halo SDODEL n-MOSFET: an alternative low-cost pseudo-SOI with better analog performance

    NASA Astrophysics Data System (ADS)

    Sarkar, Partha; Mallik, Abhijit; Sarkar, Chandan Kumar

    2009-03-01

    In this paper, with the help of extensive TCAD simulations, we investigate the analog performance of source/drain on depletion layer (SDODEL) MOSFETs with a single-halo (SH) implant near the source side of the channel. We use the SH implant in such a structure for the first time. The analog performance parameters in SH SDODEL MOSFETs are compared to those in SH MOSFETs as well as in SH SOI MOSFETs. In addition to reduced junction capacitance for the SH SDODEL structure as compared to that in bulk SH devices, it has been shown that such devices lead to improved performance and lower power dissipation for sub-100 nm CMOS technologies. Our results show that, in SH SDODEL MOSFETs, there is significant improvement in the intrinsic device performance for analog applications (such as device gain, gm/ID, etc) for the sub-100 nm technologies.

  3. Defect Characterization in SiGe/SOI Epitaxial Semiconductors by Positron Annihilation

    PubMed Central

    2010-01-01

    The potential of positron annihilation spectroscopy (PAS) for defect characterization at the atomic scale in semiconductors has been demonstrated in thin multilayer structures of SiGe (50 nm) grown on UTB (ultra-thin body) SOI (silicon-on-insulator). A slow positron beam was used to probe the defect profile. The SiO2/Si interface in the UTB-SOI was well characterized, and a good estimation of its depth has been obtained. The chemical analysis indicates that the interface does not contain defects, but only strongly localized charged centers. In order to promote the relaxation, the samples have been submitted to a post-growth annealing treatment in vacuum. After this treatment, it was possible to observe the modifications of the defect structure of the relaxed film. Chemical analysis of the SiGe layers suggests a prevalent trapping site surrounded by germanium atoms, presumably Si vacancies associated with misfit dislocations and threading dislocations in the SiGe films. PMID:21170391

  4. Deeply etched MMI-based components on 4 μm thick SOI for SOA-based optical RAM cell circuits

    NASA Astrophysics Data System (ADS)

    Cherchi, Matteo; Ylinen, Sami; Harjanne, Mikko; Kapulainen, Markku; Aalto, Timo; Kanellos, George T.; Fitsios, Dimitrios; Pleros, Nikos

    2013-02-01

    We present novel deeply etched functional components, fabricated by multi-step patterning in the frame of our 4 μm thick Silicon on Insulator (SOI) platform based on singlemode rib-waveguides and on the previously developed rib-tostrip converter. These novel components include Multi-Mode Interference (MMI) splitters with any desired splitting ratio, wavelength sensitive 50/50 splitters with pre-filtering capability, multi-stage Mach-Zehnder Interferometer (MZI) filters for suppression of Amplified Spontaneous Emission (ASE), and MMI resonator filters. These novel building blocks enable functionalities otherwise not achievable on our SOI platform, and make it possible to integrate optical RAM cell layouts, by resorting to our technology for hybrid integration of Semiconductor Optical Amplifiers (SOAs). Typical SOA-based RAM cell layouts require generic splitting ratios, which are not readily achievable by a single MMI splitter. We present here a novel solution to this problem, which is very compact and versatile and suits perfectly our technology. Another useful functional element when using SOAs is the pass-band filter to suppress ASE. We pursued two complimentary approaches: a suitable interleaved cascaded MZI filter, based on a novel suitably designed MMI coupler with pre-filtering capabilities, and a completely novel MMI resonator concept, to achieve larger free spectral ranges and narrower pass-band response. Simulation and design principles are presented and compared to preliminary experimental functional results, together with scaling rules and predictions of achievable RAM cell densities. When combined with our newly developed ultra-small light-turning concept, these new components are expected to pave the way for high integration density of RAM cells.

  5. Monolithic optical phased-array transceiver in a standard SOI CMOS process.

    PubMed

    Abediasl, Hooman; Hashemi, Hossein

    2015-03-09

    Monolithic microwave phased arrays are turning mainstream in automotive radars and high-speed wireless communications fulfilling Gordon Moores 1965 prophecy to this effect. Optical phased arrays enable imaging, lidar, display, sensing, and holography. Advancements in fabrication technology has led to monolithic nanophotonic phased arrays, albeit without independent phase and amplitude control ability, integration with electronic circuitry, or including receive and transmit functions. We report the first monolithic optical phased array transceiver with independent control of amplitude and phase for each element using electronic circuitry that is tightly integrated with the nanophotonic components on one substrate using a commercial foundry CMOS SOI process. The 8 × 8 phased array chip includes thermo-optical tunable phase shifters and attenuators, nano-photonic antennas, and dedicated control electronics realized using CMOS transistors. The complex chip includes over 300 distinct optical components and over 74,000 distinct electrical components achieving the highest level of integration for any electronic-photonic system.

  6. Mixed logic style adder circuit designed and fabricated using SOI substrate for irradiation-hardened experiment

    NASA Astrophysics Data System (ADS)

    Yuan, Shoucai; Liu, Yamei

    2016-08-01

    This paper proposed a rail to rail swing, mixed logic style 28-transistor 1-bit full adder circuit which is designed and fabricated using silicon-on-insulator (SOI) substrate with 90 nm gate length technology. The main goal of our design is space application where circuits may be damaged by outer space radiation; so the irradiation-hardened technique such as SOI structure should be used. The circuit's delay, power and power-delay product (PDP) of our proposed gate diffusion input (GDI)-based adder are HSPICE simulated and compared with other reported high-performance 1-bit adder. The GDI-based 1-bit adder has 21.61% improvement in delay and 18.85% improvement in PDP, over the reported 1-bit adder. However, its power dissipation is larger than that reported with 3.56% increased but is still comparable. The worst case performance of proposed 1-bit adder circuit is also seen to be less sensitive to variations in power supply voltage (VDD) and capacitance load (CL), over a wide range from 0.6 to 1.8 V and 0 to 200 fF, respectively. The proposed and reported 1-bit full adders are all layout designed and wafer fabricated with other circuits/systems together on one chip. The chip measurement and analysis has been done at VDD = 1.2 V, CL = 20 fF, and 200 MHz maximum input signal frequency with temperature of 300 K.

  7. Development of monolithic pixel detector with SOI technology for the ILC vertex detector

    NASA Astrophysics Data System (ADS)

    Yamada, M.; Ono, S.; Tsuboyama, T.; Arai, Y.; Haba, J.; Ikegami, Y.; Kurachi, I.; Togawa, M.; Mori, T.; Aoyagi, W.; Endo, S.; Hara, K.; Honda, S.; Sekigawa, D.

    2018-01-01

    We have been developing a monolithic pixel sensor for the International Linear Collider (ILC) vertex detector with the 0.2 μm FD-SOI CMOS process by LAPIS Semiconductor Co., Ltd. We aim to achieve a 3 μm single-point resolution required for the ILC with a 20×20 μm2 pixel. Beam bunch crossing at the ILC occurs every 554 ns in 1-msec-long bunch trains with an interval of 200 ms. Each pixel must record the charge and time stamp of a hit to identify a collision bunch for event reconstruction. Necessary functions include the amplifier, comparator, shift register, analog memory and time stamp implementation in each pixel, and column ADC and Zero-suppression logic on the chip. We tested the first prototype sensor, SOFIST ver.1, with a 120 GeV proton beam at the Fermilab Test Beam Facility in January 2017. SOFIST ver.1 has a charge sensitive amplifier and two analog memories in each pixel, and an 8-bit Wilkinson-type ADC is implemented for each column on the chip. We measured the residual of the hit position to the reconstructed track. The standard deviation of the residual distribution fitted by a Gaussian is better than 3 μm.

  8. GENFAS- Decentralised PUS-Based Data Handling Software Using SOIS and SpaceWire

    NASA Astrophysics Data System (ADS)

    Fowell, Stuart D.; Wheeler, Simon; Mendham, Peter; Gasti, Wahida

    2011-08-01

    This paper describes GenFAS, a decentralised PUS- based Data Handling onboard software architecture, based on the SOIS and SpaceWire communication specifications. GenFAS was initially developed for and deployed on the MARC system under an ESA GSTP contract.

  9. SOI metal-oxide-semiconductor field-effect transistor photon detector based on single-hole counting.

    PubMed

    Du, Wei; Inokawa, Hiroshi; Satoh, Hiroaki; Ono, Atsushi

    2011-08-01

    In this Letter, a scaled-down silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistor (MOSFET) is characterized as a photon detector, where photogenerated individual holes are trapped below the negatively biased gate and modulate stepwise the electron current flowing in the bottom channel induced by the positive substrate bias. The output waveforms exhibit clear separation of current levels corresponding to different numbers of trapped holes. Considering this capability of single-hole counting, a small dark count of less than 0.02 s(-1) at room temperature, and low operation voltage of 1 V, SOI MOSFET could be a unique photon-number-resolving detector if the small quantum efficiency were improved. © 2011 Optical Society of America

  10. Recovery of damage in rad-hard MOS devices during and after irradiation by electrons, protons, alphas, and gamma rays

    NASA Technical Reports Server (NTRS)

    Brucker, G. J.; Van Gunten, O.; Stassinopoulos, E. G.; Shapiro, P.; August, L. S.; Jordan, T. M.

    1983-01-01

    This paper reports on the recovery properties of rad-hard MOS devices during and after irradiation by electrons, protons, alphas, and gamma rays. The results indicated that complex recovery properties controlled the damage sensitivities of the tested parts. The results also indicated that damage sensitivities depended on dose rate, total dose, supply bias, gate bias, transistor type, radiation source, and particle energy. The complex nature of these dependencies make interpretation of LSI device performance in space (exposure to entire electron and proton spectra) difficult, if not impossible, without respective ground tests and analyses. Complete recovery of n-channel shifts was observed, in some cases within hours after irradiation, with equilibrium values of threshold voltages greater than their pre-irradiation values. This effect depended on total dose, radiation source, and gate bias during exposure. In contrast, the p-channel shifts recovered only 20 percent within 30 days after irradiation.

  11. Radiation Effects and Hardening Techniques for Spacecraft Microelectronics

    NASA Astrophysics Data System (ADS)

    Gambles, J. W.; Maki, G. K.

    2002-01-01

    The natural radiation from the Van Allen belts, solar flares, and cosmic rays found outside of the protection of the earth's atmosphere can produce deleterious effects on microelectronics used in space systems. Historically civil space agencies and the commercial satellite industry have been able to utilize components produced in special radiation hardened fabrication process foundries that were developed during the 1970s and 1980s under sponsorship of the Departments of Defense (DoD) and Energy (DoE). In the post--cold war world the DoD and DoE push to advance the rad--hard processes has waned. Today the available rad--hard components lag two-plus technology node generations behind state- of-the-art commercial technologies. As a result space craft designers face a large performance gap when trying to utilize available rad--hard components. Compounding the performance gap problems, rad--hard components are becoming increasingly harder to get. Faced with the economic pitfalls associated with low demand versus the ever increasing investment required for integrated circuit manufacturing equipment most sources of rad--hard parts have simply exited this market in recent years, leaving only two domestic US suppliers of digital rad--hard components. This paper summarizes the radiation induced mechanisms that can cause digital microelectronics to fail in space, techniques that can be applied to mitigate these failure mechanisms, and ground based testing used to validate radiation hardness/tolerance. The radiation hardening techniques can be broken down into two classes, Hardness By Process (HBP) and Hardness By Design (HBD). Fortunately many HBD techniques can be applied to commercial fabrication processes providing space craft designer with radiation tolerant Application Specific Integrated Circuits (ASICs) that can bridge the performance gap between the special HBP foundries and the commercial state-of-the-art performance.

  12. Novel technique of source and drain engineering for dual-material double-gate (DMDG) SOI MOSFETS

    NASA Astrophysics Data System (ADS)

    Yadav, Himanshu; Malviya, Abhishek Kumar; Chauhan, R. K.

    2018-04-01

    The dual-metal dual-gate (DMDG) SOI has been used with Dual Sided Source and Drain Engineered 50nm SOI MOSFET with various high-k gate oxide. It has been scrutinized in this work to enhance its electrical performance. The proposed structure is designed by creating Dual Sided Source and Drain Modification and its characteristics are evaluated on ATLAS device simulator. The consequence of this dual sided assorted doping on source and drain side of the DMDG transistor has better leakage current immunity and heightened ION current with higher ION to IOFF Ratio. Which thereby vesting the proposed device appropriate for low power digital applications.

  13. Characterization of ultrathin SOI film and application to short channel MOSFETs.

    PubMed

    Tang, Xiaohui; Reckinger, Nicolas; Larrieu, Guilhem; Dubois, Emmanuel; Flandre, Denis; Raskin, Jean-Pierre; Nysten, Bernard; Jonas, Alain M; Bayot, Vincent

    2008-04-23

    In this study, a very dilute solution (NH(4)OH:H(2)O(2):H(2)O 1:8:64 mixture) was employed to reduce the thickness of commercially available SOI wafers down to 3 nm. The etch rate is precisely controlled at 0.11 Å s(-1) based on the self-limited etching speed of the solution. The thickness uniformity of the thin film, evaluated by spectroscopic ellipsometry and by high-resolution x-ray reflectivity, remains constant through the thinning process. Moreover, the film roughness, analyzed by atomic force microscopy, slightly improves during the thinning process. The residual stress in the thin film is much smaller than that obtained by sacrificial oxidation. Mobility, measured by means of a bridge-type Hall bar on 15 nm film, is not significantly reduced compared to the value of bulk silicon. Finally, the thinned SOI wafers were used to fabricate Schottky-barrier metal-oxide-semiconductor field-effect transistors with a gate length down to 30 nm, featuring state-of-the-art current drive performance.

  14. Photonic bandpass filter characteristics of multimode SOI waveguides integrated with submicron gratings.

    PubMed

    Sah, Parimal; Das, Bijoy Krishna

    2018-03-20

    It has been shown that a fundamental mode adiabatically launched into a multimode SOI waveguide with submicron grating offers well-defined flat-top bandpass filter characteristics in transmission. The transmitted spectral bandwidth is controlled by adjusting both waveguide and grating design parameters. The bandwidth is further narrowed down by cascading two gratings with detuned parameters. A semi-analytical model is used to analyze the filter characteristics (1500  nm≤λ≤1650  nm) of the device operating in transverse-electric polarization. The proposed devices were fabricated with an optimized set of design parameters in a SOI substrate with a device layer thickness of 250 nm. The pass bandwidth of waveguide devices integrated with single-stage gratings are measured to be ∼24  nm, whereas the device with two cascaded gratings with slightly detuned periods (ΔΛ=2  nm) exhibits a pass bandwidth down to ∼10  nm.

  15. Research on SOI-based micro-resonator devices

    NASA Astrophysics Data System (ADS)

    Xiao, Xi; Xu, Haihua; Hu, Yingtao; Zhou, Liang; Xiong, Kang; Li, Zhiyong; Li, Yuntao; Fan, Zhongchao; Han, Weihua; Yu, Yude; Yu, Jinzhong

    2010-10-01

    SOI (silicon-on-insulator)-based micro-resonator is the key building block of silicon photonics, which is considered as a promising solution to alleviate the bandwidth bottleneck of on-chip interconnects. Silicon-based sub-micron waveguide, microring and microdisk devices are investigated in Institute of Semiconductors, Chinese Academy of Sciences. The main progress in recent years is presented in this talk, such as high Q factor single mode microdisk filters, compact thirdorder microring filters with the through/drop port extinctions to be ~ 30/40 dB, fast microring electro-optical switches with the switch time of < 400 ps and crosstalk < -23 dB, and > 10 Gbit/s high speed microring modulators.

  16. Tunable filters based on an SOI nano-wire waveguide micro ring resonator

    NASA Astrophysics Data System (ADS)

    Shuai, Li; Yuanda, Wu; Xiaojie, Yin; Junming, An; Jianguang, Li; Hongjie, Wang; Xiongwei, Hu

    2011-08-01

    Micro ring resonator (MRR) filters based on a silicon on insulator (SOI) nanowire waveguide are fabricated by electron beam photolithography (EBL) and inductive coupled plasma (ICP) etching technology. The cross-section size of the strip waveguides is 450 × 220 nm2, and the bending radius of the micro ring is around 5 μm. The test results from the tunable filter based on a single ring show that the free spectral range (FSR) is 16.8 nm and the extinction ratio (ER) around the wavelength 1550 nm is 18.1 dB. After thermal tuning, the filter's tuning bandwidth reaches 4.8 nm with a tuning efficiency of 0.12 nm/°C Meanwhile, we fabricated and studied multi-channel filters based on a single ring and a double ring. After measurement, we drew the following conclusions: during the signal transmission of multi-channel filters, crosstalk exists mainly among different transmission channels and are fairly distinct when there are signals input to add ports.

  17. A Temperature Sensor using a Silicon-on-Insulator (SOI) Timer for Very Wide Temperature Measurement

    NASA Technical Reports Server (NTRS)

    Patterson, Richard L.; Hammoud, Ahmad; Elbuluk, Malik; Culley, Dennis E.

    2008-01-01

    A temperature sensor based on a commercial-off-the-shelf (COTS) Silicon-on-Insulator (SOI) Timer was designed for extreme temperature applications. The sensor can operate under a wide temperature range from hot jet engine compartments to cryogenic space exploration missions. For example, in Jet Engine Distributed Control Architecture, the sensor must be able to operate at temperatures exceeding 150 C. For space missions, extremely low cryogenic temperatures need to be measured. The output of the sensor, which consisted of a stream of digitized pulses whose period was proportional to the sensed temperature, can be interfaced with a controller or a computer. The data acquisition system would then give a direct readout of the temperature through the use of a look-up table, a built-in algorithm, or a mathematical model. Because of the wide range of temperature measurement and because the sensor is made of carefully selected COTS parts, this work is directly applicable to the NASA Fundamental Aeronautics/Subsonic Fixed Wing Program--Jet Engine Distributed Engine Control Task and to the NASA Electronic Parts and Packaging (NEPP) Program. In the past, a temperature sensor was designed and built using an SOI operational amplifier, and a report was issued. This work used an SOI 555 timer as its core and is completely new work.

  18. Design of a 1200-V ultra-thin partial SOI LDMOS with n-type buried layer

    NASA Astrophysics Data System (ADS)

    Qiao, Ming; Wang, Yuru; Li, Yanfei; Zhang, Bo; Li, Zhaoji

    2014-11-01

    A novel 1200-V ultra-thin partial silicon-on-insulator (PSOI) lateral double-diffusion metal oxide semiconductor (LDMOS) with n-type buried (n-buried) layer (NBL PSOI LDMOS) is proposed in this paper. The new PSOI LDMOS features an n-buried layer underneath the n-type drift (n-drift) region close to the source side, providing a large conduction region for majority carriers and a silicon window to improve self-heating effect (SHE). A combination of uniform and linear variable doping (ULVD) profile is utilized in the n-drift region, which alleviates the inherent tradeoff between specific on-resistance (Ron,sp) and breakdown voltage (BV). With the n-drift region length of 80 μm, the NBL PSOI LDMOS obtains a high BV of 1243 V which is improved by around 105 V in comparison to the conventional SOI LDMOS with linear variable doping (LVD) profile for the n-drift region (LVD SOI LDMOS). Besides, the 1200-V NBL PSOI LDMOS has a lower maximum temperature (Tmax) of 333 K at a power (P) of 1 mW/μm which is reduced by around 61 K. Meanwhile, Ron,sp and Tmax of the NBL PSOI LDMOS are lower than those of the conventional LVD SOI LDMOS for a wide range of BV.

  19. Total Ionizing Dose Influence on the Single-Event Upset Sensitivity of 130-nm PD SOI SRAMs

    NASA Astrophysics Data System (ADS)

    Zheng, Qiwen; Cui, Jiangwei; Liu, Mengxin; Zhou, Hang; Liu, Mohan; Wei, Ying; Su, Dandan; Ma, Teng; Lu, Wu; Yu, Xuefeng; Guo, Qi; He, Chengfa

    2017-07-01

    Effect of total ionizing dose (TID) on single-event upset (SEU) hardness of 130 nm partially depleted (PD) silicon-on-insulator (SOI) static random access memories (SRAMs) is investigated in this paper. The measurable synergistic effect of TID on SEU sensitivity of 130-nm PD SOI SRAM was observed in our experiment, even though that is far less than micrometer and submicrometer devices. Moreover, SEU cross section after TID irradiation has no dependence on the data pattern that was applied during TID exposure: SEU cross sections are characterized by TID data pattern and its complement data pattern are decreased consistently rather than a preferred state and a nonpreferred state as micrometer and sub-micrometer SRAMs. The memory cell test structure allowing direct measurement of static noise margin (SNM) under standby operation was designed using identical memory cell layout of SRAM. Direct measurement of the memory cell SNM shows that both data sides' SNM is decreased by TID, indicating that SEU cross section of 130-nm PD SOI SRAM will be increased by TID. And, the decreased SNM is caused by threshold shift in memory cell transistors induced by “radiation-induced narrow channel effect”.

  20. A novel SOI LDMOS with substrate field plate and variable-k dielectric buried layer

    NASA Astrophysics Data System (ADS)

    Li, Qi; Wen, Yi; Zhang, Fabi; Li, Haiou; Xiao, Gongli; Chen, Yonghe; Fu, Tao

    2018-09-01

    A novel silicon-on-insulator (SOI) lateral double-diffused metal-oxide-semiconductor (LDMOS) structure has been proposed. The new structure features a substrate field plate (SFP) and a variable-k dielectric buried layer (VKBL). The SFP and VKBL improve the breakdown voltage by introducing new electric field peaks in the surface electric field distribution. Moreover, the SFP reduces the specific ON-resistance through an enhanced auxiliary depletion effect on the drift region. The simulation results indicate that compared to the conventional SOI LDMOS structure, the breakdown voltage is improved from 118 V to 221 V, the specific ON-resistance is decreased from 7.15 mΩ·cm2 to 3.81 mΩ·cm2, the peak value of surface temperature is declined by 38 K.

  1. Structural Make-up, Biopolymer Conformation, and Biodegradation Characteristics of Newly Developed Super Genotype of Oats (CDC SO-I vs. Conventional Varieties): Novel Approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Damiran, D.; Yu, P

    Recently, a new 'super' genotype of oats (CDC SO-I or SO-I) has been developed. The objectives of this study were to determine structural makeup (features) of oat grain in endosperm and pericarp regions and to reveal and identify differences in protein amide I and II and carbohydrate structural makeup (conformation) between SO-I and two conventional oats (CDC Dancer and Derby) grown in western Canada in 2006, using advanced synchrotron radiation based Fourier transform infrared microspectroscopy (SRFTIRM). The SRFTIRM experiments were conducted at National Synchrotron Light Sources, Brookhaven National Laboratory (NSLS, BNL, U.S. Department of Energy). From the results, it wasmore » observed that comparison between the new genotype oats and conventional oats showed (1) differences in basic chemical and protein subfraction profiles and energy values with the new SO-I oats containing lower lignin (21 g/kg of DM) and higher soluble crude protein (530 g/kg CP), crude fat (59 g/kg of DM), and energy values (TDN, 820 g/kg of DM; NE{sub L3x}, 7.8 MJ/kg of DM); (2) significant differences in rumen biodegradation kinetics of dry matter, starch, and protein with the new SO-I oats containing lower EDDM (638 g/kg of DM) and higher EDCP (103 g/kg of DM); (3) significant differences in nutrient supply with highest truly absorbed rumen undegraded protein (ARUP, 23 g/kg of DM) and total metabolizable protein supply (MP, 81 g/kg of DM) from the new SO-I oats; and (4) significant differences in structural makeup in terms of protein amide I in the endosperm region (with amide I peak height from 0.13 to 0.22 IR absorbance unit) and cellulosic compounds to carbohydrate ratio in the pericarp region (ratio from 0.02 to 0.06). The results suggest that with the SRFTIRM technique, the structural makeup differences between the new genotype oats (SO-I) and two conventional oats (Dancer and Derby) could be revealed.« less

  2. Heterojunction fully depleted SOI-TFET with oxide/source overlap

    NASA Astrophysics Data System (ADS)

    Chander, Sweta; Bhowmick, B.; Baishya, S.

    2015-10-01

    In this work, a hetero-junction fully depleted (FD) Silicon-on-Insulator (SOI) Tunnel Field Effect Transistor (TFET) nanostructure with oxide overlap on the Germanium-source region is proposed. Investigations using Synopsys Technology Computer Aided Design (TCAD) simulation tools reveal that the simple oxide overlap on the Germanium-source region increases the tunneling area as well as the tunneling current without degrading the band-to-band tunneling (BTBT) and improves the device performance. More importantly, the improvement is independent of gate overlap. Simulation study shows improvement in ON current, subthreshold swing (SS), OFF current, ION/IOFF ration, threshold voltage and transconductance. The proposed device with hafnium oxide (HfO2)/Aluminium Nitride (AlN) stack dielectric material offers an average subthreshold swing of 22 mV/decade and high ION/IOFF ratio (∼1010) at VDS = 0.4 V. Compared to conventional TFET, the Miller capacitance of the device shows the enhanced performance. The impact of the drain voltage variation on different parameters such as threshold voltage, subthreshold swing, transconductance, and ION/IOFF ration are also found to be satisfactory. From fabrication point of view also it is easy to utilize the existing CMOS process flows to fabricate the proposed device.

  3. The founder of the Friends Foundation--Tessie Soi.

    PubMed

    Topurua, Ore

    2013-01-01

    Tessie Soi is well known in Papua New Guinea and beyond for her work with HIV/AIDS (human immunodeficiency virus/acquired immune deficiency syndrome) patients, including through the Friends Foundation, an organization that focuses on helping families affected by HIV and AIDS. This article explores Tessie's early life and childhood, providing insight into some of the values she learned from her parents. Providing details about the Friends Foundation and the Orphan Buddy Systems program, a program Tessie established to support AIDS orphans, the article offers insight into Tessie's beliefs and compassion, simultaneously highlighting the value she places on her family.

  4. SiNOI and AlGaAs-on-SOI nonlinear circuits for continuum generation in Si photonics

    NASA Astrophysics Data System (ADS)

    El Dirani, Houssein; Monat, Christelle; Brision, Stéphane; Olivier, Nicolas; Jany, Christophe; Letartre, Xavier; Pu, Minhao; Girouard, Peter D.; Hagedorn Frandsen, Lars; Semenova, Elizaveta; Katsuo Oxenløwe, Leif; Yvind, Kresten; Sciancalepore, Corrado

    2018-02-01

    In this communication, we report on the design, fabrication, and testing of Silicon Nitride on Insulator (SiNOI) and Aluminum-Gallium-Arsenide (AlGaAs) on silicon-on-insulator (SOI) nonlinear photonic circuits for continuum generation in Silicon (Si) photonics. As recently demonstrated, the generation of frequency continua and supercontinua can be used to overcome the intrinsic limitations of nowadays silicon photonics notably concerning the heterogeneous integration of III-V on SOI lasers for datacom and telecom applications. By using the Kerr nonlinearity of monolithic silicon nitride and heterointegrated GaAs-based alloys on SOI, the generation of tens or even hundreds of new optical frequencies can be obtained in dispersion tailored waveguides, thus providing an all-optical alternative to the heterointegration of hundreds of standalone III-V on Si lasers. In our work, we present paths to energy-efficient continua generation on silicon photonics circuits. Notably, we demonstrate spectral broadening covering the full C-band via Kerrbased self-phase modulation in SiNOI nanowires featuring full process compatibility with Si photonic devices. Moreover, AlGaAs waveguides are heterointegrated on SOI in order to dramatically reduce (x1/10) thresholds in optical parametric oscillation and in the power required for supercontinuum generation under pulsed pumping. The manufacturing techniques allowing the monolithic co-integration of nonlinear functionalities on existing CMOS-compatible Si photonics for both active and passive components will be shown. Experimental evidence based on self-phase modulation show SiNOI and AlGaAs nanowires capable of generating wide-spanning frequency continua in the C-Band. This will pave the way for low-threshold power-efficient Kerr-based comb- and continuum- sources featuring compatibility with Si photonic integrated circuits (Si-PICs).

  5. Structural makeup, biopolymer conformation, and biodegradation characteristics of a newly developed super genotype of oats (CDC SO-I versus conventional varieties): a novel approach.

    PubMed

    Damiran, Daalkhaijav; Yu, Peiqiang

    2010-02-24

    Recently, a new "super" genotype of oats (CDC SO-I or SO-I) has been developed. The objectives of this study were to determine structural makeup (features) of oat grain in endosperm and pericarp regions and to reveal and identify differences in protein amide I and II and carbohydrate structural makeup (conformation) between SO-I and two conventional oats (CDC Dancer and Derby) grown in western Canada in 2006, using advanced synchrotron radiation based Fourier transform infrared microspectroscopy (SRFTIRM). The SRFTIRM experiments were conducted at National Synchrotron Light Sources, Brookhaven National Laboratory (NSLS, BNL, U.S. Department of Energy). From the results, it was observed that comparison between the new genotype oats and conventional oats showed (1) differences in basic chemical and protein subfraction profiles and energy values with the new SO-I oats containing lower lignin (21 g/kg of DM) and higher soluble crude protein (530 g/kg CP), crude fat (59 g/kg of DM), and energy values (TDN, 820 g/kg of DM; NE(L3x), 7.8 MJ/kg of DM); (2) significant differences in rumen biodegradation kinetics of dry matter, starch, and protein with the new SO-I oats containing lower EDDM (638 g/kg of DM) and higher EDCP (103 g/kg of DM); (3) significant differences in nutrient supply with highest truly absorbed rumen undegraded protein (ARUP, 23 g/kg of DM) and total metabolizable protein supply (MP, 81 g/kg of DM) from the new SO-I oats; and (4) significant differences in structural makeup in terms of protein amide I in the endosperm region (with amide I peak height from 0.13 to 0.22 IR absorbance unit) and cellulosic compounds to carbohydrate ratio in the pericarp region (ratio from 0.02 to 0.06). The results suggest that with the SRFTIRM technique, the structural makeup differences between the new genotype oats (SO-I) and two conventional oats (Dancer and Derby) could be revealed.

  6. Monolithic silicon-photonic platforms in state-of-the-art CMOS SOI processes [Invited].

    PubMed

    Stojanović, Vladimir; Ram, Rajeev J; Popović, Milos; Lin, Sen; Moazeni, Sajjad; Wade, Mark; Sun, Chen; Alloatti, Luca; Atabaki, Amir; Pavanello, Fabio; Mehta, Nandish; Bhargava, Pavan

    2018-05-14

    Integrating photonics with advanced electronics leverages transistor performance, process fidelity and package integration, to enable a new class of systems-on-a-chip for a variety of applications ranging from computing and communications to sensing and imaging. Monolithic silicon photonics is a promising solution to meet the energy efficiency, sensitivity, and cost requirements of these applications. In this review paper, we take a comprehensive view of the performance of the silicon-photonic technologies developed to date for photonic interconnect applications. We also present the latest performance and results of our "zero-change" silicon photonics platforms in 45 nm and 32 nm SOI CMOS. The results indicate that the 45 nm and 32 nm processes provide a "sweet-spot" for adding photonic capability and enhancing integrated system applications beyond the Moore-scaling, while being able to offload major communication tasks from more deeply-scaled compute and memory chips without complicated 3D integration approaches.

  7. Effects of ultra-thin Si-fin body widths upon SOI PMOS FinFETs

    NASA Astrophysics Data System (ADS)

    Liaw, Yue-Gie; Chen, Chii-Wen; Liao, Wen-Shiang; Wang, Mu-Chun; Zou, Xuecheng

    2018-05-01

    Nano-node tri-gate FinFET devices have been developed after integrating a 14 Å nitrided gate oxide upon the silicon-on-insulator (SOI) wafers established on an advanced CMOS logic platform. These vertical double gate (FinFET) devices with ultra-thin silicon fin (Si-fin) widths ranging from 27 nm to 17 nm and gate length down to 30 nm have been successfully developed with a 193 nm scanner lithography tool. Combining the cobalt fully silicidation and the CESL strain technology beneficial for PMOS FinFETs was incorporated into this work. Detailed analyses of Id-Vg characteristics, threshold voltage (Vt), and drain-induced barrier lowering (DIBL) illustrate that the thinnest 17 nm Si-fin width FinFET exhibits the best gate controllability due to its better suppression of short channel effect (SCE). However, higher source/drain resistance (RSD), channel mobility degradation due to dry etch steps, or “current crowding effect” will slightly limit its transconductance (Gm) and drive current.

  8. High-temperature microelectromechanical pressure sensors based on a SOI heterostructure for an electronic automatic aircraft engine control system

    NASA Astrophysics Data System (ADS)

    Sokolov, Leonid V.

    2010-08-01

    There is a need of measuring distributed pressure on the aircraft engine inlet with high precision within a wide operating temperature range in the severe environment to improve the efficiency of aircraft engine control. The basic solutions and principles of designing high-temperature (to 523K) microelectromechanical pressure sensors based on a membrane-type SOI heterostructure with a monolithic integral tensoframe (MEMS-SOIMT) are proposed in accordance with the developed concept, which excludes the use of electric p-n junctions in semiconductor microelectromechanical sensors. The MEMS-SOIMT technology relies on the group processes of microelectronics and micromechanics for high-precision microprofiling of a three-dimension micromechanical structure, which exclude high-temperature silicon doping processes.

  9. Analysis and optimisation of lateral thin-film silicon-on-insulator (SOI) PMOS transistor with an NBL layer in the drift region

    NASA Astrophysics Data System (ADS)

    Cortés, I.; Toulon, G.; Morancho, F.; Flores, D.; Hugonnard-Bruyère, E.; Villard, B.

    2012-04-01

    This paper analyses the experimental results of voltage capability (VBR > 120 V) and output characteristics of a new lateral power P-channel MOS transistors manufactured on a 0.18 μm SOI CMOS technology by means of TCAD numerical simulations. The proposed LDPMOS structures have an N-type buried layer (NBL) inserted in the P-well drift region with the purpose of increasing the RESURF effectiveness and improving the static characteristics (Ron-sp/VBR trade-off) and the device switching performance. Some architecture modifications are also proposed in this paper to further improve the performance of fabricated transistors.

  10. Operation of SOI P-Channel Field Effect Transistors, CHT-PMOS30, under Extreme Temperatures

    NASA Technical Reports Server (NTRS)

    Patterson, Richard; Hammoud, Ahmad

    2009-01-01

    Electronic systems are required to operate under extreme temperatures in NASA planetary exploration and deep space missions. Electronics on-board spacecraft must also tolerate thermal cycling between extreme temperatures. Thermal management means are usually included in today s spacecraft systems to provide adequate temperature for proper operation of the electronics. These measures, which may include heating elements, heat pipes, radiators, etc., however add to the complexity in the design of the system, increases its cost and weight, and affects its performance and reliability. Electronic parts and circuits capable of withstanding and operating under extreme temperatures would reflect in improvement in system s efficiency, reducing cost, and improving overall reliability. Semiconductor chips based on silicon-on-insulator (SOI) technology are designed mainly for high temperature applications and find extensive use in terrestrial well-logging fields. Their inherent design offers advantages over silicon devices in terms of reduced leakage currents, less power consumption, faster switching speeds, and good radiation tolerance. Little is known, however, about their performance at cryogenic temperatures and under wide thermal swings. Experimental investigation on the operation of SOI, N-channel field effect transistors under wide temperature range was reported earlier [1]. This work examines the performance of P-channel devices of these SOI transistors. The electronic part investigated in this work comprised of a Cissoid s CHT-PMOS30, high temperature P-channel MOSFET (metal-oxide semiconductor field-effect transistor) device [2]. This high voltage, medium-power transistor is designed for geothermal well logging applications, aerospace and avionics, and automotive industry, and is specified for operation in the temperature range of -55 C to +225 C. Table I shows some specifications of this transistor [2]. The CHT-PMOS30 device was characterized at various temperatures

  11. A Lateral Differential Resonant Pressure Microsensor Based on SOI-Glass Wafer-Level Vacuum Packaging.

    PubMed

    Xie, Bo; Xing, Yonghao; Wang, Yanshuang; Chen, Jian; Chen, Deyong; Wang, Junbo

    2015-09-21

    This paper presents the fabrication and characterization of a resonant pressure microsensor based on SOI-glass wafer-level vacuum packaging. The SOI-based pressure microsensor consists of a pressure-sensitive diaphragm at the handle layer and two lateral resonators (electrostatic excitation and capacitive detection) on the device layer as a differential setup. The resonators were vacuum packaged with a glass cap using anodic bonding and the wire interconnection was realized using a mask-free electrochemical etching approach by selectively patterning an Au film on highly topographic surfaces. The fabricated resonant pressure microsensor with dual resonators was characterized in a systematic manner, producing a quality factor higher than 10,000 (~6 months), a sensitivity of about 166 Hz/kPa and a reduced nonlinear error of 0.033% F.S. Based on the differential output, the sensitivity was increased to two times and the temperature-caused frequency drift was decreased to 25%.

  12. Temperature characteristics research of SOI pressure sensor based on asymmetric base region transistor

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaofeng; Li, Dandan; Yu, Yang; Wen, Dianzhong

    2017-07-01

    Based on the asymmetric base region transistor, a pressure sensor with temperature compensation circuit is proposed in this paper. The pressure sensitive structure of the proposed sensor is constructed by a C-type silicon cup and a Wheatstone bridge with four piezoresistors ({R}1, {R}2, {R}3 and {R}4) locating on the edge of a square silicon membrane. The chip was designed and fabricated on a silicon on insulator (SOI) wafer by micro electromechanical system (MEMS) technology and bipolar transistor process. When the supply voltage is 5.0 V, the corresponding temperature coefficient of the sensitivity (TCS) for the sensor before and after temperature compensation are -1862 and -1067 ppm/°C, respectively. Through varying the ratio of the base region resistances {r}1 and {r}2, the TCS for the sensor with the compensation circuit is -127 ppm/°C. It is possible to use this compensation circuit to improve the temperature characteristics of the pressure sensor. Project supported by the National Natural Science Foundation of China (No. 61471159), the Natural Science Foundation of Heilongjiang Province (No. F201433), the University Nursing Program for Young Scholars with Creative Talents in Heilongjiang Province (No. 2015018), and the Special Funds for Science and Technology Innovation Talents of Harbin in China (No. 2016RAXXJ016).

  13. A two dimensional analytical modeling of surface potential in triple metal gate (TMG) fully-depleted Recessed-Source/Drain (Re-S/D) SOI MOSFET

    NASA Astrophysics Data System (ADS)

    Priya, Anjali; Mishra, Ram Awadh

    2016-04-01

    In this paper, analytical modeling of surface potential is proposed for new Triple Metal Gate (TMG) fully depleted Recessed-Source/Dain Silicon On Insulator (SOI) Metal Oxide Semiconductor Field Effect Transistor (MOSFET). The metal with the highest work function is arranged near the source region and the lowest one near the drain. Since Recessed-Source/Drain SOI MOSFET has higher drain current as compared to conventional SOI MOSFET due to large source and drain region. The surface potential model developed by 2D Poisson's equation is verified by comparison to the simulation result of 2-dimensional ATLAS simulator. The model is compared with DMG and SMG devices and analysed for different device parameters. The ratio of metal gate length is varied to optimize the result.

  14. 300 nm bandwidth adiabatic SOI polarization splitter-rotators exploiting continuous symmetry breaking.

    PubMed

    Socci, Luciano; Sorianello, Vito; Romagnoli, Marco

    2015-07-27

    Adiabatic polarization splitter-rotators are investigated exploiting continuous symmetry breaking thereby achieving significant device size and losses reduction in a single mask fabrication process for both SOI channel and ridge waveguides. A crosstalk lower than -25 dB is expected over 300nm bandwidth, making the device suitable for full grid CWDM and diplexer/triplexer FTTH applications at 1310, 1490 and 1550nm.

  15. Fabrication and characterization of resonant SOI micromechanical silicon sensors based on DRIE micromachining, freestanding release process and silicon direct bonding

    NASA Astrophysics Data System (ADS)

    Gigan, Olivier; Chen, Hua; Robert, Olivier; Renard, Stephane; Marty, Frederic

    2002-11-01

    This paper is dedicated to the fabrication and technological aspect of a silicon microresonator sensor. The entire project includes the fabrication processes, the system modelling/simulation, and the electronic interface. The mechanical model of such resonator is presented including description of frequency stability and Hysterises behaviour of the electrostatically driven resonator. Numeric model and FEM simulations are used to simulate the system dynamic behaviour. The complete fabrication process is based on standard microelectronics technology with specific MEMS technological steps. The key steps are described: micromachining on SOI by Deep Reactive Ion Etching (DRIE), specific release processes to prevent sticking (resist and HF-vapour release process) and collective vacuum encapsulation by Silicon Direct Bonding (SDB). The complete process has been validated and prototypes have been fabricated. The ASIC was designed to interface the sensor and to control the vibration amplitude. This electronic was simulated and designed to work up to 200°C and implemented in a standard 0.6μ CMOS technology. Characterizations of sensor prototypes are done both mechanically and electrostatically. These measurements showed good agreements with theory and FEM simulations.

  16. Integration of a UV curable polymer lens and MUMPs structures on a SOI optical bench

    NASA Astrophysics Data System (ADS)

    Hsieh, Jerwei; Hsiao, Sheng-Yi; Lai, Chun-Feng; Fang, Weileun

    2007-08-01

    This work presents the design concept of integrating a polymer lens, poly-Si MUMPs and single-crystal-silicon HARM structures on a SOI wafer to form a silicon optical bench. This approach enables the monolithic integration of various optical components on the wafer so as to improve the design flexibility of the silicon optical bench. Fabrication processes, including surface and bulk micromachining on the SOI wafer, have been established to realize bi-convex spherical polymer lenses with in-plane as well as out-of-plane optical axes. In addition, a micro device consisting of an in-plane polymer lens, a thick fiber holder and a mechanical shutter driven by an electrothermal actuator is also demonstrated using the present approach. In summary, this study significantly improves the design flexibility as well as the functions of SiOBs.

  17. Advanced Microelectronics Technologies for Future Small Satellite Systems

    NASA Technical Reports Server (NTRS)

    Alkalai, Leon

    1999-01-01

    Future small satellite systems for both Earth observation as well as deep-space exploration are greatly enabled by the technological advances in deep sub-micron microelectronics technologies. Whereas these technological advances are being fueled by the commercial (non-space) industries, more recently there has been an exciting new synergism evolving between the two otherwise disjointed markets. In other words, both the commercial and space industries are enabled by advances in low-power, highly integrated, miniaturized (low-volume), lightweight, and reliable real-time embedded systems. Recent announcements by commercial semiconductor manufacturers to introduce Silicon On Insulator (SOI) technology into their commercial product lines is driven by the need for high-performance low-power integrated devices. Moreover, SOI has been the technology of choice for many space semiconductor manufacturers where radiation requirements are critical. This technology has inherent radiation latch-up immunity built into the process, which makes it very attractive to space applications. In this paper, we describe the advanced microelectronics and avionics technologies under development by NASA's Deep Space Systems Technology Program (also known as X2000). These technologies are of significant benefit to both the commercial satellite as well as the deep-space and Earth orbiting science missions. Such a synergistic technology roadmap may truly enable quick turn-around, low-cost, and highly capable small satellite systems for both Earth observation as well as deep-space missions.

  18. A Lateral Differential Resonant Pressure Microsensor Based on SOI-Glass Wafer-Level Vacuum Packaging

    PubMed Central

    Xie, Bo; Xing, Yonghao; Wang, Yanshuang; Chen, Jian; Chen, Deyong; Wang, Junbo

    2015-01-01

    This paper presents the fabrication and characterization of a resonant pressure microsensor based on SOI-glass wafer-level vacuum packaging. The SOI-based pressure microsensor consists of a pressure-sensitive diaphragm at the handle layer and two lateral resonators (electrostatic excitation and capacitive detection) on the device layer as a differential setup. The resonators were vacuum packaged with a glass cap using anodic bonding and the wire interconnection was realized using a mask-free electrochemical etching approach by selectively patterning an Au film on highly topographic surfaces. The fabricated resonant pressure microsensor with dual resonators was characterized in a systematic manner, producing a quality factor higher than 10,000 (~6 months), a sensitivity of about 166 Hz/kPa and a reduced nonlinear error of 0.033% F.S. Based on the differential output, the sensitivity was increased to two times and the temperature-caused frequency drift was decreased to 25%. PMID:26402679

  19. Band to Band Tunneling (BBT) Induced Leakage Current Enhancement in Irradiated Fully Depleted SOI Devices

    NASA Technical Reports Server (NTRS)

    Adell, Phillipe C.; Barnaby, H. J.; Schrimpf, R. D.; Vermeire, B.

    2007-01-01

    We propose a model, validated with simulations, describing how band-to-band tunneling (BBT) affects the leakage current degradation in some irradiated fully-depleted SOI devices. The dependence of drain current on gate voltage, including the apparent transition to a high current regime is explained.

  20. Radiation-Hardened Solid-State Drive

    NASA Technical Reports Server (NTRS)

    Sheldon, Douglas J.

    2010-01-01

    A method is provided for a radiationhardened (rad-hard) solid-state drive for space mission memory applications by combining rad-hard and commercial off-the-shelf (COTS) non-volatile memories (NVMs) into a hybrid architecture. The architecture is controlled by a rad-hard ASIC (application specific integrated circuit) or a FPGA (field programmable gate array). Specific error handling and data management protocols are developed for use in a rad-hard environment. The rad-hard memories are smaller in overall memory density, but are used to control and manage radiation-induced errors in the main, and much larger density, non-rad-hard COTS memory devices. Small amounts of rad-hard memory are used as error buffers and temporary caches for radiation-induced errors in the large COTS memories. The rad-hard ASIC/FPGA implements a variety of error-handling protocols to manage these radiation-induced errors. The large COTS memory is triplicated for protection, and CRC-based counters are calculated for sub-areas in each COTS NVM array. These counters are stored in the rad-hard non-volatile memory. Through monitoring, rewriting, regeneration, triplication, and long-term storage, radiation-induced errors in the large NV memory are managed. The rad-hard ASIC/FPGA also interfaces with the external computer buses.

  1. Evaluation of COTS SiGe, SOI, and Mixed Signal Electronic Parts for Extreme Temperature Use in NASA Missions

    NASA Technical Reports Server (NTRS)

    Patterson, Richard L.; Hammoud, Ahmad

    2010-01-01

    The NASA Electronic Parts and Packaging (NEPP) Program sponsors a task at the NASA Glenn Research Center titled "Reliability of SiGe, SOI, and Advanced Mixed Signal Devices for Cryogenic Space Missions." In this task COTS parts and flight-like are evaluated by determining their performance under extreme temperatures and thermal cycling. The results from the evaluations are published on the NEPP website and at professional conferences in order to disseminate information to mission planners and system designers. This presentation discusses the task and the 2010 highlights and technical results. Topics include extreme temperature operation of SiGe and SOI devices, all-silicon oscillators, a floating gate voltage reference, a MEMS oscillator, extreme temperature resistors and capacitors, and a high temperature silicon operational amplifier.

  2. CSP: A Multifaceted Hybrid Architecture for Space Computing

    NASA Technical Reports Server (NTRS)

    Rudolph, Dylan; Wilson, Christopher; Stewart, Jacob; Gauvin, Patrick; George, Alan; Lam, Herman; Crum, Gary Alex; Wirthlin, Mike; Wilson, Alex; Stoddard, Aaron

    2014-01-01

    Research on the CHREC Space Processor (CSP) takes a multifaceted hybrid approach to embedded space computing. Working closely with the NASA Goddard SpaceCube team, researchers at the National Science Foundation (NSF) Center for High-Performance Reconfigurable Computing (CHREC) at the University of Florida and Brigham Young University are developing hybrid space computers that feature an innovative combination of three technologies: commercial-off-the-shelf (COTS) devices, radiation-hardened (RadHard) devices, and fault-tolerant computing. Modern COTS processors provide the utmost in performance and energy-efficiency but are susceptible to ionizing radiation in space, whereas RadHard processors are virtually immune to this radiation but are more expensive, larger, less energy-efficient, and generations behind in speed and functionality. By featuring COTS devices to perform the critical data processing, supported by simpler RadHard devices that monitor and manage the COTS devices, and augmented with novel uses of fault-tolerant hardware, software, information, and networking within and between COTS devices, the resulting system can maximize performance and reliability while minimizing energy consumption and cost. NASA Goddard has adopted the CSP concept and technology with plans underway to feature flight-ready CSP boards on two upcoming space missions.

  3. SEMICONDUCTOR TECHNOLOGY: Influence of nitrogen dose on the charge density of nitrogen-implanted buried oxide in SOI wafers

    NASA Astrophysics Data System (ADS)

    Zhongshan, Zheng; Zhongli, Liu; Ning, Li; Guohua, Li; Enxia, Zhang

    2010-02-01

    To harden silicon-on-insulator (SOI) wafers fabricated using separation by implanted oxygen (SIMOX) to total-dose irradiation, the technique of nitrogen implantation into the buried oxide (BOX) layer of SIMOX wafers can be used. However, in this work, it has been found that all the nitrogen-implanted BOX layers reveal greater initial positive charge densities, which increased with increasing nitrogen implantation dose. Also, the results indicate that excessively large nitrogen implantation dose reduced the radiation tolerance of BOX for its high initial positive charge density. The bigger initial positive charge densities can be ascribed to the accumulation of implanted nitrogen near the Si-BOX interface after annealing. On the other hand, in our work, it has also been observed that, unlike nitrogen-implanted BOX, all the fluorine-implanted BOX layers show a negative charge density. To obtain the initial charge densities of the BOX layers, the tested samples were fabricated with a metal-BOX-silicon (MBS) structure based on SIMOX wafers for high-frequency capacitance-voltage (C-V) analysis.

  4. SOI N-Channel Field Effect Transistors, CHT-NMOS80, for Extreme Temperatures

    NASA Technical Reports Server (NTRS)

    Patterson, Richard L.; Hammoud, Almad

    2009-01-01

    Extreme temperatures, both hot and cold, are anticipated in many of NASA space exploration missions as well as in terrestrial applications. One can seldom find electronics that are capable of operation under both regimes. Even for operation under one (hot or cold) temperature extreme, some thermal controls need to be introduced to provide appropriate ambient temperatures so that spacecraft on-board or field on-site electronic systems work properly. The inclusion of these controls, which comprise of heating elements and radiators along with their associated structures, adds to the complexity in the design of the system, increases cost and weight, and affects overall reliability. Thus, it would be highly desirable and very beneficial to eliminate these thermal measures in order to simplify system's design, improve efficiency, reduce development and launch costs, and improve reliability. These requirements can only be met through the development of electronic parts that are designed for proper and efficient operation under extreme temperature conditions. Silicon-on-insulator (SOI) based devices are finding more use in harsh environments due to the benefits that their inherent design offers in terms of reduced leakage currents, less power consumption, faster switching speeds, good radiation tolerance, and extreme temperature operability. Little is known, however, about their performance at cryogenic temperatures and under wide thermal swings. The objective of this work was to evaluate the performance of a new commercial-off-the-shelf (COTS) SOI parts over an extended temperature range and to determine the effects of thermal cycling on their performance. The results will establish a baseline on the suitability of such devices for use in space exploration missions under extreme temperatures, and will aid mission planners and circuit designers in the proper selection of electronic parts and circuits. The electronic part investigated in this work comprised of a CHT-NMOS80

  5. Approaches of multilayer overlay process control for 28nm FD-SOI derivative applications

    NASA Astrophysics Data System (ADS)

    Duclaux, Benjamin; De Caunes, Jean; Perrier, Robin; Gatefait, Maxime; Le Gratiet, Bertrand; Chapon, Jean-Damien; Monget, Cédric

    2018-03-01

    Derivative technology like embedded Non-Volatile Memories (eNVM) is raising new types of challenges on the "more than Moore" path. By its construction: overlay is critical across multiple layers, by its running mode: usage of high voltage are stressing leakages and breakdown, and finally with its targeted market: Automotive, Industry automation, secure transactions… which are all requesting high device reliability (typically below 1ppm level). As a consequence, overlay specifications are tights, not only between one layer and its reference, but also among the critical layers sharing the same reference. This work describes a broad picture of the key points for multilayer overlay process control in the case of a 28nm FD-SOI technology and its derivative flows. First, the alignment trees of the different flow options have been optimized using a realistic process assumptions calculation for indirect overlay. Then, in the case of a complex alignment tree involving heterogeneous scanner toolset, criticality of tool matching between reference layer and critical layers of the flow has been highlighted. Improving the APC control loops of these multilayer dependencies has been studied with simulations of feed-forward as well as implementing new rework algorithm based on multi-measures. Finally, the management of these measurement steps raises some issues for inline support and using calculations or "virtual overlay" could help to gain some tool capability. A first step towards multilayer overlay process control has been taken.

  6. Mechanically detected terahertz electron spin resonance using SOI-based thin piezoresistive microcantilevers

    NASA Astrophysics Data System (ADS)

    Ohmichi, Eiji; Miki, Toshihiro; Horie, Hidekazu; Okamoto, Tsubasa; Takahashi, Hideyuki; Higashi, Yoshinori; Itoh, Shoichi; Ohta, Hitoshi

    2018-02-01

    We developed piezoresistive microcantilevers for mechanically detected electron spin resonance (ESR) in the millimeter-wave region. In this article, fabrication process and device characterization of our self-sensing microcantilevers are presented. High-frequency ESR measurements of a microcrystal of paramagnetic sample is also demonstrated at multiple frequencies up to 160 GHz at liquid helium temperature. Our fabrication is based on relatively simplified processes with silicon-on-insulator (SOI) wafers and spin-on diffusion doping, thus enabling cost-effective and time-saving cantilever fabrication.

  7. Very thin, high Ge content Si 0.3Ge 0.7 relaxed buffer grown by MBE on SOI(0 0 1) substrate

    NASA Astrophysics Data System (ADS)

    Myronov, M.; Shiraki, Y.

    2007-04-01

    Growth procedure and excellent properties of very thin 240 nm thick, 95% relaxed, high Ge content Si 0.3Ge 0.7 buffer grown on SOI(0 0 1) substrate are demonstrated. All epilayers of the newly developed Si 0.3Ge 0.7/SOI(0 0 1) variable-temperature virtual substrate were grown in a single process by solid-source molecular beam epitaxy. Surface analysis of grown samples revealed smooth, cross-hatch free surface with low root mean square surface roughness of 0.9 nm and low threading dislocations density of 5×10 4 cm -2.

  8. Modulation of the SSTA decadal variation on ENSO events and relationships of SSTA With LOD,SOI, etc

    NASA Astrophysics Data System (ADS)

    Liao, D. C.; Zhou, Y. H.; Liao, X. H.

    2007-01-01

    Interannual and decadal components of the length of day (LOD), Southern Oscillation Index (SOI) and Sea Surface Temperature anomaly (SSTA) in Nino regions are extracted by band-pass filtering, and used for research of the modulation of the SSTA on the ENSO events. Results show that besides the interannual components, the decadal components in SSTA have strong impacts on monitoring and representing of the ENSO events. When the ENSO events are strong, the modulation of the decadal components of the SSTA tends to prolong the life-time of the events and enlarge the extreme anomalies of the SST, while the ENSO events, which are so weak that they can not be detected by the interannual components of the SSTA, can also be detected with the help of the modulation of the SSTA decadal components. The study further draws attention to the relationships of the SSTA interannual and decadal components with those of LOD, SOI, both of the sea level pressure anomalies (SLPA) and the trade wind anomalies (TWA) in tropic Pacific, and also with those of the axial components of the atmospheric angular momentum (AAM) and oceanic angular momentum (OAM). Results of the squared coherence and coherent phases among them reveal close connections with the SSTA and almost all of the parameters mentioned above on the interannual time scales, while on the decadal time scale significant connections are among the SSTA and SOI, SLPA, TWA, ?3w and ?3w+v as well, and slight weaker connections between the SSTA and LOD, ?3pib and ?3bp

  9. ENVIRONMENTAL TECHNOLOGY VERIFICATION REPORT: IMMUNOASSAY KIT, ENVIROLOGIX, INC., PCB IN SOIL TUBE ASSAY

    EPA Science Inventory

    In July 1997, the U.S. Environmental Protection Agency (EPA) conducted a demonstration of polychlorinated biphenyl (PCB) field analytical techniques. The purpose of this demonstration was to evaluate field analytical technologies capable of detecting and quantifying PCB's in soi...

  10. Ultra-low power high temperature and radiation hard complementary metal-oxide-semiconductor (CMOS) silicon-on-insulator (SOI) voltage reference.

    PubMed

    Boufouss, El Hafed; Francis, Laurent A; Kilchytska, Valeriya; Gérard, Pierre; Simon, Pascal; Flandre, Denis

    2013-12-13

    This paper presents an ultra-low power CMOS voltage reference circuit which is robust under biomedical extreme conditions, such as high temperature and high total ionized dose (TID) radiation. To achieve such performances, the voltage reference is designed in a suitable 130 nm Silicon-on-Insulator (SOI) industrial technology and is optimized to work in the subthreshold regime of the transistors. The design simulations have been performed over the temperature range of -40-200 °C and for different process corners. Robustness to radiation was simulated using custom model parameters including TID effects, such as mobilities and threshold voltages degradation. The proposed circuit has been tested up to high total radiation dose, i.e., 1 Mrad (Si) performed at three different temperatures (room temperature, 100 °C and 200 °C). The maximum drift of the reference voltage V(REF) depends on the considered temperature and on radiation dose; however, it remains lower than 10% of the mean value of 1.5 V. The typical power dissipation at 2.5 V supply voltage is about 20 μW at room temperature and only 75 μW at a high temperature of 200 °C. To understand the effects caused by the combination of high total ionizing dose and temperature on such voltage reference, the threshold voltages of the used SOI MOSFETs were extracted under different conditions. The evolution of V(REF) and power consumption with temperature and radiation dose can then be explained in terms of the different balance between fixed oxide charge and interface states build-up. The total occupied area including pad-ring is less than 0.09 mm2.

  11. Advanced Compact Holographic Data Storage System

    NASA Technical Reports Server (NTRS)

    Chao, Tien-Hsin; Zhou, Hanying; Reyes, George

    2000-01-01

    JPL, under current sponsorship from NASA Space Science and Earth Science Programs, is developing a high-density, nonvolatile and rad-hard Advanced Holographic Memory (AHM) system to enable large-capacity, high-speed, low power consumption, and read/write of data in a space environment. The entire read/write operation will be controlled with electro-optic mechanism without any moving parts. This CHDS will consist of laser diodes, photorefractive crystal, spatial light modulator, photodetector array, and I/O electronic interface. In operation, pages of information would be recorded and retrieved with random access and highspeed. The nonvolatile, rad-hard characteristics of the holographic memory will provide a revolutionary memory technology to enhance mission capabilities for all NASA's Earth Science Mission. In this paper, recent technology progress in developing this CHDS at JPL will be presented.

  12. Monolithic integration of InGaAs/InP multiple quantum wells on SOI substrates for photonic devices

    NASA Astrophysics Data System (ADS)

    Li, Zhibo; Wang, Mengqi; Fang, Xin; Li, Yajie; Zhou, Xuliang; Yu, Hongyan; Wang, Pengfei; Wang, Wei; Pan, Jiaoqing

    2018-02-01

    A direct epitaxy of III-V nanowires with InGaAs/InP multiple quantum wells on v-shaped trenches patterned silicon on insulator (SOI) substrates was realized by combining the standard semiconductor fabrication process with the aspect ratio trapping growth technique. Silicon thickness as well as the width and gap of each nanowire were carefully designed to accommodate essential optical properties and appropriate growth conditions. The III-V element ingredient, crystalline quality, and surface topography of the grown nanowires were characterized by X-ray diffraction spectroscopy, photoluminescence, and scanning electron microscope. Geometrical details and chemical information of multiple quantum wells were revealed by transmission electron microscopy and energy dispersive spectroscopy. Numerical simulations confirmed that the optical guided mode supported by one single nanowire was able to propagate 50 μm with ˜30% optical loss. This proposed integration scheme opens up an alternative pathway for future photonic integrations of III-V devices on the SOI platform at nanoscale.

  13. A National Partnership-Based Summer Learning Initiative to Engage Underrepresented Students with Science, Technology, Engineering and Mathematics

    NASA Technical Reports Server (NTRS)

    Melvin, Leland

    2010-01-01

    In response to the White House Educate to Innovate campaign, NASA developed a new science, technology, engineering, and mathematics (STEM) education program for non-traditional audiences that also focused on public-private partnerships and nationwide participation. NASA recognized that summer break is an often overlooked but opportune time to engage youth in STEM experiences, and elevated its ongoing commitment to the cultivation of diversity. The Summer of Innovation (SoI) is the resulting initiative that uses NASA's unique missions and resources to boost summer learning, particularly for students who are underrepresented, underserved and underperforming in STEM. The SoI pilot, launched in June 2010, is a multi-faceted effort designed to improve STEM teaching and learning through partnership, multi-week summer learning programs, special events, a national concluding event, and teacher development. The SoI pilot features strategic infusion of NASA content and educational resource materials, sustainability through STEM Learning Communities, and assessments of effectiveness of SoI interventions with other pilot efforts. This paper examines the inception and development of the Summer of Innovation pilot project, including achievements and effectiveness, as well as lessons learned for future efforts.

  14. Analysis of Dynamic Avalanche Phenomenon in SOI Lateral High-speed Diode during Reverse Recovery and Development of a Novel Device Structure for Suppressing Dynamic Avalanche

    NASA Astrophysics Data System (ADS)

    Tokura, Norihito; Yamamoto, Takao; Kato, Hisato; Nakagawa, Akio

    We have studied the dynamic avalanche phenomenon in an SOI lateral diode during reverse recovery by using a mixed-mode device simulation. In the study, it has been found that local impact ionization occurs near an anode-side field oxide edge, where a high-density hole current flows and a high electric field appears simultaneously. We propose that a p-type anode extension region (AER) along a trench side wall effectively sweeps out stored carriers beneath an anode p-diffusion layer during reverse recovery, resulting in reduction of the electric field and remarkable suppression of the dynamic avalanche. The AER reduces the total recovery charge and does not cause any increase in the total stored charge under a forward bias operation. This effect is verified experimentally by the fabricated device with AER. Thus, the developed SOI lateral diode is promising as a high-speed and highly rugged free-wheeling diode, which can be integrated into next-generation SOI microinverters.

  15. High responsivity CMOS imager pixel implemented in SOI technology

    NASA Technical Reports Server (NTRS)

    Zheng, X.; Wrigley, C.; Yang, G.; Pain, B.

    2000-01-01

    Availability of mature sub-micron CMOS technology and the advent of the new low noise active pixel sensor (APS) concept have enabled the development of low power, miniature, single-chip, CMOS digital imagers in the decade of the 1990's.

  16. Insulator photocurrents: Application to dose rate hardening of CMOS/SOI integrated circuits

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dupont-Nivet, E.; Coiec, Y.M.; Flament, O.

    1998-06-01

    Irradiation of insulators with a pulse of high energy x-rays can induce photocurrents in the interconnections of integrated circuits. The authors present, here, a new method to measure and analyze this effect together with a simple model. They also demonstrate that these insulator photocurrents have to be taken into account to obtain high levels of dose-rate hardness with CMOS on SOI integrated circuits, especially flip-flops or memory blocks of ASICs. They show that it explains some of the upsets observed in a SRAM embedded in an ASIC.

  17. Thin SOI lateral IGBT with band-to-band tunneling mechanism

    NASA Astrophysics Data System (ADS)

    Fu, Qiang; Tang, Zhaohuan; Tan, Kaizhou; Wang, Zhikuan; Mei, Yong

    2017-06-01

    In this paper, a novel 200V lateral IGBT on thin SOI layer with a band-to-band tunneling junction near the anode is proposed. The structure and the operating mechanism of the proposed IGBT are described and discussed. Its main feature is that the novel IGBT structure has a unique abrupt doped p++/n++ tunneling junction in the side of the anode. By utilizing the reverse bias characteristics of the tunneling junction, the proposed IGBT can achieve excellent reverse conducting performance. Numerical simulations suggest that a low reverse conduction voltage drop VR=-1.6V at a current density of 100A/cm2 and a soft factor S=0.63 of the build-in diode are achieved.

  18. Single crystal silicon filaments fabricated in SOI: A potential IR source for a microfabricated photometric CO2 sensor

    NASA Technical Reports Server (NTRS)

    Tu, Juliana; Smith, Rosemary L.

    1995-01-01

    The objective of this project was to design, fabricate, and test single crystal silicon filaments as potential black body IR sources for a spectrophotometric CO2 sensing microsystem. The design and fabrication of the silicon-on-insulator (SOI) filaments are summarized and figures showing the composite layout of the filament die (which contains four filaments of different lengths -- 500 microns, 1 mm, 1.5 mm and 2 mm -- and equal widths of 15 microns) are presented. The composite includes four mask layers: (1) silicon - defines the filament dimensions and contact pads; (2) release pit - defines the oxide removed from under the filament and hence, the length of the released filament; (3) Pyrex pit - defines the pit etched in the Pyrex cap (not used); and (4) metal - defines a metal pattern on the contact pads or used as a contact hole etch. I/V characteristics testing of the fabricated SOI filaments is described along with the nitride-coating procedures carried out to prevent oxidation and resistance instability.

  19. A 320-year AMM+SOI Index Reconstruction from Historical Atlantic Tropical Cyclone Records

    NASA Astrophysics Data System (ADS)

    Chenoweth, M.; Divine, D.

    2010-12-01

    Trends in the frequency of North Atlantic tropical cyclones, including major hurricanes, are dominated by those originating in the deep tropics. In addition, these tropical cyclones are stronger when making landfall and their total power dissipation is higher than storms forming elsewhere in the Atlantic basin. Both the Atlantic Meridional Mode (AMM) and El Nino-Southern Oscillation (ENSO) are the leading modes of coupled air-sea interaction in the Atlantic and Pacific, respectively, and have well-established relationships with Atlantic hurricane variability. Here we use a 320-year record of tropical cyclone activity in the Lesser Antilles region of the North Atlantic from historical manuscript and newspaper records to reconstruct a normalized seasonal (July-October) index combining the Southern Oscillation Index (SOI) and AMM employing both the modern analog technique and back-propagation artificial neural networks. Our results indicate that the AMM+SOI index since 1690 shows no long-term trend but is dominated by both short-term (<10 years) and long-term (quasi-decadal to bi-decadal) variations. The decadal-scale variation is consistent with both instrumental and proxy records elsewhere from the global tropics. Distinct periods of high and low index values, corresponding to high and low tropical cyclone frequency, are regularly-appearing features in the record and provides further evidence that natural decadal -scale variability in Atlantic tropical cyclone frequency must be accounted for when determining trends in records and attribution of climate change.

  20. A Further Extension of the Tahiti-Darwin SOI, Early ENSO Events and Darwin Pressure.

    NASA Astrophysics Data System (ADS)

    Allan, Robert J.; Nicholls, Neville; Jones, Phil D.; Butterworth, Ian J.

    1991-07-01

    An extension of the Tahiti minus Darwin Southern Oscillation Index (SOI) from 1882 back to 1876 is reported following the recovery of early Darwin mean sea-level pressure data spanning the period 1865-81. As a result, we are able to compare, for the first time, the major 1877-78 and 1982-83 ENSO events on the basis of this commonly used index. Early Darwin and Jakarta data are also examined in terms of a measure of the Australian response to documented El Niño and/or ENSO events in 1866, 1868, 1871, 1873, 1874 and 1875.The SOI during the 1877-78 ENSO event has a similar temporal response to that in 1982-83, but the index is slightly weaker than in the recent event. Examination of documentary evidence confirms the severity of the drought conditions that affected the Australian continent during the 1877-78 ENSO, and shows that this response is in line with the wider Indo-Pacific impacts reported in the literature. Earlier El Niño phases in 1868 and 1873 are not resolved distinctly in either the Darwin or Jakarta pressure data. This appears to illustrate that El Niño event histories do not always indicate wider ENSO influences in the Indo-Pacific basin, particularly during weak to moderate phases.

  1. Design Optimization and Fabrication of a Novel Structural SOI Piezoresistive Pressure Sensor with High Accuracy

    PubMed Central

    Li, Chuang; Cordovilla, Francisco; Jagdheesh, R.

    2018-01-01

    This paper presents a novel structural piezoresistive pressure sensor with four-grooved membrane combined with rood beam to measure low pressure. In this investigation, the design, optimization, fabrication, and measurements of the sensor are involved. By analyzing the stress distribution and deflection of sensitive elements using finite element method, a novel structure featuring high concentrated stress profile (HCSP) and locally stiffened membrane (LSM) is built. Curve fittings of the mechanical stress and deflection based on FEM simulation results are performed to establish the relationship between mechanical performance and structure dimension. A combination of FEM and curve fitting method is carried out to determine the structural dimensions. The optimized sensor chip is fabricated on a SOI wafer by traditional MEMS bulk-micromachining and anodic bonding technology. When the applied pressure is 1 psi, the sensor achieves a sensitivity of 30.9 mV/V/psi, a pressure nonlinearity of 0.21% FSS and an accuracy of 0.30%, and thereby the contradiction between sensitivity and linearity is alleviated. In terms of size, accuracy and high temperature characteristic, the proposed sensor is a proper choice for measuring pressure of less than 1 psi. PMID:29393916

  2. Evaluation of GaAs low noise and power MMIC technologies to neutron, ionizing dose and dose rate effects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Derewonko, H.; Bosella, A.; Pataut, G.

    1996-06-01

    An evaluation program of Thomson CSF-TCS GaAs low noise and power MMIC technologies to 1 MeV equivalent neutron fluence levels, up to 1 {times} 10{sup 15} n/cm{sup 2}, ionizing 1.17--1.33 MeV CO{sup 60} dose levels in excess of 200 Mrad(GaAs) and dose rate levels reaching 1.89 {times} 10{sup 11} rad(GaAs)/s is presented in terms of proper components and parameter choices, DC/RF electrical measurements and test methods under irradiation. Experimental results are explained together with drift analyses of electrical parameters that have determined threshold limits of component degradations. Modelling the effects of radiation on GaAs components relies on degradation analysis ofmore » active layer which appears to be the most sensitive factor. MMICs degradation under neutron fluence was simulated from irradiated FET data. Finally, based on sensitivity of technological parameters, rad-hard design including material, technology and MMIC design enhancement is discussed.« less

  3. Criticality of Low-Energy Protons in Single-Event Effects Testing of Highly-Scaled Technologies

    NASA Technical Reports Server (NTRS)

    Pellish, Jonathan Allen; Marshall, Paul W.; Rodbell, K. P.; Gordon, M. S.; LaBel, K. A.; Schwank, J. R.; Dodds, N. A.; Castaneda, C. M.; Berg, M. D.; Kim, H. S.; hide

    2014-01-01

    We report low-energy proton and alpha particle SEE data on a 32 nm silicon-on-insulator (SOI) complementary metal oxide semiconductor (CMOS) static random access memory (SRAM) that demonstrates the criticality of understanding and using low-energy protons for SEE testing of highly-scaled technologies

  4. A rugged 650 V SOI-based high-voltage half-bridge IGBT gate driver IC for motor drive applications

    NASA Astrophysics Data System (ADS)

    Hua, Qing; Li, Zehong; Zhang, Bo; Chen, Weizhong; Huang, Xiangjun; Feng, Yuxiang

    2015-05-01

    This paper proposes a rugged high-voltage N-channel insulated gate bipolar transistor (IGBT) gate driver integrated circuit. The device integrates a high-side and a low-side output stages on a single chip, which is designed specifically for motor drive applications. High-voltage level shift technology enables the high-side stage of this device to operate up to 650 V. The logic inputs are complementary metal oxide semiconductor (CMOS)/transistor transistor logic compatible down to 3.3 V. Undervoltage protection functionality with hysteresis characteristic has also been integrated to enhance the device reliability. The device is fabricated in a 1.0 μm, 650 V high-voltage bipolar CMOS double-diffused metal oxide semiconductor (BCD) on silicon-on-insulator (SOI) process. Deep trench dielectric isolation technology is employed to provide complete electrical isolation with advantages such as reduced parasitic effects, excellent noise immunity and low leakage current. Experimental results show that the isolation voltage of this device can be up to approximately 779 V at 25°C, and the leakage current is only 5 nA at 650 V, which is 15% higher and 67% lower than the conventional ones. In addition, it delivers an excellent thermal stability and needs very low quiescent current and offers a high gate driver capability which is needed to adequately drive IGBTs that have large input capacitances.

  5. Enhancement of coupling ratios in SOI based asymmetrical optical directional couplers

    NASA Astrophysics Data System (ADS)

    Pendam, Nagaraju; Vardhani, Chunduru Parvatha

    2017-11-01

    A novel design of slab structured asymmetrical optical directional coupler with S-bend waveguides on silicon-on-insulator (SOI) platform has been designed by using R-Soft CAD tool. Beam propagation method (BPM) is used for light propagation analysis. The simulation results of asymmetrical optical directional couplers are reported. We find that the asymmetrical directional coupler has lower coupling ratios and higher extinction ratios with waveguide parameters such as width, wavelength, waveguide spacing, and coupling length. Simulation results designate that the coupling efficiency for transverse electric (TE) and transverse magnetic (TM) modes can reach about more than 95% and extinction ratio about 6 dB when the coupling length is 6 mm for both the polarization modes and insertion loss is 17 dB with same coupling length 6 mm at central wavelength 1550 nm.

  6. Illuminated to dark ratio improvement in lateral SOI PIN photodiodes at high temperatures

    NASA Astrophysics Data System (ADS)

    Novo, C.; Giacomini, R.; Doria, R.; Afzalian, A.; Flandre, D.

    2014-07-01

    This work presents a study of the illuminated to dark ratio (IDR) of lateral SOI PIN photodiodes. Measurements performed on fabricated devices show a fivefold improvement of the IDR when the devices are biased in accumulation mode and under high temperatures of operation, independently of the anode voltage. The obtained results show that the doping concentration of the intrinsic region has influence on the sensitivity of the diodes: the larger the doping concentration, the smaller the IDR. Furthermore, the photocurrent and dark current present lower values as the silicon film thickness is decreased, resulting in a further increase in the illuminated to dark ratio.

  7. Launching of multi-project wafer runs in ePIXfab with micron-scale silicon rib waveguide technology

    NASA Astrophysics Data System (ADS)

    Aalto, Timo; Cherchi, Matteo; Harjanne, Mikko; Ylinen, Sami; Kapulainen, Markku; Vehmas, Tapani

    2014-03-01

    Silicon photonics is a rapidly growing R&D field where universities, institutes and companies are all involved and the business expectations for the next few years are high. One of the key enabling elements that led to the present success of silicon photonics is ePIXfab. It is a consortium of institutes that has together offered multi-project wafer (MPW) runs, packaging services, training, and feasibility studies. These services have significantly lowered the barrier of various research groups and companies to start developing silicon photonics. Until now the MPW services have been offered by the ePIXfab partners IMEC, CEA-Leti and IHP, which all use CMOS-type silicon photonics technology with a typical silicon-on-insulator (SOI) waveguide thickness of 220 nm. In November 2013 this MPW offering was expanded by the ePIXfab partner VTT that opened the access to its 3 μm SOI waveguide platform via ePIXfab MPW runs. This technology platform is complementary to the mainstream silicon photonics technology (220 nm) and it offers such benefits as very low losses, small polarization dependency, ultrabroadband operation and low starting costs

  8. Proposal for fabrication-tolerant SOI polarization splitter-rotator based on cascaded MMI couplers and an assisted bi-level taper

    PubMed Central

    Wang, Jing; Qi, Minghao; Xuan, Yi; Huang, Haiyang; Li, You; Li, Ming; Chen, Xin; Jia, Qi; Sheng, Zhen; Wu, Aimin; Li, Wei; Wang, Xi; Zou, Shichang; Gan, Fuwan

    2014-01-01

    A novel silicon-on-insulator (SOI) polarization splitter-rotator (PSR) with a large fabrication tolerance is proposed based on cascaded multimode interference (MMI) couplers and an assisted mode-evolution taper. The tapers are designed to adiabatically convert the input TM0 mode into the TE1 mode, which will output as the TE0 mode after processed by the subsequent MMI mode converter, 90-degree phase shifter (PS) and MMI 3 dB coupler. The numerical simulation results show that the proposed device has a < 0.5 dB insertion loss with < −17 dB crosstalk in C optical communication band. Fabrication tolerance analysis is also performed with respect to the deviations of MMI coupler width, PS width, slab height and upper-cladding refractive index, showing that this device could work well even when affected by considerable fabrication errors. With such a robust performance with a large bandwidth, this device offers potential applications for CMOS-compatible polarization diversity, especially in the booming 100 Gb/s coherent optical communications based on silicon photonics technology. PMID:25402029

  9. Proposal for fabrication-tolerant SOI polarization splitter-rotator based on cascaded MMI couplers and an assisted bi-level taper.

    PubMed

    Wang, Jing; Qi, Minghao; Xuan, Yi; Huang, Haiyang; Li, You; Li, Ming; Chen, Xin; Jia, Qi; Sheng, Zhen; Wu, Aimin; Li, Wei; Wang, Xi; Zou, Shichang; Gan, Fuwan

    2014-11-17

    A novel silicon-on-insulator (SOI) polarization splitter-rotator (PSR) with a large fabrication tolerance is proposed based on cascaded multimode interference (MMI) couplers and an assisted mode-evolution taper. The tapers are designed to adiabatically convert the input TM(0) mode into the TE(1) mode, which will output as the TE(0) mode after processed by the subsequent MMI mode converter, 90-degree phase shifter (PS) and MMI 3 dB coupler. The numerical simulation results show that the proposed device has a < 0.5 dB insertion loss with < -17 dB crosstalk in C optical communication band. Fabrication tolerance analysis is also performed with respect to the deviations of MMI coupler width, PS width, slab height and upper-cladding refractive index, showing that this device could work well even when affected by considerable fabrication errors. With such a robust performance with a large bandwidth, this device offers potential applications for CMOS-compatible polarization diversity, especially in the booming 100 Gb/s coherent optical communications based on silicon photonics technology.

  10. Electrical characterization of vertically stacked p-FET SOI nanowires

    NASA Astrophysics Data System (ADS)

    Cardoso Paz, Bruna; Cassé, Mikaël; Barraud, Sylvain; Reimbold, Gilles; Vinet, Maud; Faynot, Olivier; Antonio Pavanello, Marcelo

    2018-03-01

    This work presents the performance and transport characteristics of vertically stacked p-type MOSFET SOI nanowires (NWs) with inner spacers and epitaxial growth of SiGe raised source/drain. The conventional procedure to extract the effective oxide thickness (EOT) and Shift and Ratio Method (S&R) have been adapted and validated through tridimensional numerical simulations. Electrical characterization is performed for NWs with [1 1 0]- and [1 0 0]-oriented channels, as a function of both fin width (WFIN) and channel length (L). Results show a good electrostatic control and reduced short channel effects (SCE) down to 15 nm gate length, for both orientations. Effective mobility is found around two times higher for [1 1 0]- in comparison to [1 0 0]-oriented NWs due to higher holes mobility contribution in (1 1 0) plan. Improvements obtained on ION/IOFF by reducing WFIN are mainly due to subthreshold slope decrease, once small and none mobility increase is obtained for [1 1 0]- and [1 0 0]-oriented NWs, respectively.

  11. Effects of substrate voltage on noise characteristics and hole lifetime in SOI metal-oxide-semiconductor field-effect transistor photon detector.

    PubMed

    Putranto, Dedy Septono Catur; Priambodo, Purnomo Sidi; Hartanto, Djoko; Du, Wei; Satoh, Hiroaki; Ono, Atsushi; Inokawa, Hiroshi

    2014-09-08

    Low-frequency noise and hole lifetime in silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistors (MOSFETs) are analyzed, considering their use in photon detection based on single-hole counting. The noise becomes minimum at around the transition point between front- and back-channel operations when the substrate voltage is varied, and increases largely on both negative and positive sides of the substrate voltage showing peculiar Lorentzian (generation-recombination) noise spectra. Hole lifetime is evaluated by the analysis of drain current histogram at different substrate voltages. It is found that the peaks in the histogram corresponding to the larger number of stored holes become higher as the substrate bias becomes larger. This can be attributed to the prolonged lifetime caused by the higher electric field inside the body of SOI MOSFET. It can be concluded that, once the inversion channel is induced for detection of the photo-generated holes, the small absolute substrate bias is favorable for short lifetime and low noise, leading to high-speed operation.

  12. Flexible MEMS: A novel technology to fabricate flexible sensors and electronics

    NASA Astrophysics Data System (ADS)

    Tu, Hongen

    This dissertation presents the design and fabrication techniques used to fabricate flexible MEMS (Micro Electro Mechanical Systems) devices. MEMS devices and CMOS(Complementary Metal-Oxide-Semiconductor) circuits are traditionally fabricated on rigid substrates with inorganic semiconductor materials such as Silicon. However, it is highly desirable that functional elements like sensors, actuators or micro fluidic components to be fabricated on flexible substrates for a wide variety of applications. Due to the fact that flexible substrate is temperature sensitive, typically only low temperature materials, such as polymers, metals, and organic semiconductor materials, can be directly fabricated on flexible substrates. A novel technology based on XeF2(xenon difluoride) isotropic silicon etching and parylene conformal coating, which is able to monolithically incorporate high temperature materials and fluidic channels, was developed at Wayne State University. The technology was first implemented in the development of out-of-plane parylene microneedle arrays that can be individually addressed by integrated flexible micro-channels. These devices enable the delivery of chemicals with controlled temporal and spatial patterns and allow us to study neurotransmitter-based retinal prosthesis. The technology was further explored by adopting the conventional SOI-CMOS processes. High performance and high density CMOS circuits can be first fabricated on SOI wafers, and then be integrated into flexible substrates. Flexible p-channel MOSFETs (Metal-Oxide-Semiconductor Field-Effect-Transistors) were successfully integrated and tested. Integration of pressure sensors and flow sensors based on single crystal silicon has also been demonstrated. A novel smart yarn technology that enables the invisible integration of sensors and electronics into fabrics has been developed. The most significant advantage of this technology is its post-MEMS and post-CMOS compatibility. Various high

  13. Biodiesel sensing using silicon-on-insulator technologies

    NASA Astrophysics Data System (ADS)

    Casas Bedoya, Alvaro; Ling, Meng Y.; Brouckaert, Joost; Yebo, Nebiyu A.; Van Thourhout, Dries; Baets, Roel G.

    2009-05-01

    By measuring the transmission of Biodiesel/Diesel mixtures in the near- and far-infrared wavelength ranges, it is possible to predict the blend level with a high accuracy. Conventional photospectrometers are typically large and expensive and have a performance that often exceeds the requirements for most applications. For automotive applications for example, what counts is size, robustness and most important cost. As a result the miniaturization of the spectrometer can be seen as an attractive implementation of a Biodiesel sensor. Using Silicon-on-Insulator (SOI) this spectrometer miniaturization can be achieved. Due to the large refractive index contrast of the SOI material system, photonic devices can be made very compact. Moreover, they can be manufactured on high-quality SOI substrates using waferscale CMOS fabrication tools, making them cheap for the market. In this paper, we show that it is possible to determine Biodiesel blend levels using an SOI spectrometer-on-a-chip. We demonstrate absorption measurements using spiral shaped waveguides and we also present the spectrometer design for on-chip Biodiesel blend level measurements.

  14. Six-beam homodyne laser Doppler vibrometry based on silicon photonics technology.

    PubMed

    Li, Yanlu; Zhu, Jinghao; Duperron, Matthieu; O'Brien, Peter; Schüler, Ralf; Aasmul, Soren; de Melis, Mirko; Kersemans, Mathias; Baets, Roel

    2018-02-05

    This paper describes an integrated six-beam homodyne laser Doppler vibrometry (LDV) system based on a silicon-on-insulator (SOI) full platform technology, with on-chip photo-diodes and phase modulators. Electronics and optics are also implemented around the integrated photonic circuit (PIC) to enable a simultaneous six-beam measurement. Measurement of a propagating guided elastic wave in an aluminum plate (speed ≈ 909 m/s @ 61.5 kHz) is demonstrated.

  15. Investigation of AWG demultiplexer based SOI for CWDM application

    NASA Astrophysics Data System (ADS)

    Juhari, Nurjuliana; Susthitha Menon, P.; Shaari, Sahbudin; Annuar Ehsan, Abang

    2017-11-01

    9-channel Arrayed Waveguide Grating (AWG) demultiplexer for conventional and tapered structure were simulated using beam propagation method (BPM) with channel spacing of 20 nm. The AWG demultiplexer was design using high refractive index (n 3.47) material namely silicon-on-insulator (SOI) with rib waveguide structure. The characteristics of insertion loss, adjacent crosstalk and output spectrum response at central wavelength of 1.55 μm for both designs were compared and analyzed. The conventional AWG produced a minimum insertion loss of 6.64 dB whereas the tapered AWG design reduced the insertion loss by 2.66 dB. The lowest adjacent crosstalk value of -16.96 dB was obtained in the conventional AWG design and this was much smaller compared to the tapered AWG design where the lowest crosstalk value is -17.23 dB. Hence, a tapered AWG design significantly reduces the insertion loss but has a slightly higher adjacent crosstalk compared to the conventional AWG design. On the other hand, the output spectrum responses that are obtained from both designs were close to the Coarse Wavelength Division Multiplexing (CWDM) wavelength grid.

  16. Design of SOI wavelength filter based on multiple MMIs structures

    NASA Astrophysics Data System (ADS)

    Hu, Youfang; Gardes, Frédéric Y.; Jenkins, Richard M.; Finlayson, Ewan D.; Mashanovich, Goran Z.; Reed, Graham T.

    2011-01-01

    SOI based MMIs prove to be versatile photonic structures for optical power splitting/combining, directional coupling, wavelength multiplexing/demultiplexing, etc. Such a structure benefits from relative ease of fabrication, low sensitivity to fabrication error and low temperature dependence. Whilst the majority of previous designs and optimizations investigated single MMIs, there is significant potential to combine MMIs within a single device for the realization of improved device performance. We have designed and simulated a wavelength filter device consisting of a series of MMIs with different lengths. The bandwidth, free spectral range, and extinction ratio can be controlled by changing the MMI's width and length. We have optimized our design to achieve a -3dB bandwidth of 5nm, a free spectral range of 60nm, an extinction ratio of >30dB, and a side peak suppression ratio of >22dB. Such a device can be used for high performance coarse wavelength filtering. The whole structure can fit into a 70μm×300μm area. Temperature sensitivity of the designed structures was also investigated.

  17. Food security among individuals experiencing homelessness and mental illness in the At Home/Chez Soi Trial.

    PubMed

    O'Campo, Patricia; Hwang, Stephen W; Gozdzik, Agnes; Schuler, Andrée; Kaufman-Shriqui, Vered; Poremski, Daniel; Lazgare, Luis Ivan Palma; Distasio, Jino; Belbraouet, Slimane; Addorisio, Sindi

    2017-08-01

    Individuals experiencing homelessness are particularly vulnerable to food insecurity. The At Home/Chez Soi study provides a unique opportunity to first examine baseline levels of food security among homeless individuals with mental illness and second to evaluate the effect of a Housing First (HF) intervention on food security in this population. At Home/Chez Soi was a 2-year randomized controlled trial comparing the effectiveness of HF compared with usual care among homeless adults with mental illness, stratified by level of need for mental health services (high or moderate). Logistic regressions tested baseline associations between food security (US Food Security Survey Module), study site, sociodemographic variables, duration of homelessness, alcohol/substance use, physical health and service utilization. Negative binomial regression determined the impact of the HF intervention on achieving levels of high or marginal food security over an 18-month follow-up period (6 to 24 months). Community settings at five Canadian sites (Moncton, Montreal, Toronto, Winnipeg and Vancouver). Homeless adults with mental illness (n 2148). Approximately 41 % of our sample reported high or marginal food security at baseline, but this figure varied with gender, age, mental health issues and substance use problems. High need participants who received HF were more likely to achieve marginal or high food security than those receiving usual care, but only at the Toronto and Moncton sites. Our large multi-site study demonstrated low levels of food security among homeless experiencing mental illness. HF showed promise for improving food security among participants with high levels of need for mental health services, with notable site differences.

  18. Design of novel SOI 1 × 4 optical power splitter using seven horizontally slotted waveguides

    NASA Astrophysics Data System (ADS)

    Katz, Oded; Malka, Dror

    2017-07-01

    In this paper, we demonstrate a compact silicon on insulator (SOI) 1 × 4 optical power splitter using seven horizontal slotted waveguides. Aluminum nitride (AIN) surrounded by silicon (Si) was used to confine the optical field in the slot region. All of the power analysis has been done in transverse magnetic (TM) polarization mode and a compact optical power splitter as short as 14.5 μm was demonstrated. The splitter was designed by using full vectorial beam propagation method (FV-BPM) simulations. Numerical investigations show that this device can work across the whole C-band (1530-1565 nm) with excess loss better than 0.23 dB.

  19. Advanced Silicon-on-Insulator: Crystalline Silicon on Atomic Layer Deposited Beryllium Oxide.

    PubMed

    Min Lee, Seung; Hwan Yum, Jung; Larsen, Eric S; Chul Lee, Woo; Keun Kim, Seong; Bielawski, Christopher W; Oh, Jungwoo

    2017-10-16

    Silicon-on-insulator (SOI) technology improves the performance of devices by reducing parasitic capacitance. Devices based on SOI or silicon-on-sapphire technology are primarily used in high-performance radio frequency (RF) and radiation sensitive applications as well as for reducing the short channel effects in microelectronic devices. Despite their advantages, the high substrate cost and overheating problems associated with complexities in substrate fabrication as well as the low thermal conductivity of silicon oxide prevent broad applications of this technology. To overcome these challenges, we describe a new approach of using beryllium oxide (BeO). The use of atomic layer deposition (ALD) for producing this material results in lowering the SOI wafer production cost. Furthermore, the use of BeO exhibiting a high thermal conductivity might minimize the self-heating issues. We show that crystalline Si can be grown on ALD BeO and the resultant devices exhibit potential for use in advanced SOI technology applications.

  20. Two-way reflector based on two-dimensional sub-wavelength high-index contrast grating on SOI

    NASA Astrophysics Data System (ADS)

    Kaur, Harpinder; Kumar, Mukesh

    2016-05-01

    A two-dimensional (2D) high-index contrast grating (HCG) is proposed as a two-way reflector on Silicon-on-insulator (SOI). The proposed reflector provides high reflectivity over two (practically important) sets of angles of incidence- normal (θ = 0 °) and oblique/grazing (θ = 80 ° - 85 ° / 90 °). Analytical model of 2D HCG is presented using improved Fourier modal method. The vertical incidence is useful for application in VCSEL while oblique/grazing incidence can be utilized in high confinement (HCG mirrors based) hollow waveguides and Bragg reflectors. The proposed two-way reflector also exhibits a large reflection bandwidth (around telecom wavelength) which is an advantage for broadband photonic devices.

  1. New insights on SOI Tunnel FETs with low-temperature process flow for CoolCube™ integration

    NASA Astrophysics Data System (ADS)

    Diaz Llorente, C.; Le Royer, C.; Batude, P.; Fenouillet-Beranger, C.; Martinie, S.; Lu, C.-M. V.; Allain, F.; Colinge, J.-P.; Cristoloveanu, S.; Ghibaudo, G.; Vinet, M.

    2018-06-01

    This paper reports the fabrication and electrical characterization of planar SOI Tunnel FETs (TFETs) made using a Low-Temperature (LT) process designed for 3D sequential integration. These proof-of-concept TFETs feature junctions obtained by Solid Phase Epitaxy Regrowth (SPER). Their electrical behavior is analyzed and compared to reference samples (regular process using High-Temperature junction formation, HT). Dual ID-VDS measurements verify that the TFET structures present Band-to-Band tunnelling (BTBT) carrier injection and not Schottky Barrier tunnelling. P-mode operating LT TFETs deliver an ON state current similar to that of the HT reference, opening the door towards optimized devices operating with very low threshold voltage VTH and low supply voltage VDD.

  2. Recent developments in terahertz sensing technology

    NASA Astrophysics Data System (ADS)

    Shur, Michael

    2016-05-01

    Terahertz technology has found numerous applications for the detection of biological and chemical hazardous agents, medical diagnostics, detection of explosives, providing security in buildings, airports, and other public spaces, shortrange covert communications (in the THz and sub-THz windows), and applications in radio astronomy and space research. The expansion of these applications will depend on the development of efficient electronic terahertz sources and sensitive low-noise terahertz detectors. Schottky diode frequency multipliers have emerged as a viable THz source technology reaching a few THz. High speed three terminal electronic devices (FETs and HBTs) have entered the THz range (with cutoff frequencies and maximum frequencies of operation above 1 THz). A new approach called plasma wave electronics recently demonstrated an efficient terahertz detection in GaAs-based and GaN-based HEMTs and in Si MOS, SOI, FINFETs and in FET arrays. This progress in THz electronic technology has promise for a significant expansion of THz applications.

  3. Analysis and modeling of wafer-level process variability in 28 nm FD-SOI using split C-V measurements

    NASA Astrophysics Data System (ADS)

    Pradeep, Krishna; Poiroux, Thierry; Scheer, Patrick; Juge, André; Gouget, Gilles; Ghibaudo, Gérard

    2018-07-01

    This work details the analysis of wafer level global process variability in 28 nm FD-SOI using split C-V measurements. The proposed approach initially evaluates the native on wafer process variability using efficient extraction methods on split C-V measurements. The on-wafer threshold voltage (VT) variability is first studied and modeled using a simple analytical model. Then, a statistical model based on the Leti-UTSOI compact model is proposed to describe the total C-V variability in different bias conditions. This statistical model is finally used to study the contribution of each process parameter to the total C-V variability.

  4. Fault-Tolerant, Radiation-Hard DSP

    NASA Technical Reports Server (NTRS)

    Czajkowski, David

    2011-01-01

    Commercial digital signal processors (DSPs) for use in high-speed satellite computers are challenged by the damaging effects of space radiation, mainly single event upsets (SEUs) and single event functional interrupts (SEFIs). Innovations have been developed for mitigating the effects of SEUs and SEFIs, enabling the use of very-highspeed commercial DSPs with improved SEU tolerances. Time-triple modular redundancy (TTMR) is a method of applying traditional triple modular redundancy on a single processor, exploiting the VLIW (very long instruction word) class of parallel processors. TTMR improves SEU rates substantially. SEFIs are solved by a SEFI-hardened core circuit, external to the microprocessor. It monitors the health of the processor, and if a SEFI occurs, forces the processor to return to performance through a series of escalating events. TTMR and hardened-core solutions were developed for both DSPs and reconfigurable field-programmable gate arrays (FPGAs). This includes advancement of TTMR algorithms for DSPs and reconfigurable FPGAs, plus a rad-hard, hardened-core integrated circuit that services both the DSP and FPGA. Additionally, a combined DSP and FPGA board architecture was fully developed into a rad-hard engineering product. This technology enables use of commercial off-the-shelf (COTS) DSPs in computers for satellite and other space applications, allowing rapid deployment at a much lower cost. Traditional rad-hard space computers are very expensive and typically have long lead times. These computers are either based on traditional rad-hard processors, which have extremely low computational performance, or triple modular redundant (TMR) FPGA arrays, which suffer from power and complexity issues. Even more frustrating is that the TMR arrays of FPGAs require a fixed, external rad-hard voting element, thereby causing them to lose much of their reconfiguration capability and in some cases significant speed reduction. The benefits of COTS high

  5. Design and application of 8-channel SOI-based AWG demultiplexer for CWDM-system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Juhari, Nurjuliana; Menon, P. Susthitha; Ehsan, Abang Annuar

    2015-04-24

    Arrayed Waveguide Grating (AWG) serving as a demultiplexer (demux) has been designed on SOI platform and was utilized in a Coarse Wavelength Division Multiplexing (CWDM) system ranging from 1471 nm to 1611 nm. The investigation was carried out at device and system levels. At device level, 20 nm (∼ 2500 GHz) channel spacing was successfully simulated using beam propagation method (BPM) under TE mode polarization with a unique double S-shape pattern at arrays region. The performance of optical properties gave the low values of 0.96 dB dB for insertion loss and – 22.38 dB for optical crosstalk. AWG device wasmore » then successfully used as demultiplexer in CWDM system when 10 Gb/s data rate was applied in the system. Limitation of signal power due to attenuation and fiber dispersion detected by BER analyzer =10{sup −9} of the system was compared with theoretical value. Hence, the maximum distance of optical fiber can be achieved.« less

  6. Fabry-Perot resonators with transverse coupling on SOI using loop mirrors

    NASA Astrophysics Data System (ADS)

    Saber, Md Ghulam; Abadía, Nicolás; Wang, Yun; Plant, David V.

    2018-05-01

    A novel integrated transversely coupled Fabry-Perot resonator using loop mirrors as the end reflectors are demonstrated via simulations and experiments on the silicon-on-insulator (SOI) platform. The resonator is formed by connecting two loop mirrors to the two output ports of a directional coupler to form the resonant cavity and utilizing the other two ports as the input and the output. Depending on which two ports of the directional coupler are mirrored, two configurations of the resonator can be achieved. The impacts of varying the cavity length and the coupling coefficient of the directional coupler on the output characteristics of the resonators are analyzed. A Q-factor of 28086 and an extinction ratio of 10.04 dB with an insertion loss of 1.9 dB is achieved experimentally for a 1038 μm cavity length and an extinction ratio of 18.14 dB and a Q-factor of 5120 with an insertion loss of 2.12 dB is obtained for a cavity length of 376 μm. The reported resonator offers additional freedom to tune the spectral characteristics.

  7. Rad-Hard Structured ASIC Body of Knowledge

    NASA Technical Reports Server (NTRS)

    Heidecker, Jason

    2013-01-01

    Structured Application-Specific Integrated Circuit (ASIC) technology is a platform between traditional ASICs and Field-Programmable Gate Arrays (FPGA). The motivation behind structured ASICs is to combine the low nonrecurring engineering costs (NRE) costs of FPGAs with the high performance of ASICs. This report provides an overview of the structured ASIC platforms that are radiation-hardened and intended for space application

  8. Design and fabrication of two kind of SOI-based EA-type VOAs

    NASA Astrophysics Data System (ADS)

    Yuan, Pei; Wang, Yue; Wu, Yuanda; An, Junming; Hu, Xiongwei

    2018-06-01

    SOI-based variable optical attenuators based on electro-absorption mechanism are demonstrated in this paper. Two different doping structures are adopted to realize the attenuation: a structure with a single lateral p-i-n diode and a structure with several lateral p-i-n diodes connected in series. The VOAs with lateral p-i-n diodes connected in series (series VOA) can greatly improve the device attenuation efficiency compared to VOAs with a single lateral p-i-n diode structure (single VOA), which is verified by the experimental results that the attenuation efficiency of the series VOA and the single VOA is 3.76 dB/mA and 0.189 dB/mA respectively. The corresponding power consumption at 20 dB attenuation is 202 mW (series VOA) and 424 mW (single VOA) respectively. The raise time is 34.5 ns (single VOA) and 45.5 ns (series VOA), and the fall time is 37 ns (single VOA) and 48.5 ns (series VOA).

  9. Spacer engineered Trigate SOI TFET: An investigation towards harsh temperature environment applications

    NASA Astrophysics Data System (ADS)

    Mallikarjunarao; Ranjan, Rajeev; Pradhan, K. P.; Artola, L.; Sahu, P. K.

    2016-09-01

    In this paper, a novel N-channel Tunnel Field Effect Transistor (TFET) i.e., Trigate Silicon-ON-Insulator (SOI) N-TFET with high-k spacer is proposed for better Sub-threshold swing (SS) and OFF-state current (IOFF) by keeping in mind the sensitivity towards temperature. The proposed model can achieve a Sub-threshold swing less than 35 mV/decade at various temperatures, which is desirable for designing low power CTFET for digital circuit applications. In N-TFET source doping has a significant effect on the ON-state current (ION) level; therefore more electrons will tunnel from source to channel region. High-k Spacer i.e., HfO2 is used to enhance the device performance and also it avoids overlapping of transistors in an integrated circuits (IC's). We have designed a reliable device by performing the temperature analysis on Transfer characteristics, Drain characteristics and also on various performance metrics like ON-state current (ION), OFF-state current (IOFF), ION/IOFF, Trans-conductance (gm), Trans-conductance Generation Factor (TGF), Sub-threshold Swing (SS) to observe the applications towards harsh temperature environment.

  10. 16-channel arrayed waveguide grating (AWG) demultiplexer design on SOI wafer for application in CWDM-PON

    NASA Astrophysics Data System (ADS)

    Juhari, Nurjuliana; Menon, P. Susthitha; Ehsan, Abang Annuar; Shaari, Sahbudin

    2015-01-01

    Arrayed Waveguide Grating (AWG) functioning as a demultiplexer is designed on SOI platform with rib waveguide structure to be utilized in coarse wavelength division multiplexing-passive optical network (CWDM-PON) systems. Two design approaches; conventional and tapered configuration of AWG was developed with channel spacing of 20 nm that covers the standard transmission spectrum of CWDM ranging from 1311 nm to 1611 nm. The performance of insertion loss for tapered configuration offered the lowest insertion loss of 0.77 dB but the adjacent crosstalk gave non-significant relation for both designs. With average channel spacing of 20.4 nm, the nominal central wavelength of this design is close to the standard CWDM wavelength grid over 484 nm free spectrum range (FSR).

  11. MEMS Using SOI Substrate

    NASA Technical Reports Server (NTRS)

    Tang, Tony K.

    1999-01-01

    At NASA, the focus for smaller, less costly missions has given impetus for the development of microspacecraft. MicroElectroMechanical System (MEMS) technology advances in the area of sensor, propulsion systems, and instruments, make the notion of a specialized microspacecraft feasible in the immediate future. Similar to the micro-electronics revolution,the emerging MEMS technology offers the integration of recent advances in micromachining and nanofabrication techniques with microelectronics in a mass-producible format,is viewed as the next step in device and instrument miniaturization. MEMS technology offers the potential of enabling or enhancing NASA missions in a variety of ways. This new technology allows the miniaturization of components and systems, where the primary benefit is a reduction in size, mass and power. MEMS technology also provides new capabilities and enhanced performance, where the most significant impact is in performance, regardless of system size. Finally,with the availability of mass-produced, miniature MEMS instrumentation comes the opportunity to rethink our fundamental measurement paradigms. It is now possible to expand our horizons from a single instrument perspective to one involving multi-node distributed systems. In the distributed systems and missions, a new system in which the functionality is enabled through a multiplicity of elements. Further in the future, the integration of electronics, photonics, and micromechanical functionalities into "instruments-on-a-chip" will provide the ultimate size, cost, function, and performance advantage. In this presentation, I will discuss recent development, requirement, and applications of various MEMS technologies and devices for space applications.

  12. A High-Voltage SOI CMOS Exciter Chip for a Programmable Fluidic Processor System.

    PubMed

    Current, K W; Yuk, K; McConaghy, C; Gascoyne, P R C; Schwartz, J A; Vykoukal, J V; Andrews, C

    2007-06-01

    waveform frequency is about 200 Hz; and standard 5-V CMOS logic data communication rate is variable up to 250 kHz. This HV demonstration chip is fabricated in a 130-V 1.0-mum SOI CMOS fabrication technology, dissipates a maximum of 1.87 W, and is about 10.4 mm x 8.2 mm.

  13. Estimating Single-Event Logic Cross Sections in Advanced Technologies

    NASA Astrophysics Data System (ADS)

    Harrington, R. C.; Kauppila, J. S.; Warren, K. M.; Chen, Y. P.; Maharrey, J. A.; Haeffner, T. D.; Loveless, T. D.; Bhuva, B. L.; Bounasser, M.; Lilja, K.; Massengill, L. W.

    2017-08-01

    Reliable estimation of logic single-event upset (SEU) cross section is becoming increasingly important for predicting the overall soft error rate. As technology scales and single-event transient (SET) pulse widths shrink to widths on the order of the setup-and-hold time of flip-flops, the probability of latching an SET as an SEU must be reevaluated. In this paper, previous assumptions about the relationship of SET pulsewidth to the probability of latching an SET are reconsidered and a model for transient latching probability has been developed for advanced technologies. A method using the improved transient latching probability and SET data is used to predict logic SEU cross section. The presented model has been used to estimate combinational logic SEU cross sections in 32-nm partially depleted silicon-on-insulator (SOI) technology given experimental heavy-ion SET data. Experimental SEU data show good agreement with the model presented in this paper.

  14. Investigation of high-speed Si photodetectors in standard CMOS technology

    NASA Astrophysics Data System (ADS)

    Wang, Huaqiang; Guo, Xia

    2018-05-01

    In this paper, the frequency response characteristics of the photodetector(PD) were studied considering intrinsic and extrinsic effects. Then we designed the interdigitated p-i-n PD on Silicon-on-Insulator (SOI) and epitaxial (EPI) substrates with photosensitive area of 30-μm diameter, fabricated by CMOS process. The 2-μm finger-spacing devices exhibited a 205 MHz bandwidth at a reverse bias of 3 V processed on 2-μm SOI substrates. EPI devices with 1 μm finger spacing exhibited a 131 MHz bandwidth under -3 V. Responsivity of 0.051 A/W and 0.21 A/W were measured at 850 nm on SOI and EPI substrates, respectively. Compared with the bulk silicon PD, the bandwidth is greatly improved. The PD gains the high cost performance ratio, which can be widely used in short distance communication such as visible light communication and free space optical communication.

  15. Schottky barrier SOI-MOSFETs with high-k La2O3/ZrO2 gate dielectrics

    PubMed Central

    Henkel, C.; Abermann, S.; Bethge, O.; Pozzovivo, G.; Klang, P.; Stöger-Pollach, M.; Bertagnolli, E.

    2011-01-01

    Schottky barrier SOI-MOSFETs incorporating a La2O3/ZrO2 high-k dielectric stack deposited by atomic layer deposition are investigated. As the La precursor tris(N,N′-diisopropylformamidinato) lanthanum is used. As a mid-gap metal gate electrode TiN capped with W is applied. Processing parameters are optimized to issue a minimal overall thermal budget and an improved device performance. As a result, the overall thermal load was kept as low as 350, 400 or 500 °C. Excellent drive current properties, low interface trap densities of 1.9 × 1011 eV−1 cm−2, a low subthreshold slope of 70-80 mV/decade, and an ION/IOFF current ratio greater than 2 × 106 are obtained. PMID:21461054

  16. Analysis of the rectangular resonator with butterfly MMI coupler using SOI

    NASA Astrophysics Data System (ADS)

    Kim, Sun-Ho; Park, Jun-Hee; Kim, Eudum; Jeon, Su-Jin; Kim, Ji-Hoon; Choi, Young-Wan

    2018-02-01

    We propose a rectangular resonator sensor structure with butterfly MMI coupler using SOI. It consists of the rectangular resonator, total internal reflection (TIR) mirror, and the butterfly MMI coupler. The rectangular resonator is expected to be used as bio and chemical sensors because of the advantages of using MMI coupler and the absence of bending loss unlike ring resonators. The butterfly MMI coupler can miniaturize the device compared to conventional MMI by using a linear butterfly shape instead of a square in the MMI part. The width, height, and slab height of the rib type waveguide are designed to be 1.5 μm, 1.5 μm, and 0.9 μm, respectively. This structure is designed as a single mode. When designing a TIR mirror, we considered the Goos-Hänchen shift and critical angle. We designed 3:1 MMI coupler because rectangular resonator has no bending loss. The width of MMI is designed to be 4.5 μm and we optimize the length of the butterfly MMI coupler using finite-difference time-domain (FDTD) method for higher Q-factor. It has the equal performance with conventional MMI even though the length is reduced by 1/3. As a result of the simulation, Qfactor of rectangular resonator can be obtained as 7381.

  17. New dynamic silicon photonic components enabled by MEMS technology

    NASA Astrophysics Data System (ADS)

    Errando-Herranz, Carlos; Edinger, Pierre; Colangelo, Marco; Björk, Joel; Ahmed, Samy; Stemme, Göran; Niklaus, Frank; Gylfason, Kristinn B.

    2018-02-01

    Silicon photonics is the study and application of integrated optical systems which use silicon as an optical medium, usually by confining light in optical waveguides etched into the surface of silicon-on-insulator (SOI) wafers. The term microelectromechanical systems (MEMS) refers to the technology of mechanics on the microscale actuated by electrostatic actuators. Due to the low power requirements of electrostatic actuation, MEMS components are very power efficient, making them well suited for dense integration and mobile operation. MEMS components are conventionally also implemented in silicon, and MEMS sensors such as accelerometers, gyros, and microphones are now standard in every smartphone. By combining these two successful technologies, new active photonic components with extremely low power consumption can be made. We discuss our recent experimental work on tunable filters, tunable fiber-to-chip couplers, and dynamic waveguide dispersion tuning, enabled by the marriage of silicon MEMS and silicon photonics.

  18. Criticality of Low-Energy Protons in Single-Event Effects Testing of Highly-Scaled Technologies

    NASA Technical Reports Server (NTRS)

    Pellish, Jonathan A.; Marshall, Paul W.; Rodbell, Kenneth P.; Gordon, Michael S.; LaBel, Kenneth A.; Schwank, James R.; Dodds, Nathaniel A.; Castaneda, Carlos M.; Berg, Melanie D.; Kim, Hak S.; hide

    2014-01-01

    We report low-energy proton and low-energy alpha particle single-event effects (SEE) data on a 32 nm silicon-on-insulator (SOI) complementary metal oxide semiconductor (CMOS) latches and static random access memory (SRAM) that demonstrates the criticality of using low-energy protons for SEE testing of highly-scaled technologies. Low-energy protons produced a significantly higher fraction of multi-bit upsets relative to single-bit upsets when compared to similar alpha particle data. This difference highlights the importance of performing hardness assurance testing with protons that include energy distribution components below 2 megaelectron-volt. The importance of low-energy protons to system-level single-event performance is based on the technology under investigation as well as the target radiation environment.

  19. Determined Initial lead for South Of Isua (SOI) terrain suggests a single homogeneous source for it and possibly other archaean rocks

    NASA Astrophysics Data System (ADS)

    Tera, F.

    2011-12-01

    A Thorogenic-Uranogenic Lead Isotope Plane (TULIP), which entails plotting 206/208 (or its reverse) vs 207/208 (or its reverse), was applied to the Pb data on South of Isua (SOI) by Kamber et al., (1). When the data on 20 samples of these rocks and feldspars are plotted in pairs (each pair is a rock and its feldspar) on TULIP, they fall on 10 mixing lines that converge on a single spot (Fig. 1). This is the end member initial lead (EMIL). The 206/208 & 207/208 so determined are 0.3675 and 0.43525, respectively. From these values one calculates 207/206 = 1.1843 ± 0.0007, for EMIL. This pattern requires either: A) each pair has a singular kappa, K = 232Th/238U, different from others, or B) a pair's in situ decay Pb was homogenized in recent times. On 204/206 vs 207/206 diagram, the whole rocks of SOI define a 3.776 Ga isochron (2). From this and EMIL's 207/206, one obtains: 206/204 = 10.977, 207/204 = 12.974; and 208/204 = 29.756. This singularity of initial Pb contrasts with a deduced variability by the original authors (1). EMIL's radiogenic *(207/206) = 1.6220, gives a single-stage age = 5.9 Ga, indicating inapplicability of its evolution in one stage. Also, the μ calculated from 238U-206Pb for the single stage is different from that inferred from 235U-207Pb, confirming disqualification of this scenario. Reconciliation of the two decay schemes necessitates assumption of EMIL evolution in a minimum of two stages. Starting at 4.563 Ga, five scenarios were assumed: First stage ends and second starts at 4.55, 4.54, 4.53, 4.52 or 4.51 Ga. Second stages end at 3.776 Ga. The calculated μ1 for the first stage are 106, 59.5, 44.6, 36.3 and 30.9 respectively. For μ2 the change is limited, from 5.45 to 5.28. Only an average calculated K for both stages is possible. For the five outlined scenarios it ranges from 1.118 to 1.111. Earlier, Tera (3) observed that initial Pb of the oldest terrestrial reservoir requires evolution in two stages. There too μ1 >> μ2. Data on

  20. Thick-SOI Echelle grating for any-to-any wavelength routing interconnection in multi-socket computing environments

    NASA Astrophysics Data System (ADS)

    Dabos, G.; Pitris, S.; Mitsolidou, C.; Alexoudi, T.; Fitsios, D.; Cherchi, M.; Harjanne, M.; Aalto, T.; Kanellos, G. T.; Pleros, N.

    2017-02-01

    As data centers constantly expand, electronic switches are facing the challenge of enhanced scalability and the request for increased pin-count and bandwidth. Photonic technology and wavelength division multiplexing have always been a strong alternative for efficient routing and their potential was already proven in the telecoms. CWDM transceivers have emerged in the board-to-board level interconnection, revealing the potential for wavelength-routing to be applied in the datacom and an AWGR-based approach has recently been proposed towards building an optical multi-socket interconnection to offer any-to-any connectivity with high aggregated throughput and reduced power consumption. Echelle gratings have long been recognized as the multiplexing block exhibiting smallest footprint and robustness in a wide number of applications compared to other alternatives such as the Arrayed Waveguide Grating. Such filtering devices can also perform in a similar way to cyclical AWGR and serve as mid-board routing platforms in multi-socket environments. In this communication, we present such a 3x3 Echelle grating integrated on thick SOI platform with aluminum-coated facets that is shown to perform successful wavelength-routing functionality at 10 Gb/s. The device exhibits a footprint of 60x270 μm2, while the static characterization showed a 3 dB on-chip loss for the best channel. The 3 dB-bandwidth of the channels was 4.5 nm and the free spectral range was 90 nm. The echelle was evaluated in a 2x2 wavelength routing topology, exhibiting a power penalty of below 0.4 dB at 10-9 BER for the C-band. Further experimental evaluations of the platform involve commercially available CWDM datacenter transceivers, towards emulating an optically-interconnected multi-socket environment traffic scenario.

  1. Compact Si-based asymmetric MZI waveguide on SOI as a thermo-optical switch

    NASA Astrophysics Data System (ADS)

    Rizal, C. S.; Niraula, B.

    2018-03-01

    A compact low power consuming asymmetric MZI based optical modulator with fast response time has been proposed on SOI platform. The geometrical and performance characteristics were analyzed in depth and optimized using coupled mode analysis and FDTD simulation tools, respectively. It was tested with and without implementation of thermo-optic (TO) effect. The device showed good frequency modulating characteristics when tested without the implementation of the TO effect. The fabricated device showed quality factor, Q ≈ 10,000, and this value is comparable to the Q of the device simulated with 25% transmission loss, showing FSR of 0.195 nm, FWHM ≈ 0.16 nm, and ER of 13 dB. With TO effect, it showed temperature sensitivity of 0.01 nm/°C and FSR of 0.19 nm. With the heater length of 4.18 mm, the device required 0.26 mW per π shift power with a switching voltage of 0.309 V, response time of 10 μ, and figure-of-merit of 2.6 mW μs. All of these characteristics make this device highly attractive for use in integrated Si photonics network as optical switch and wavelength modulator.

  2. Athermal and wavelength-trimmable photonic filters based on TiO₂-cladded amorphous-SOI.

    PubMed

    Lipka, Timo; Moldenhauer, Lennart; Müller, Jörg; Trieu, Hoc Khiem

    2015-07-27

    Large-scale integrated silicon photonic circuits suffer from two inevitable issues that boost the overall power consumption. First, fabrication imperfections even on sub-nm scale result in spectral device non-uniformity that require fine-tuning during device operation. Second, the photonic devices need to be actively corrected to compensate thermal drifts. As a result significant amount of power is wasted if no athermal and wavelength-trimmable solutions are utilized. Consequently, in order to minimize the total power requirement of photonic circuits in a passive way, trimming methods are required to correct the device inhomogeneities from manufacturing and athermal solutions are essential to oppose temperature fluctuations of the passive/active components during run-time. We present an approach to fabricate CMOS backend-compatible and athermal passive photonic filters that can be corrected for fabrication inhomogeneities by UV-trimming based on low-loss amorphous-SOI waveguides with TiO2 cladding. The trimming of highly confined 10 μm ring resonators is proven over a free spectral range retaining athermal operation. The athermal functionality of 2nd-order 5 μm add/drop microrings is demonstrated over 40°C covering a broad wavelength interval of 60 nm.

  3. High-speed receiver based on waveguide germanium photodetector wire-bonded to 90nm SOI CMOS amplifier.

    PubMed

    Pan, Huapu; Assefa, Solomon; Green, William M J; Kuchta, Daniel M; Schow, Clint L; Rylyakov, Alexander V; Lee, Benjamin G; Baks, Christian W; Shank, Steven M; Vlasov, Yurii A

    2012-07-30

    The performance of a receiver based on a CMOS amplifier circuit designed with 90nm ground rules wire-bonded to a waveguide germanium photodetector is characterized at data rates up to 40Gbps. Both chips were fabricated through the IBM Silicon CMOS Integrated Nanophotonics process on specialty photonics-enabled SOI wafers. At the data rate of 28Gbps which is relevant to the new generation of optical interconnects, a sensitivity of -7.3dBm average optical power is demonstrated with 3.4pJ/bit power-efficiency and 0.6UI horizontal eye opening at a bit-error-rate of 10(-12). The receiver operates error-free (bit-error-rate < 10(-12)) up to 40Gbps with optimized power supply settings demonstrating an energy efficiency of 1.4pJ/bit and 4pJ/bit at data rates of 32Gbps and 40Gbps, respectively, with an average optical power of -0.8dBm.

  4. Optical interconnects based on VCSELs and low-loss silicon photonics

    NASA Astrophysics Data System (ADS)

    Aalto, Timo; Harjanne, Mikko; Karppinen, Mikko; Cherchi, Matteo; Sitomaniemi, Aila; Ollila, Jyrki; Malacarne, Antonio; Neumeyr, Christian

    2018-02-01

    Silicon photonics with micron-scale Si waveguides offers most of the benefits of submicron SOI technology while avoiding most of its limitations. In particular, thick silicon-on-insulator (SOI) waveguides offer 0.1 dB/cm propagation loss, polarization independency, broadband single-mode (SM) operation from 1.2 to >4 µm wavelength and ability to transmit high optical powers (>1 W). Here we describe the feasibility of Thick-SOI technology for advanced optical interconnects. With 12 μm SOI waveguides we demonstrate efficient coupling between standard single-mode fibers, vertical-cavity surface-emitting lasers (VCSELs) and photodetectors (PDs), as well as wavelength multiplexing in small footprint. Discrete VCSELs and PDs already support 28 Gb/s on-off keying (OOK), which shows a path towards 50-100 Gb/s bandwidth per wavelength by using more advanced modulation formats like PAM4. Directly modulated VCSELs enable very power-efficient optical interconnects for up to 40 km distance. Furthermore, with 3 μm SOI waveguides we demonstrate extremely dense and low-loss integration of numerous optical functions, such as multiplexers, filters, switches and delay lines. Also polarization independent and athermal operation is demonstrated. The latter is achieved by using short polymer waveguides to compensate for the thermo-optic effect in silicon. New concepts for isolator integration and polarization rotation are also explained.

  5. Optical MEMS platform for low-cost on-chip integration of planar light circuits and optical switching

    NASA Astrophysics Data System (ADS)

    German, Kristine A.; Kubby, Joel; Chen, Jingkuang; Diehl, James; Feinberg, Kathleen; Gulvin, Peter; Herko, Larry; Jia, Nancy; Lin, Pinyen; Liu, Xueyuan; Ma, Jun; Meyers, John; Nystrom, Peter; Wang, Yao Rong

    2004-07-01

    Xerox Corporation has developed a technology platform for on-chip integration of latching MEMS optical waveguide switches and Planar Light Circuit (PLC) components using a Silicon On Insulator (SOI) based process. To illustrate the current state of this new technology platform, working prototypes of a Reconfigurable Optical Add/Drop Multiplexer (ROADM) and a l-router will be presented along with details of the integrated latching MEMS optical switches. On-chip integration of optical switches and PLCs can greatly reduce the size, manufacturing cost and operating cost of multi-component optical equipment. It is anticipated that low-cost, low-overhead optical network products will accelerate the migration of functions and services from high-cost long-haul markets to price sensitive markets, including networks for metropolitan areas and fiber to the home. Compared to the more common silica-on-silicon PLC technology, the high index of refraction of silicon waveguides created in the SOI device layer enables miniaturization of optical components, thereby increasing yield and decreasing cost projections. The latching SOI MEMS switches feature moving waveguides, and are advantaged across multiple attributes relative to alternative switching technologies, such as thermal optical switches and polymer switches. The SOI process employed was jointly developed under the auspice of the NIST APT program in partnership with Coventor, Corning IntelliSense Corp., and MicroScan Systems to enable fabrication of a broad range of free space and guided wave MicroOptoElectroMechanical Systems (MOEMS).

  6. Novel detectors for silicon based microdosimetry, their concepts and applications

    NASA Astrophysics Data System (ADS)

    Rosenfeld, Anatoly B.

    2016-02-01

    This paper presents an overview of the development of semiconductor microdosimetry and the most current (state-of-the-art) Silicon on Insulator (SOI) detectors for microdosimetry based mainly on research and development carried out at the Centre for Medical Radiation Physics (CMRP) at the University of Wollongong with collaborators over the last 18 years. In this paper every generation of CMRP SOI microdosimeters, including their fabrication, design, and electrical and charge collection characterisation are presented. A study of SOI microdosimeters in various radiation fields has demonstrated that under appropriate geometrical scaling, the response of SOI detectors with the well-known geometry of microscopically sensitive volumes will record the energy deposition spectra representative of tissue cells of an equivalent shape. This development of SOI detectors for microdosimetry with increased complexity has improved the definition of microscopic sensitive volume (SV), which is modelling the deposition of ionising energy in a biological cell, that are led from planar to 3D SOI detectors with an array of segmented microscopic 3D SVs. The monolithic ΔE-E silicon telescope, which is an alternative to the SOI silicon microdosimeter, is presented, and as an example, applications of SOI detectors and ΔE-E monolithic telescope for microdosimetery in proton therapy field and equivalent neutron dose measurements out of field are also presented. An SOI microdosimeter "bridge" with 3D SVs can derive the relative biological effectiveness (RBE) in 12C ion radiation therapy that matches the tissue equivalent proportional counter (TEPC) quite well, but with outstanding spatial resolution. The use of SOI technology in experimental microdosimetry offers simplicity (no gas system or HV supply), high spatial resolution, low cost, high count rates, and the possibility of integrating the system onto a single device with other types of detectors.

  7. Progress and opportunities in high-voltage microactuator powering technology towards one-chip MEMS

    NASA Astrophysics Data System (ADS)

    Mita, Yoshio; Hirakawa, Atsushi; Stefanelli, Bruno; Mori, Isao; Okamoto, Yuki; Morishita, Satoshi; Kubota, Masanori; Lebrasseur, Eric; Kaiser, Andreas

    2018-04-01

    In this paper, we address issues and solutions for micro-electro-mechanical-systems (MEMS) powering through semiconductor devices towards one-chip MEMS, especially those with microactuators that require high voltage (HV, which is more than 10 V, and is often over 100 V) for operation. We experimentally and theoretically demonstrated that the main reason why MEMS actuators need such HV is the tradeoff between resonant frequency and displacement amplitude. Indeed, the product of frequency and displacement is constant regardless of the MEMS design, but proportional to the input energy, which is the square of applied voltage in an electrostatic actuator. A comprehensive study on the principles of HV device technology and associated circuit technologies, especially voltage shifter circuits, was conducted. From the viewpoint of on-chip energy source, series-connected HV photovoltaic cells have been discussed. Isolation and electrical connection methods were identified to be key enabling technologies. Towards future rapid development of such autonomous devices, a technology to convert standard 5 V CMOS devices into HV circuits using SOI substrate and a MEMS postprocess is presented. HV breakdown experiments demonstrated this technology can hold over 700 to 1000 V, depending on the layout.

  8. Molecular sensing using monolayer floating gate, fully depleted SOI MOSFET acting as an exponential transducer.

    PubMed

    Takulapalli, Bharath R

    2010-02-23

    Field-effect transistor-based chemical sensors fall into two broad categories based on the principle of signal transduction-chemiresistor or Schottky-type devices and MOSFET or inversion-type devices. In this paper, we report a new inversion-type device concept-fully depleted exponentially coupled (FDEC) sensor, using molecular monolayer floating gate fully depleted silicon on insulator (SOI) MOSFET. Molecular binding at the chemical-sensitive surface lowers the threshold voltage of the device inversion channel due to a unique capacitive charge-coupling mechanism involving interface defect states, causing an exponential increase in the inversion channel current. This response of the device is in opposite direction when compared to typical MOSFET-type sensors, wherein inversion current decreases in a conventional n-channel sensor device upon addition of negative charge to the chemical-sensitive device surface. The new sensor architecture enables ultrahigh sensitivity along with extraordinary selectivity. We propose the new sensor concept with the aid of analytical equations and present results from our experiments in liquid phase and gas phase to demonstrate the new principle of signal transduction. We present data from numerical simulations to further support our theory.

  9. Silicon-based optoelectronics: Monolithic integration for WDM

    NASA Astrophysics Data System (ADS)

    Pearson, Matthew Richard T.

    2000-10-01

    This thesis details the development of enabling technologies required for inexpensive, monolithic integration of Si-based wavelength division multiplexing (WDM) components and photodetectors. The work involves the design and fabrication of arrayed waveguide grating demultiplexers in silicon-on-insulator (SOI), the development of advanced SiGe photodetectors capable of photodetection at 1.55 mum wavelengths, and the development of a low cost fabrication technique that enables the high volume production of Si-based photonic components. Arrayed waveguide grating (AWG) demultiplexers were designed and fabricated in SOI. The fabrication of AWGs in SOI has been reported in the literature, however there are a number of design issues specific to the SOI material system that can have a large effect on device performance and design, and have not been theoretically examined in earlier work. The SOI AWGs presented in this thesis are the smallest devices of this type reported, and they exhibit performance acceptable for commercial applications. The SiGe photodetectors reported in the literature exhibit extremely low responsivities at wavelengths near 1.55 mum. We present the first use of three dimensional growth modes to enhance the photoresponse of SiGe at 1.55 mum wavelengths. Metal semiconductor-metal (MSM) photodetectors were fabricated using this undulating quantum well structure, and demonstrate the highest responsivities yet reported for a SiGe-based photodetector at 1.55 mum. These detectors were monolithically integrated with low-loss SOI waveguides, enabling integration with nearly any Si-based passive WDM component. The pursuit of inexpensive Si-based photonic components also requires the development of new manufacturing techniques that are more suitable for high volume production. This thesis presents the development of a low cost fabrication technique based on the local oxidation of silicon (LOCOS), a standard processing technique used for Si integrated circuits

  10. III-V/Ge MOS device technologies for low power integrated systems

    NASA Astrophysics Data System (ADS)

    Takagi, S.; Noguchi, M.; Kim, M.; Kim, S.-H.; Chang, C.-Y.; Yokoyama, M.; Nishi, K.; Zhang, R.; Ke, M.; Takenaka, M.

    2016-11-01

    CMOS utilizing high mobility III-V/Ge channels on Si substrates is expected to be one of the promising devices for high performance and low power integrated systems in the future technology nodes, because of the enhanced carrier transport properties. In addition, Tunneling-FETs (TFETs) using Ge/III-V materials are regarded as one of the most important steep slope devices for the ultra-low power applications. In this paper, we address the device and process technologies of Ge/III-V MOSFETs and TFETs on the Si CMOS platform. The channel formation, source/drain (S/D) formation and gate stack engineering are introduced for satisfying the device requirements. The plasma post oxidation to form GeOx interfacial layers is a key gate stack technology for Ge CMOS. Also, direct wafer bonding of ultrathin body quantum well III-V-OI channels, combined with Tri-gate structures, realizes high performance III-V n-MOSFETs on Si. We also demonstrate planar-type InGaAs and Ge/strained SOI TFETs. The defect-less p+-n source junction formation with steep impurity profiles is a key for high performance TFET operation.

  11. Characterization of wafer-level bonded hermetic packages using optical leak detection

    NASA Astrophysics Data System (ADS)

    Duan, Ani; Wang, Kaiying; Aasmundtveit, Knut; Hoivik, Nils

    2009-07-01

    For MEMS devices required to be operated in a hermetic environment, one of the main reliability issues is related to the packaging methods applied. In this paper, an optical method for testing low volume hermetic cavities formed by anodic bonding between glass and SOI (silicon on insulator) wafer is presented. Several different cavity-geometry structures have been designed, fabricated and applied to monitor the hermeticity of wafer level anodic bonding. SOI wafer was used as the cap wafer on which the different-geometry structures were fabricated using standard MEMS technology. The test cavities were bonded using SOI wafers to glass wafers at 400C and 1000mbar pressure inside a vacuum bonding chamber. The bonding voltage varies from 200V to 600V. The bonding strength between glass and SOI wafer was mechanically tested using shear tester. The deformation amplitudes of the cavity cap surface were monitored by using an optical interferometer. The hermeticity of the glass-to-SOI wafer level bonding was characterized through observing the surface deformation in a 6 months period in atmospheric environment. We have observed a relatively stable micro vacuum-cavity.

  12. Low-loss adiabatically-tapered high-contrast gratings for slow-wave modulators on SOI

    NASA Astrophysics Data System (ADS)

    Sciancalepore, Corrado; Hassan, Karim; Ferrotti, Thomas; Harduin, Julie; Duprez, Hélène; Menezo, Sylvie; Ben Bakir, Badhise

    2015-02-01

    In this communication, we report about the design, fabrication, and testing of Silicon-based photonic integrated circuits (Si-PICs) including low-loss flat-band slow-light high-contrast-gratings (HCGs) waveguides at 1.31 μm. The light slowdown is achieved in 300-nm-thick silicon-on-insulator (SOI) rib waveguides by patterning adiabatically-tapered highcontrast gratings, capable of providing slow-light propagation with extremely low optical losses, back-scattering, and Fabry-Pérot noise. In detail, the one-dimensional (1-D) grating architecture is capable to provide band-edge group indices ng ~ 25, characterized by overall propagation losses equivalent to those of the index-like propagation regime (~ 1-2 dB/cm). Such photonic band-edge slow-light regime at low propagation losses is made possible by the adiabatic apodization of such 1-D HCGs, thus resulting in a win-win approach where light slow-down regime is reached without additional optical losses penalty. As well as that, a tailored apodization optimized via genetic algorithms allows the flattening of slow-light regime over the wavelength window of interest, therefore suiting well needs for group index stability for modulation purposes and non-linear effects generation. In conclusion, such architectures provide key features suitable for power-efficient high-speed modulators in silicon as well as an extremely low-loss building block for non-linear optics (NLO) which is now available in the Si photonics toolbox.

  13. Culpabilité chez les enfants victimes d’agression sexuelle : Le rôle médiateur des stratégies d’évitement sur l’anxiété et l’estime de soi

    PubMed Central

    Gauthier-Duchesne, Amélie; Hébert, Martine; Daspe, Marie-Ève

    2017-01-01

    Résumé Des études antérieures relèvent que le sentiment de culpabilité est un facteur associé aux répercussions de l’agression sexuelle (AS) chez les survivants adultes (Cantón-Cortés, Cantón, Justicia et Cortés, 2011). Toutefois, très peu d’études ont exploré le rôle potentiel du sentiment de culpabilité sur les symptômes chez les enfants victimes. L’objectif de cette recherche est d’étudier le rôle médiateur de l’évitement dans la relation entre le sentiment de culpabilité et les symptômes associés à l’AS (anxiété et estime de soi). L’échantillon est composé de 447 enfants victimes d'AS (319 filles et 128 garçons), âgés de 6 à 12 ans. Les résultats des analyses acheminatoires indiquent que les enfants révélant davantage de culpabilité par rapport à la situation d’AS présentent un niveau plus élevé d’anxiété et une plus faible estime d’eux-mêmes. Un effet indirect a également été observé et montre que le sentiment de culpabilité est lié à l’utilisation de stratégies d’évitement, qui en retour exacerbent les symptômes d’anxiété et contribuent à une plus faible estime de soi. Le modèle, qui s’ajuste aux données de manière équivalente pour les filles et les garçons, permet d’expliquer 24,4 % de la variance des symptômes d’anxiété et 11,2 % de la variance de l’estime de soi. Ces résultats laissent entendre que le sentiment de culpabilité pourrait constituer une cible d’intervention pertinente pour les enfants victimes d’AS. PMID:29445251

  14. Nanopatterned ferroelectrics for ultrahigh density rad-hard nonvolatile memories.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brennecka, Geoffrey L.; Stevens, Jeffrey; Scrymgeour, David

    2010-09-01

    Radiation hard nonvolatile random access memory (NVRAM) is a crucial component for DOE and DOD surveillance and defense applications. NVRAMs based upon ferroelectric materials (also known as FERAMs) are proven to work in radiation-rich environments and inherently require less power than many other NVRAM technologies. However, fabrication and integration challenges have led to state-of-the-art FERAMs still being fabricated using a 130nm process while competing phase-change memory (PRAM) has been demonstrated with a 20nm process. Use of block copolymer lithography is a promising approach to patterning at the sub-32nm scale, but is currently limited to self-assembly directly on Si or SiO{submore » 2} layers. Successful integration of ferroelectrics with discrete and addressable features of {approx}15-20nm would represent a 100-fold improvement in areal memory density and would enable more highly integrated electronic devices required for systems advances. Towards this end, we have developed a technique that allows us to carry out block copolymer self-assembly directly on a huge variety of different materials and have investigated the fabrication, integration, and characterization of electroceramic materials - primarily focused on solution-derived ferroelectrics - with discrete features of {approx}20nm and below. Significant challenges remain before such techniques will be capable of fabricating fully integrated NVRAM devices, but the tools developed for this effort are already finding broader use. This report introduces the nanopatterned NVRAM device concept as a mechanism for motivating the subsequent studies, but the bulk of the document will focus on the platform and technology development.« less

  15. Recent developments in optical fibers and how defense, security, and sensing can benefit

    NASA Astrophysics Data System (ADS)

    Régnier, E.; Burov, E.; Pastouret, A.; Boivin, D.; Kuyt, G.; Gooijer, F.; Bergonzo, A.; Berkers, A.; Signoret, P.; Troussellier, L.; Storaasli, O.; Nouchi, P.

    2009-05-01

    For many years, fiber manufacturers have devoted research efforts to develop fibers with improved radiation resistance, keeping the same advantages and basic properties as standard fibers. Today, both single-mode (SMF) and multimode (MMF) RadHard (for Radiation-Hardened) fibers are available; some of them are MIL-49291 certified and are already used, for example in military applications and at the Large Hadron Collider (LHC) in CERN or in certain nuclear power plants. These RadHard fibers can be easily connected to standard optical networks for classical data transfer or they can also be used for command control. Using some specific properties (Raman or Brillouin scattering, Bragg gratings...), such fibers can also be used as distributed sensing (temperature or strain sensors, etc) in radiation environments. At least, optical fibers can also be used for signal amplification, either in telecom networks, or in fiber lasers. This last category of fibers is called active fibers, in opposition to passive fibers used for simple signal transmission. Draka has also recently worked to improve the radiation-resistance of these active fibers, so that Draka can now offer RadHard fibers for full optical systems.

  16. Characterization of silicon-on-insulator wafers

    NASA Astrophysics Data System (ADS)

    Park, Ki Hoon

    The silicon-on-insulator (SOI) is attracting more interest as it is being used for an advanced complementary-metal-oxide-semiconductor (CMOS) and a base substrate for novel devices to overcome present obstacles in bulk Si scaling. Furthermore, SOI fabrication technology has improved greatly in recent years and industries produce high quality wafers with high yield. This dissertation investigated SOI material properties with simple, yet accurate methods. The electrical properties of as-grown wafers such as electron and hole mobilities, buried oxide (BOX) charges, interface trap densities, and carrier lifetimes were mainly studied. For this, various electrical measurement techniques were utilized such as pseudo-metal-oxide-semiconductor field-effect-transistor (PseudoMOSFET) static current-voltage (I-V) and transient drain current (I-t), Hall effect, and MOS capacitance-voltage/capacitance-time (C-V/C-t). The electrical characterization, however, mainly depends on the pseudo-MOSFET method, which takes advantage of the intrinsic SOI structure. From the static current-voltage and pulsed measurement, carrier mobilities, lifetimes and interface trap densities were extracted. During the course of this study, a pseudo-MOSFET drain current hysteresis regarding different gate voltage sweeping directions was discovered and the cause was revealed through systematic experiments and simulations. In addition to characterization of normal SOI, strain relaxation of strained silicon-on-insulator (sSOI) was also measured. As sSOI takes advantage of wafer bonding in its fabrication process, the tenacity of bonding between the sSOI and the BOX layer was investigated by means of thermal treatment and high dose energetic gamma-ray irradiation. It was found that the strain did not relax with processes more severe than standard CMOS processes, such as anneals at temperature as high as 1350 degree Celsius.

  17. Solid State Research.

    DTIC Science & Technology

    1984-08-15

    for the Same Signal 30 3 -1 Schematic Diagrams of Two Configurations with SOI/ CMOS and Bipolar Devices Fabricated on the Same Si Wafer. The Bipolar...Waveform of 39-Stage SOI/ CMOS Ring Oscillator for 5-V Supply Voltage. The Propagation Delay per Stage is 藨 ps 33 3 -4 Common-Emitter I-V...multiple beam splitters and delay lines. 3 . MATERIALS RESEARCH Two merged CMOS ! bipolar technologies utilizing S01 films have been developed for

  18. Engineered SOI slot waveguide ring resonator V-shape resonance combs for refraction index sensing up to 1300nm/RIU (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Zhang, Weiwei; Serna, Samuel; Le Roux, Xavier; Vivien, Laurent; Cassan, Eric

    2016-05-01

    Bio-detection based on CMOS technology boosts the miniaturization of detection systems and the success on highly efficient, robust, accurate, and low coast Lab-on-Chip detection schemes. Such on chip detection technologies have covered healthy related harmful gases, bio-chemical analytes, genetic micro RNA, etc. Their monitoring accuracy is mainly qualified in terms of sensitivity and limit of the detection (LOD) of the detection system. In this context, recently developed silicon on insulator (SOI) optical devices have displayed highly performant detection abilities that LOD could go beyond 10-8RIU and sensitivity could exceeds 103nm/RIU. The SOI integrated optical sensing devices include strip/slotted waveguide consisting in structures like Mach-Zehnder interferometers (MZI), ring resonators (RR), nano cavities, etc. Typically, hollow core RR and nano-cavities could exhibit higher sensitivity due to their optical mode confinement properties with a partial localization of the electric field in low index sensing regions than devices based on evanescent field tails outside of the optical cores. Furthermore, they also provide larger sensing areas for surface functionalization to reach higher sensitivities and lower LODs. The state of art of hollow core devices, either based on Bragg gratings formed from a slot waveguide cavity or photonic crystal slot cavities, show sensitivities (S) up to 400nm/RIU and Figure of Merit (FOM) around 3,000 in water environment, FOM being defined as the inverse of LOD and precisely as FOM=SQ/λ, with λ the resonance wavelength and Q the quality factor of the considered resonator. Such high achieved FOMs in nano cavities are mainly due to their large Q factors around 15,000. While for mostly used RR, which do not require particular design strategies, relatively low Q factors around 1800 in water are met and moderate sensitivities about 300nm/RIU are found. In this work, we present here a novel slot ring resonator design to make

  19. An IO block array in a radiation-hardened SOI SRAM-based FPGA

    NASA Astrophysics Data System (ADS)

    Yan, Zhao; Lihua, Wu; Xiaowei, Han; Yan, Li; Qianli, Zhang; Liang, Chen; Guoquan, Zhang; Jianzhong, Li; Bo, Yang; Jiantou, Gao; Jian, Wang; Ming, Li; Guizhai, Liu; Feng, Zhang; Xufeng, Guo; Kai, Zhao; Chen, Stanley L.; Fang, Yu; Zhongli, Liu

    2012-01-01

    We present an input/output block (IOB) array used in the radiation-hardened SRAM-based field-programmable gate array (FPGA) VS1000, which is designed and fabricated with a 0.5 μm partially depleted silicon-on-insulator (SOI) logic process at the CETC 58th Institute. Corresponding with the characteristics of the FPGA, each IOB includes a local routing pool and two IO cells composed of a signal path circuit, configurable input/output buffers and an ESD protection network. A boundary-scan path circuit can be used between the programmable buffers and the input/output circuit or as a transparent circuit when the IOB is applied in different modes. Programmable IO buffers can be used at TTL/CMOS standard levels. The local routing pool enhances the flexibility and routability of the connection between the IOB array and the core logic. Radiation-hardened designs, including A-type and H-type body-tied transistors and special D-type registers, improve the anti-radiation performance. The ESD protection network, which provides a high-impulse discharge path on a pad, prevents the breakdown of the core logic caused by the immense current. These design strategies facilitate the design of FPGAs with different capacities or architectures to form a series of FPGAs. The functionality and performance of the IOB array is proved after a functional test. The radiation test indicates that the proposed VS1000 chip with an IOB array has a total dose tolerance of 100 krad(Si), a dose survivability rate of 1.5 × 1011 rad(Si)/s, and a neutron fluence immunity of 1 × 1014 n/cm2.

  20. Seismic Study of the Solar Interior: Inferences from SOI/MDI Observations During Solar Activity

    NASA Technical Reports Server (NTRS)

    Korzennik, Sylvain G.; Wagner, William J. (Technical Monitor)

    2001-01-01

    We have continued in collaboration with Dr. Eff-Darwich (University of La Laguna, Tenerife, Spain) the study of the structure, asphericity and dynamics of the solar interior from p-mode frequencies and frequency splittings. In March 2001, Dr. Eff-Darwich came for 3 weeks visit to CfA. During this visit we completed our work on the inversion of the internal solar rotation rate, and submitted a paper describing this work to the Astrophysical Journal. This paper has been recently revised in response to the referee comments and I expect that it will be accepted for publication very soon. We also have analyzed helioseismic data looking for temporal variations of the solar stratification near the base of the convection zone. We have expanded on the initial work that was presented at the SOHO-10/GONG-2000 meeting (October 2000, Tenerife), and are in the process of writing this up. Substantial progress towards the characterization of high-degree p-modes has been achieved. Indeed, in collaboration Dr. Rabello-Soares (Stanford University), we have gained a clear conceptual understanding of the various elements that affect the leakage matrix of the SOI/MDI instrument. This was presented in an invited talk at the SOHO-10/GONG-2000 meeting (October 2000, Tenerife). Once we will have successfully migrated from a qualitative to a quantitative assessment of these effects, we should be able to generate high-degree p-modes frequencies so crucial in the diagnostic of the layers just below solar surface.

  1. Preface to the special issue of Solid State Electronics EUROSOI/ULIS 2017

    NASA Astrophysics Data System (ADS)

    Nassiopoulou, Androula G.

    2018-05-01

    This special issue is devoted to selected papers presented at the EuroSOI-ULIS2017 international conference, held in Athens on 3-5 April 2017. EuroSOI-ULIS2017 Conference was mainly devoted to Si devices, which constitute the basic building blocks of any microelectronic circuit. It included papers on advanced Si technologies, novel nanoscale devices, advanced electronic materials and device architectures, mechanisms involved, test structures, substrate materials and technologies, modeling/simulation and characterization. Both CMOS and beyond CMOS devices were presented, covering the More Moore domain, as well as new functionalities in silicon-compatible nanostructures and innovative devices, representing the More than Moore domain (on-chip sensors, biosensors, energy harvesting devices, RF passives, etc.).

  2. Ionizing doses and displacement damage testing of COTS CMOS imagers

    NASA Astrophysics Data System (ADS)

    Bernard, Frédéric; Petit, Sophie; Courtade, Sophie

    2017-11-01

    CMOS sensors begin to be a credible alternative to CCD sensors in some space missions. However, technology evolution of CMOS sensors is much faster than CCD one's. So a continuous technology evaluation is needed for CMOS imagers. Many of commercial COTS (Components Off The Shelf) CMOS sensors use organic filters, micro-lenses and non rad-hard technologies. An evaluation of the possibilities offered by such technologies is interesting before any custom development. This can be obtained by testing commercial COTS imagers. This article will present electro-optical performances evolution of off the shelves CMOS imagers after Ionizing Doses until 50kRad(Si) and Displacement Damage environment tests (until 1011 p/cm2 at 50 MeV). Dark current level and non uniformity evolutions are compared and discussed. Relative spectral response measurement and associated evolution with irradiation will also be presented and discussed. Tests have been performed on CNES detection benches.

  3. TID Simulation of Advanced CMOS Devices for Space Applications

    NASA Astrophysics Data System (ADS)

    Sajid, Muhammad

    2016-07-01

    This paper focuses on Total Ionizing Dose (TID) effects caused by accumulation of charges at silicon dioxide, substrate/silicon dioxide interface, Shallow Trench Isolation (STI) for scaled CMOS bulk devices as well as at Buried Oxide (BOX) layer in devices based on Silicon-On-Insulator (SOI) technology to be operated in space radiation environment. The radiation induced leakage current and corresponding density/concentration electrons in leakage current path was presented/depicted for 180nm, 130nm and 65nm NMOS, PMOS transistors based on CMOS bulk as well as SOI process technologies on-board LEO and GEO satellites. On the basis of simulation results, the TID robustness analysis for advanced deep sub-micron technologies was accomplished up to 500 Krad. The correlation between the impact of technology scaling and magnitude of leakage current with corresponding total dose was established utilizing Visual TCAD Genius program.

  4. InP on SOI devices for optical communication and optical network on chip

    NASA Astrophysics Data System (ADS)

    Fedeli, J.-M.; Ben Bakir, B.; Olivier, N.; Grosse, Ph.; Grenouillet, L.; Augendre, E.; Phillippe, P.; Gilbert, K.; Bordel, D.; Harduin, J.

    2011-01-01

    For about ten years, we have been developing InP on Si devices under different projects focusing first on μlasers then on semicompact lasers. For aiming the integration on a CMOS circuit and for thermal issue, we relied on SiO2 direct bonding of InP unpatterned materials. After the chemical removal of the InP substrate, the heterostructures lie on top of silicon waveguides of an SOI wafer with a separation of about 100nm. Different lasers or photodetectors have been achieved for off-chip optical communication and for intra-chip optical communication within an optical network. For high performance computing with high speed communication between cores, we developed InP microdisk lasers that are coupled to silicon waveguide and produced 100μW of optical power and that can be directly modulated up to 5G at different wavelengths. The optical network is based on wavelength selective circuits with ring resonators. InGaAs photodetectors are evanescently coupled to the silicon waveguide with an efficiency of 0.8A/W. The fabrication has been demonstrated at 200mm wafer scale in a microelectronics clean room for CMOS compatibility. For off-chip communication, silicon on InP evanescent laser have been realized with an innovative design where the cavity is defined in silicon and the gain localized in the QW of bonded InP hererostructure. The investigated devices operate at continuous wave regime with room temperature threshold current below 100 mA, the side mode suppression ratio is as high as 20dB, and the fibercoupled output power is {7mW. Direct modulation can be achieved with already 6G operation.

  5. Vertically Integrated MEMS SOI Composite Porous Silicon-Crystalline Silicon Cantilever-Array Sensors: Concept for Continuous Sensing of Explosives and Warfare Agents

    NASA Astrophysics Data System (ADS)

    Stolyarova, Sara; Shemesh, Ariel; Aharon, Oren; Cohen, Omer; Gal, Lior; Eichen, Yoav; Nemirovsky, Yael

    This study focuses on arrays of cantilevers made of crystalline silicon (c-Si), using SOI wafers as the starting material and using bulk micromachining. The arrays are subsequently transformed into composite porous silicon-crystalline silicon cantilevers, using a unique vapor phase process tailored for providing a thin surface layer of porous silicon on one side only. This results in asymmetric cantilever arrays, with one side providing nano-structured porous large surface, which can be further coated with polymers, thus providing additional sensing capabilities and enhanced sensing. The c-Si cantilevers are vertically integrated with a bottom silicon die with electrodes allowing electrostatic actuation. Flip Chip bonding is used for the vertical integration. The readout is provided by a sensitive Capacitance to Digital Converter. The fabrication, processing and characterization results are reported. The reported study is aimed towards achieving miniature cantilever chips with integrated readout for sensing explosives and chemical warfare agents in the field.

  6. Common Capabilities for Trust and Security in Service Oriented Infrastructures

    NASA Astrophysics Data System (ADS)

    Brossard, David; Colombo, Maurizio

    In order to achieve agility of the enterprise and shorter concept-to-market timescales for new services, IT and communication providers and their customers increasingly use technologies and concepts which come together under the banner of the Service Oriented Infrastructure (SOI) approach. In this paper we focus on the challenges relating to SOI security. The solutions presented cover the following areas: i) identity federation, ii) distributed usage & access management, and iii) context-aware secure messaging, routing & transformation. We use a scenario from the collaborative engineering space to illustrate the challenges and the solutions.

  7. Electrical Control of Structural and Physical Properties via Strong Spin-Orbit Interactions in Sr2IrO4

    NASA Astrophysics Data System (ADS)

    Cao, G.; Terzic, J.; Zhao, H. D.; Zheng, H.; De Long, L. E.; Riseborough, Peter S.

    2018-01-01

    Electrical control of structural and physical properties is a long-sought, but elusive goal of contemporary science and technology. We demonstrate that a combination of strong spin-orbit interactions (SOI) and a canted antiferromagnetic Mott state is sufficient to attain that goal. The antiferromagnetic insulator Sr2IrO4 provides a model system in which strong SOI lock canted Ir magnetic moments to IrO6 octahedra, causing them to rigidly rotate together. A novel coupling between an applied electrical current and the canting angle reduces the Néel temperature and drives a large, nonlinear lattice expansion that closely tracks the magnetization, increases the electron mobility, and precipitates a unique resistive switching effect. Our observations open new avenues for understanding fundamental physics driven by strong SOI in condensed matter, and provide a new paradigm for functional materials and devices.

  8. Exploring the Use of the LT3480 (RH3480) Circuit as Low-Power, Low-Voltage Solar Array Regulator

    NASA Astrophysics Data System (ADS)

    Garrigos, A.; Lizan, J. L.; Blanes, J. M.; Gutierrez, R.

    2014-08-01

    With the advent of PoL technology, several commercial integrated switching regulators already have their space- qualified versions. Apart of PoL and secondary supply applications, other functions can be explored using those integrated circuits. In this work, the Solar Array Regulator function is analyzed using the commercial LT3480 circuit, which has the space counterpart (RH3480) commercialized by MSK and named MSK5058RH and later MSK5031 (but not rad-hard). Input voltage regulation, taper charge, protection functions and module parallelization are studied and verified experimentally in a low-voltage, low-power MPPT battery bus configuration. Potential users of this approach are micro and nano-satellites power systems.

  9. Operational characterization of CSFH MEMS technology based hinges

    NASA Astrophysics Data System (ADS)

    Crescenzi, Rocco; Balucani, Marco; Belfiore, Nicola Pio

    2018-05-01

    Progress in MEMS technology continuously stimulates new developments in the mechanical structure of micro systems, such as, for example, the concept of so-called CSFH (conjugate surfaces flexural hinge), which makes it possible, simultaneously, to minimize the internal stresses and to increase motion range and robustness. Such a hinge may be actuated by means of a rotary comb-drive, provided that a proper set of simulations and tests are capable to assess its feasibility. In this paper, a CSFH has been analyzed with both theoretical and finite element (FEM) methods, in order to obtain the relation between voltage and generated torque. The FEM model considers also the fringe effect on the comb drive finger. Electromechanical couple-field analysis is performed by means of both direct and load transfer methods. Experimental tests have been also performed on a CSFH embedded in a MEMS prototype, which has been fabricated starting from a SOI wafer and using D-RIE (deep reactive ion etching). Results showed that CSFH performs better than linear flexure hinges in terms of larger rotations and less stress for given applied voltage.

  10. Analysis of Soft Error Rates in 65- and 28-nm FD-SOI Processes Depending on BOX Region Thickness and Body Bias by Monte-Carlo Based Simulations

    NASA Astrophysics Data System (ADS)

    Zhang, Kuiyuan; Umehara, Shigehiro; Yamaguchi, Junki; Furuta, Jun; Kobayashi, Kazutoshi

    2016-08-01

    This paper analyzes how body bias and BOX region thickness affect soft error rates in 65-nm SOTB (Silicon on Thin BOX) and 28-nm UTBB (Ultra Thin Body and BOX) FD-SOI processes. Soft errors are induced by alpha-particle and neutron irradiation and the results are then analyzed by Monte Carlo based simulation using PHITS-TCAD. The alpha-particle-induced single event upset (SEU) cross-section and neutron-induced soft error rate (SER) obtained by simulation are consistent with measurement results. We clarify that SERs decreased in response to an increase in the BOX thickness for SOTB while SERs in UTBB are independent of BOX thickness. We also discover SOTB develops a higher tolerance to soft errors when reverse body bias is applied while UTBB become more susceptible.

  11. A multi-rate DPSK modem for free-space laser communications

    NASA Astrophysics Data System (ADS)

    Spellmeyer, N. W.; Browne, C. A.; Caplan, D. O.; Carney, J. J.; Chavez, M. L.; Fletcher, A. S.; Fitzgerald, J. J.; Kaminsky, R. D.; Lund, G.; Hamilton, S. A.; Magliocco, R. J.; Mikulina, O. V.; Murphy, R. J.; Rao, H. G.; Scheinbart, M. S.; Seaver, M. M.; Wang, J. P.

    2014-03-01

    The multi-rate DPSK format, which enables efficient free-space laser communications over a wide range of data rates, is finding applications in NASA's Laser Communications Relay Demonstration. We discuss the design and testing of an efficient and robust multi-rate DPSK modem, including aspects of the electrical, mechanical, thermal, and optical design. The modem includes an optically preamplified receiver, an 0.5-W average power transmitter, a LEON3 rad-hard microcontroller that provides the command and telemetry interface and supervisory control, and a Xilinx Virtex-5 radhard reprogrammable FPGA that both supports the high-speed data flow to and from the modem and controls the modem's analog and digital subsystems. For additional flexibility, the transmitter and receiver can be configured to support operation with multi-rate PPM waveforms.

  12. Compact Holographic Data Storage

    NASA Technical Reports Server (NTRS)

    Chao, T. H.; Reyes, G. F.; Zhou, H.

    2001-01-01

    NASA's future missions would require massive high-speed onboard data storage capability to Space Science missions. For Space Science, such as the Europa Lander mission, the onboard data storage requirements would be focused on maximizing the spacecraft's ability to survive fault conditions (i.e., no loss in stored science data when spacecraft enters the 'safe mode') and autonomously recover from them during NASA's long-life and deep space missions. This would require the development of non-volatile memory. In order to survive in the stringent environment during space exploration missions, onboard memory requirements would also include: (1) survive a high radiation environment (1 Mrad), (2) operate effectively and efficiently for a very long time (10 years), and (3) sustain at least a billion write cycles. Therefore, memory technologies requirements of NASA's Earth Science and Space Science missions are large capacity, non-volatility, high-transfer rate, high radiation resistance, high storage density, and high power efficiency. JPL, under current sponsorship from NASA Space Science and Earth Science Programs, is developing a high-density, nonvolatile and rad-hard Compact Holographic Data Storage (CHDS) system to enable large-capacity, high-speed, low power consumption, and read/write of data in a space environment. The entire read/write operation will be controlled with electrooptic mechanism without any moving parts. This CHDS will consist of laser diodes, photorefractive crystal, spatial light modulator, photodetector array, and I/O electronic interface. In operation, pages of information would be recorded and retrieved with random access and high-speed. The nonvolatile, rad-hard characteristics of the holographic memory will provide a revolutionary memory technology meeting the high radiation challenge facing the Europa Lander mission. Additional information is contained in the original extended abstract.

  13. First fabrication of a silicon vertical JFET for power distribution in high energy physics applications

    NASA Astrophysics Data System (ADS)

    Fernández-Martínez, Pablo; Flores, D.; Hidalgo, S.; Quirion, D.; Durà, R.; Ullán, M.

    2018-01-01

    A new vertical JFET transistor has been recently developed at the IMB-CNM, taking advantage of a deep-trenched 3D technology to achieve vertical conduction and low switch-off voltage. The silicon V-JFET transistors were mainly conceived to work as rad-hard protection switches for the renewed HV powering scheme (HV-MUX) of the ATLAS upgraded tracker. This work presents the features of the first batch of V-JFETs produced at the IMB-CNM clean room, together with the results of a full pre-irradiation characterization of the fabricated prototypes. Details of the technological process are provided and the outcome quality is also evaluated with the aid of reverse engineering techniques. Concerning the electrical performance of the prototypes, promising results were obtained, already meeting most of the HV-MUX specifications, both at room and below-zerotemperatures.

  14. Broadband non-polarizing beam splitter based on guided mode resonance effect

    NASA Astrophysics Data System (ADS)

    Ma, Jian-Yong; Xu, Cheng; Qiang, Ying-Huai; Zhu, Ya-Bo

    2011-10-01

    A broadband non-polarizing beam splitter (NPBS) operating in the telecommunication C+L band is designed by using the guided mode resonance effect of periodic silicon-on-insulator (SOI) elements. It is shown that this double layer SOI structure can provide ~50/50 beam ratio with the maximum divergences between reflection and transmission being less than 8% over the spectrum of 1.4 μm~1.7 μm and 1% in the telecommunication band for both TE and TM polarizations. The physical basis of this broadband non-polarizing property is on the simultaneous excitation of the TE and TM strong modulation waveguide modes near the designed spectrum band. Meanwhile, the electric field distributions for both TE and TM polarizations verify the resonant origin of spectrum in the periodic SOI structure. Furthermore, it is demonstrated with our calculations that the beam splitter proposed here is tolerant to the deviations of incident angle and structure parameters, which make it very easy to be fabricated with current IC technology.

  15. Leaky Integrate and Fire Neuron by Charge-Discharge Dynamics in Floating-Body MOSFET.

    PubMed

    Dutta, Sangya; Kumar, Vinay; Shukla, Aditya; Mohapatra, Nihar R; Ganguly, Udayan

    2017-08-15

    Neuro-biology inspired Spiking Neural Network (SNN) enables efficient learning and recognition tasks. To achieve a large scale network akin to biology, a power and area efficient electronic neuron is essential. Earlier, we had demonstrated an LIF neuron by a novel 4-terminal impact ionization based n+/p/n+ with an extended gate (gated-INPN) device by physics simulation. Excellent improvement in area and power compared to conventional analog circuit implementations was observed. In this paper, we propose and experimentally demonstrate a compact conventional 3-terminal partially depleted (PD) SOI- MOSFET (100 nm gate length) to replace the 4-terminal gated-INPN device. Impact ionization (II) induced floating body effect in SOI-MOSFET is used to capture LIF neuron behavior to demonstrate spiking frequency dependence on input. MHz operation enables attractive hardware acceleration compared to biology. Overall, conventional PD-SOI-CMOS technology enables very-large-scale-integration (VLSI) which is essential for biology scale (~10 11 neuron based) large neural networks.

  16. Radiation-tolerant microprocessors in Japanese scientific space vehicles: how to maximize the benefits of commercial SOI technologies

    NASA Astrophysics Data System (ADS)

    Kobayashi, Daisuke; Hirose, Kazuyuki; Saito, Hirobumi

    2013-05-01

    Development of semiconductor devices not only for harsh radiation environments such as space but also for ground-based applications now faces a major hurdle of radiation problems. Necessary is protecting chips from malfunctions due to sub-nanosecond transient noises induced by radiation. As a protection technique using the silicon-on-insulator structure is often suggested, but the use in fact requires devices and circuits carefully optimized for maximizing its benefits. Mainly describing theoretical and experimental characterization of the transient effects, this paper presents a comprehensive study on radiation responses of commercial silicon-on- insulator technologies, which study results in a space-use low-power system-on-chip with a 100-MIPS RISC-based core.

  17. Global and Local Helioseismic Studies of Solar Convection Zone Dynamics Using SOI-MDI on SOHO

    NASA Technical Reports Server (NTRS)

    Toomre, Juri; Haber, Deborah; Hindman, Bradley; Christensen-Dalsgaard, Joergen; Gough, Douglas; Thompson, Michael

    2003-01-01

    Our joint collaborative analyses of global mode data to characterize the solar differential rotation (e.g. Thompson et al. 1996, Schou et al. 1998), and most recently to detect and analyze temporal variations in angular velocity Omega profiles both within the convection zone and in the deeper radiative interior (e.g. Howe et al 2000a,b; Toomre et al. 2000), have led to a series of fascinating discoveries. These should be pursued further as the solar cycle continues. The physical deductions being made from these studies have been greatly strengthened by utilizing both SOI-MDI and GONG data in order to have two independent observational realizations of Doppler images spanning a five-year interval, using two separate procedures to determine global mode splittings, and then analyzing those splitting data sets using both RLS and SOLA inversion procedures. There are considerable subtleties in the effects of instrumental response functions and calibrations, sensitivity of peak finding algorithms and their mode leakage estimates, and stochastic variations in mode amplitudes that can all contribute to apparent changes in the Omega profiles being inferred from sequences of helioseismic data. We have come to understand the implications of many of these calibration and analysis steps, greatly aided by frequent multi-week collaborative working sessions in our Helioseismic Analysis Facility (HAF) at JILA involving many members of the SO1 dynamics and inversion team, including most of our Co-Is during the summer months when we hold intensive working sessions. Considerable further focused attention is required in a collaborative setting on such global mode issues as we continue studying the changing sun.

  18. Nanophotonic applications for silicon-on-insulator (SOI)

    NASA Astrophysics Data System (ADS)

    de la Houssaye, Paul R.; Russell, Stephen D.; Shimabukuro, Randy L.

    2004-07-01

    Silicon-on-insulator is a proven technology for very large scale integration of microelectronic devices. The technology also offers the potential for development of nanophotonic devices and the ability to interface such devices to the macroscopic world. This paper will report on fabrication techniques used to form nano-structured silicon wires on an insulating structure that is amenable to interfacing nanostructured sensors with high-performance microelectronic circuitry for practical implementation. Nanostructures formed on silicon-on-sapphire can also exploit the transparent substrate for novel device geometries. This research harnesses the unique properties of a high-quality single crystal film of silicon on sapphire and uses the film thickness as one of the confinement dimensions. Lateral arrays of silicon nanowires were fabricated in the thin (5 to 20 nm) silicon layer and studied. This technique offers simplified contact to individual wires and provides wire surfaces that are more readily accessible for controlled alteration and device designs.

  19. Evaluation of the implementation of the Montreal At Home/Chez Soi project.

    PubMed

    Fleury, Marie-Josée; Grenier, Guy; Vallée, Catherine

    2014-11-28

    Homelessness and mental disorders constitute a major problem in Canada. The purpose of the At Home/Chez Soi pilot project was to house and provide supports to marginalised groups. Policymakers are in a better position to nurture new, complex interventions if they know which key factors hinder or enable their implementation. This paper evaluates the implementation process for the Montreal site of this project. We collected data from 62 individuals, through individual interviews, focus groups, questionnaires, observations and documentation. The implementation process was analysed using a conceptual framework with five constructs: Intervention Characteristics (IC), Context of Implementation (CI), Implementation Process (IP), Organizational Characteristics (OC) and Strategies of Implementation (SI). The most serious obstacle to the project came from the CI construct, i.e., lack of support from provincial authorities and key local resources in the homelessness field. The second was within the OC construct. The chief hindrances were numerous structures, divergent values among stakeholders, frequent turnover of personnel and team leaders; lacking staff supervision and miscommunication. The third is related to IC: the complex, unyielding nature of the project undermined its chances of success. The greatest challenges from IP were the pressure to perform, along with stress caused by planning, deadlines and tension between teams. Conversely, SI construct conditions (e.g., effective governing structures, comprehensive training initiatives and toolkits) were generally very positive even with problems in power sharing and local leadership. For the four other constructs, the following proved useful: evidence of the project's scope and quality, great needs of services consolidation, generous financing and status as a research pilot project, enthusiasm and commitment toward the project, substantially improved services, and overall user satisfaction. This study demonstrated the

  20. Carrier-transport mechanism of Er-silicide Schottky contacts to strained-silicon-on-insulator and silicon-on-insulator.

    PubMed

    Jyothi, I; Janardhanam, V; Kang, Min-Sung; Yun, Hyung-Joong; Lee, Jouhahn; Choi, Chel-Jong

    2014-11-01

    The current-voltage characteristics and the carrier-transport mechanism of the Er-silicide (ErSi1.7) Schottky contacts to strained-silicon-on-insulator (sSOI) and silicon-on-insulator (SOI) were investigated. Barrier heights of 0.74 eV and 0.82 eV were obtained for the sSOI and SOI structures, respectively. The barrier height of the sSOI structure was observed to be lower than that of the SoI structure despite the formation of a Schottky contact using the same metal silicide. The sSOI structure exhibited better rectification and higher current level than the SOI structure, which could be associated with a reduction in the band gap of Si caused by strain. The generation-recombination mechanism was found to be dominant in the forward bias for both structures. Carrier generation along with the Poole-Frenkel mechanism dominated the reverse-biased current in the SOI structure. The saturation tendency of the reverse leakage current in the sSOI structure could be attributed to strain-induced defects at the interface in non-lattice-matched structures.

  1. Rad-Hard, Miniaturized, Scalable, High-Voltage Switching Module for Power Applications Rad-Hard, Miniaturized

    NASA Technical Reports Server (NTRS)

    Adell, Philippe C.; Mojarradi, Mohammad; DelCastillo, Linda Y.; Vo, Tuan A.

    2011-01-01

    A paper discusses the successful development of a miniaturized radiation hardened high-voltage switching module operating at 2.5 kV suitable for space application. The high-voltage architecture was designed, fabricated, and tested using a commercial process that uses a unique combination of 0.25 micrometer CMOS (complementary metal oxide semiconductor) transistors and high-voltage lateral DMOS (diffusion metal oxide semiconductor) device with high breakdown voltage (greater than 650 V). The high-voltage requirements are achieved by stacking a number of DMOS devices within one module, while two modules can be placed in series to achieve higher voltages. Besides the high-voltage requirements, a second generation prototype is currently being developed to provide improved switching capabilities (rise time and fall time for full range of target voltages and currents), the ability to scale the output voltage to a desired value with good accuracy (few percent) up to 10 kV, to cover a wide range of high-voltage applications. In addition, to ensure miniaturization, long life, and high reliability, the assemblies will require intensive high-voltage electrostatic modeling (optimized E-field distribution throughout the module) to complete the proposed packaging approach and test the applicability of using advanced materials in a space-like environment (temperature and pressure) to help prevent potential arcing and corona due to high field regions. Finally, a single-event effect evaluation would have to be performed and single-event mitigation methods implemented at the design and system level or developed to ensure complete radiation hardness of the module.

  2. Single Versus Multiple Solid Organ Injuries Following Blunt Abdominal Trauma.

    PubMed

    El-Menyar, Ayman; Abdelrahman, Husham; Al-Hassani, Ammar; Peralta, Ruben; AbdelAziz, Hiba; Latifi, Rifat; Al-Thani, Hassan

    2017-11-01

    We aimed to describe the pattern of solid organ injuries (SOIs) and analyze the characteristics, management and outcomes based on the multiplicity of SOIs. A retrospective study in a Level 1 trauma center was conducted and included patients admitted with blunt abdominal trauma between 2011 and 2014. Data were analyzed and compared for patients with single versus multiple SOIs. A total of 504 patients with SOIs were identified with a mean age of 28 ± 13 years. The most frequently injured organ was liver (45%) followed by spleen (30%) and kidney (18%). One-fifth of patients had multiple SOIs, of that 87% had two injured organs. Patients with multiple SOIs had higher frequency of head injury and injury severity scores (p < 0.05). The majority of SOIs were treated nonoperatively, whereas operative management was required in a quarter of patients, mostly in patients with multiple SOIs (p = 0.01). Blood transfusion, sepsis and hospital stay were greater in multiple than single SOIs (p < 0.05). The overall mortality was 11% which was comparable between the two groups. In patients with single SOIs, the mortality was significantly higher in those who had pancreatic (28.6%) or hepatic injuries (13%) than the other SOIs. SOIs represent one-tenth of trauma admissions in Qatar. Although liver was the most frequently injured organ, the rate of mortality was higher in pancreatic injury. Patients with multiple SOIs had higher morbidity which required frequent operative management. Further prospective studies are needed to develop management algorithm based on the multiplicity of SOIs.

  3. Exploring the value of mixed methods within the At Home/Chez Soi housing first project: a strategy to evaluate the implementation of a complex population health intervention for people with mental illness who have been homeless.

    PubMed

    Macnaughton, Eric L; Goering, Paula N; Nelson, Geoffrey B

    2012-05-02

    This paper is a methodological case study that describes the At Home/Chez Soi (Housing First) Initiative's mixed-methods strategy for implementation evaluation and discusses the value of these methods in evaluating the implementation of such complex population health interventions. The Housing First (HF) model is being implemented in five cities: Vancouver, Winnipeg, Toronto, Montréal and Moncton. At Home/Chez Soi is an intervention trial that aims to address the issue of homelessness in people with mental health issues. The HF model emphasizes choices, hopefulness and connecting people with resources that make a difference to their quality of life. A component of HF is supported housing, which provides a rent subsidy and rapid access to housing of choice in private apartments; a second component is support. Quantitative and qualitative methods were used to evaluate HF implementation. The findings of this case study illustrate how the critical ingredients of complex interventions, such as HF, can be adapted to different contexts while implementation fidelity is maintained at a theoretical level. The findings also illustrate how the project's mixed methods approach helped to facilitate the adaptation process. Another value of this approach is that it identifies systemic and organizational factors (e.g., housing supply, discrimination, housing procurement strategy) that affect implementation of key elements of HF. In general, the approach provides information about both whether and how key aspects of the intervention are implemented effectively across different settings. It thus provides implementation data that are rigorous, contextually relevant and practical.

  4. Analysis of photonic spot profile converter and bridge structure on SOI platform for horizontal and vertical integration

    NASA Astrophysics Data System (ADS)

    Majumder, Saikat; Jha, Amit Kr.; Biswas, Aishik; Banerjee, Debasmita; Ganguly, Dipankar; Chakraborty, Rajib

    2017-08-01

    Horizontal spot size converter required for horizontal light coupling and vertical bridge structure required for vertical integration are designed on high index contrast SOI platform in order to form more compact integrated photonic circuits. Both the structures are based on the concept of multimode interference. The spot size converter can be realized by successive integration of multimode interference structures with reducing dimension on horizontal plane, whereas the optical bridge structure consists of a number of vertical multimode interference structure connected by single mode sections. The spot size converter can be modified to a spot profile converter when the final single mode waveguide is replaced by a slot waveguide. Analysis have shown that by using three multimode sections in a spot size converter, an Gaussian input having spot diameter of 2.51 μm can be converted to a spot diameter of 0.25 μm. If the output single mode section is replaced by a slot waveguide, this input profile can be converted to a flat top profile of width 50 nm. Similarly, vertical displacement of 8μm is possible by using a combination of two multimode sections and three single mode sections in the vertical bridge structure. The analyses of these two structures are carried out for both TE and TM modes at 1550 nm wavelength using the semi analytical matrix method which is simple and fast in computation time and memory. This work shows that the matrix method is equally applicable for analysis of horizontally as well as vertically integrated photonic circuit.

  5. Post-Deployment Reintegration Experiences of AF Personnel: Implications for Scale Development

    DTIC Science & Technology

    2006-09-01

    peuvent également présenter des avantages, notamment une amélioration aux points de vue suivants : confiance en soi , tolérance à l’égard de soi...notamment une amélioration aux points de vue suivants : confiance en soi , tolérance à l’égard de soi, compréhension politique et compétence militaire... confiance en soi , tolérance à l’égard de soi, compréhension politique et compétence militaire. À ce jour, les études sur l’expérience de réinsertion

  6. The design of radiation-hardened ICs for space - A compendium of approaches

    NASA Technical Reports Server (NTRS)

    Kerns, Sherra E.; Shafer, B. D; Rockett, L. R., Jr.; Pridmore, J. S.; Berndt, D. F.

    1988-01-01

    Several technologies, including bulk and epi CMOS, CMOS/SOI-SOS (silicon-on-insulator-silicon-on-sapphire), CML (current-mode logic), ECL (emitter-coupled logic), analog bipolar (JI, single-poly DI, and SOI) and GaAs E/D (enhancement/depletion) heterojunction MESFET, are discussed. The discussion includes the direct effects of space radiation on microelectronic materials and devices, how these effects are evidenced in circuit and device design parameter variations, the particular effects of most significance to each functional class of circuit, specific techniques for hardening high-speed circuits, design examples for integrated systems, including operational amplifiers and A/D (analog/digital) converters, and the computer simulation of radiation effects on microelectronic ISs.

  7. Dual Interlocked Logic for Single-Event Transient Mitigation

    DTIC Science & Technology

    2017-03-01

    SPICE simulation and fault-injection analysis. Exemplar SPICE simulations have been performed in a 32nm partially- depleted silicon-on-insulator...in this work. The model has been validated at the 32nm SOI technology node with extensive heavy-ion data [7]. For the SPICE simulations, three

  8. PARAMETRIC ANALYSIS OF THE INSTALLATION AND OPERATING COSTS OF ACTIVE SOIL DEPRESSURIZATION SYSTEMS FOR RESIDENTIAL RADON MITIGATION

    EPA Science Inventory

    The report gives results of a recent analysis showing that cost- effective indoor radon reduction technology is required for houses with initial radon concentrations < 4 pCi/L, because 78-86% of the national lung cancer risk due to radon is associated with those houses. ctive soi...

  9. An optical MEMS accelerometer fabricated using double-sided deep reactive ion etching on silicon-on-insulator wafer

    NASA Astrophysics Data System (ADS)

    Teo, Adrian J. T.; Li, Holden; Tan, Say Hwa; Yoon, Yong-Jin

    2017-06-01

    Optical MEMS devices provide fast detection, electromagnetic resilience and high sensitivity. Using this technology, an optical gratings based accelerometer design concept was developed for seismic motion detection purposes that provides miniaturization, high manufacturability, low costs and high sensitivity. Detailed in-house fabrication procedures of a double-sided deep reactive ion etching (DRIE) on a silicon-on-insulator (SOI) wafer for a micro opto electro mechanical system (MOEMS) device are presented and discussed. Experimental results obtained show that the conceptual device successfully captured motion similar to a commercial accelerometer with an average sensitivity of 13.6 mV G-1, and a highest recorded sensitivity of 44.1 mV G-1. A noise level of 13.5 mV was detected due to experimental setup limitations. This is the first MOEMS accelerometer developed using double-sided DRIE on SOI wafer for the application of seismic motion detection, and is a breakthrough technology platform to open up options for lower cost MOEMS devices.

  10. Comparison Of In Situ Soil Moisture Measurements: An Examination of the Neutron and Dielectric Measurements within the Illinois Climate Network

    USDA-ARS?s Scientific Manuscript database

    The continuity of soil moisture time series data is crucial for climatic research. Yet, a common problem for continuous data series is the changing of sensors, not only as replacements are necessary, but as technologies evolve. The Illinois Climate Network has one of the longest data records of soi...

  11. Radiation Effects in Advanced Multiple Gate and Silicon-on-Insulator Transistors

    NASA Astrophysics Data System (ADS)

    Simoen, Eddy; Gaillardin, Marc; Paillet, Philippe; Reed, Robert A.; Schrimpf, Ron D.; Alles, Michael L.; El-Mamouni, Farah; Fleetwood, Daniel M.; Griffoni, Alessio; Claeys, Cor

    2013-06-01

    The aim of this review paper is to describe in a comprehensive manner the current understanding of the radiation response of state-of-the-art Silicon-on-Insulator (SOI) and FinFET CMOS technologies. Total Ionizing Dose (TID) response, heavy-ion microdose effects and single-event effects (SEEs) will be discussed. It is shown that a very high TID tolerance can be achieved by narrow-fin SOI FinFET architectures, while bulk FinFETs may exhibit similar TID response to the planar devices. Due to the vertical nature of FinFETs, a specific heavy-ion response can be obtained, whereby the angle of incidence becomes highly important with respect to the vertical sidewall gates. With respect to SEE, the buried oxide in the SOI FinFETs suppresses the diffusion tails from the charge collection in the substrate compared to the planar bulk FinFET devices. Channel lengths and fin widths are now comparable to, or smaller than the dimensions of the region affected by the single ionizing ions or lasers used in testing. This gives rise to a high degree of sensitivity to individual device parameters and source-drain shunting during ion-beam or laser-beam SEE testing. Simulations are used to illuminate the mechanisms observed in radiation testing and the progress and needs for the numerical modeling/simulation of the radiation response of advanced SOI and FinFET transistors are highlighted.

  12. Recent Design Development in Molecular Imaging for Breast Cancer Detection Using Nanometer CMOS Based Sensors.

    PubMed

    Nguyen, Dung C; Ma, Dongsheng Brian; Roveda, Janet M W

    2012-01-01

    As one of the key clinical imaging methods, the computed X-ray tomography can be further improved using new nanometer CMOS sensors. This will enhance the current technique's ability in terms of cancer detection size, position, and detection accuracy on the anatomical structures. The current paper reviewed designs of SOI-based CMOS sensors and their architectural design in mammography systems. Based on the existing experimental results, using the SOI technology can provide a low-noise (SNR around 87.8 db) and high-gain (30 v/v) CMOS imager. It is also expected that, together with the fast data acquisition designs, the new type of imagers may play important roles in the near-future high-dimensional images in additional to today's 2D imagers.

  13. Silicon-based Coulomb blockade thermometer with Schottky barriers

    NASA Astrophysics Data System (ADS)

    Tuboltsev, V.; Savin, A.; Rogozin, V. D.; Räisänen, J.

    2014-04-01

    A hybrid Coulomb blockade thermometer (CBT) in form of an array of intermittent aluminum and silicon islands connected in series via tunnel junctions was fabricated on a thin silicon-on-insulator (SOI) film. Tunnel barriers in the micrometer size junctions were formed by metal-semiconductor Schottky contacts between aluminium electrodes and heavily doped silicon. Differential conductance through the array vs. bias voltage was found to exhibit characteristic features of competing thermal and charging effects enabling absolute temperature measurements over the range of ˜65 to ˜500 mK. The CBT performance implying the primary nature of the thermometer demonstrated for rather trivial architecture attempted in this work paves a route for introduction of Coulomb blockade thermometry into well-developed contemporary SOI technology.

  14. Si photonics technology for future optical interconnection

    NASA Astrophysics Data System (ADS)

    Zheng, Xuezhe; Krishnamoorthy, Ashok V.

    2011-12-01

    Scaling of computing systems require ultra-efficient interconnects with large bandwidth density. Silicon photonics offers a disruptive solution with advantages in reach, energy efficiency and bandwidth density. We review our progress in developing building blocks for ultra-efficient WDM silicon photonic links. Employing microsolder based hybrid integration with low parasitics and high density, we optimize photonic devices on SOI platforms and VLSI circuits on more advanced bulk CMOS technology nodes independently. Progressively, we successfully demonstrated single channel hybrid silicon photonic transceivers at 5 Gbps and 10 Gbps, and 80 Gbps arrayed WDM silicon photonic transceiver using reverse biased depletion ring modulators and Ge waveguide photo detectors. Record-high energy efficiency of less than 100fJ/bit and 385 fJ/bit were achieved for the hybrid integrated transmitter and receiver, respectively. Waveguide grating based optical proximity couplers were developed with low loss and large optical bandwidth to enable multi-layer intra/inter-chip optical interconnects. Thermal engineering of WDM devices by selective substrate removal, together with WDM link using synthetic wavelength comb, we significantly improved the device tuning efficiency and reduced the tuning range. Using these innovative techniques, two orders of magnitude tuning power reduction was achieved. And tuning cost of only a few 10s of fJ/bit is expected for high data rate WDM silicon photonic links.

  15. Multiple beam antenna/switch system study

    NASA Technical Reports Server (NTRS)

    1989-01-01

    In the study of the Multiple Beam Antenna/Switch for the space to ground link (SGL) uplink and downlink services, several issues related to system engineering, antenna, transmit/receive, and switch systems were addressed and the results are provided. Bandwidth allocation at Ku band is inadequate to serve the data rate requirements for the forward and return services. Rain and depolarization effects at EHF, especially at Ka band, pose a significant threat to the link availabilities at heavy rain areas. Hardware induced effects such as the nonlinear characteristics of the power amplifier may necessitate the use of linearizers and limiters. It is also important to identify the components that are susceptible to the space radiation effects and shield or redesign them with rad-hard technologies for meeting the requirements of the space environment.

  16. Carrier mobility degradation due to high dose implantation in ultrathin unstrained and strained silicon-on-insulator films

    NASA Astrophysics Data System (ADS)

    Dupré, C.; Ernst, T.; Hartmann, J.-M.; Andrieu, F.; Barnes, J.-P.; Rivallin, P.; Faynot, O.; Deleonibus, S.; Fazzini, P. F.; Claverie, A.; Cristoloveanu, S.; Ghibaudo, G.; Cristiano, F.

    2007-11-01

    Based on electrical measurements and transmission electron microscopy (TEM) imaging, we propose an explanation for the electron and hole mobility degradation with gate length reduction in metal-oxide-semiconductor field effect transistors (MOSFETs). We demonstrate that ion implantation, normally used for source/drain doping, is responsible for transport degradation for short-channel devices. Implantation impact on electrons and holes mobility was investigated both on silicon-on-insulator (SOI) and tensile strained silicon-on-insulator (sSOI) substrates. Wafers with ultrathin Si films (from 8 to 35 nm) were Ge implanted at 3 keV and various concentrations (from 5×1014 to 2×1015 atoms cm-2), then annealed at 600 °C for 1 h. Secondary ion mass spectrometry enabled us to quantify the Ge-implanted atoms concentrations. The end-of-range defects impact on mobility was investigated with the pseudo-MOSFET technique. Measurements showed a mobility decrease as the implantation dose increased. We demonstrated that sSOI mobility is more sensitive to implantation than SOI mobility, without any implantation-induced strain relaxation in sSOI (checked using the ultraviolet Raman technique). A 36% (25%) holes (electrons) mobility degradation was measured for sSOI, while SOI presented a 21% mobility degradation for holes and 5% for electrons. Finally, the electrical results were compared with morphological studies. Plan-view TEM showed the presence of interstitial defects formed during ion implantation and annealing. The defect density was estimated to be two times higher in sSOI than in SOI, which is in full agreement with electrical results mentioned before. The results are relevant for the optimization of the source and drain regions of advanced nanoscale SOI and sSOI transistors.

  17. Concurrent rib and pelvic fractures as an indicator of solid abdominal organ injury.

    PubMed

    Al-Hassani, Ammar; Afifi, Ibrahim; Abdelrahman, Husham; El-Menyar, Ayman; Almadani, Ammar; Recicar, Jan; Al-Thani, Hassan; Maull, Kimball; Latifi, Rifat

    2013-01-01

    To study the association of solid organ injuries (SOIs) in patients with concurrent rib and pelvic fractures. Retrospective analysis of prospectively collected data from November 2007 to May 2010. Patients' demographics, mechanism of injury, Injury severity scoring, pelvic fracture, and SOIs were analyzed. Patients with SOIs were compared in rib fractures with and without pelvic fracture. The study included 829 patients (460 with rib fractures ± pelvic fracture and 369 with pelvic fracture alone) with mean age of 35 ± 12.7 years. Motor vehicle crashes (45%) and falls from height (30%) were the most common mechanism of injury. The overall incidence of SOIs in this study was 22% (185/829). Further, 15% of patient with rib fractures had associated pelvic fracture. SOI was predominant in patients with concurrent rib fracture and pelvic fracture compared to ribs or pelvic fractures alone (42% vs. 26% vs. 15%, respectively, p = 0.02). Concurrent multiple rib fractures and pelvic fracture increases the risk of SOI compared to either group alone. Lower RFs and pelvic fracture had higher association for SOI and could be used as an early indicator of the presence of SOIs. Copyright © 2013 Surgical Associates Ltd. Published by Elsevier Ltd. All rights reserved.

  18. The Europa Imaging System (EIS), a Camera Suite to investigate Europa's Geology, Ice Shell, and Potential for Current Activity

    NASA Astrophysics Data System (ADS)

    Turtle, E. P.; McEwen, A. S.; Osterman, S. N.; Boldt, J. D.; Strohbehn, K.; EIS Science Team

    2016-10-01

    EIS NAC and WAC use identical rad-hard rapid-readout 4k × 2k CMOS detectors for imaging during close (≤25 km) fast ( 4.5 km/s) Europa flybys. NAC achieves 0.5 m/pixel over a 2-km swath from 50 km, and WAC provides context pushbroom stereo imaging.

  19. Co-integration of nano-scale vertical- and horizontal-channel metal-oxide-semiconductor field-effect transistors for low power CMOS technology.

    PubMed

    Sun, Min-Chul; Kim, Garam; Kim, Sang Wan; Kim, Hyun Woo; Kim, Hyungjin; Lee, Jong-Ho; Shin, Hyungcheol; Park, Byung-Gook

    2012-07-01

    In order to extend the conventional low power Si CMOS technology beyond the 20-nm node without SOI substrates, we propose a novel co-integration scheme to build horizontal- and vertical-channel MOSFETs together and verify the idea using TCAD simulations. From the fabrication viewpoint, it is highlighted that this scheme provides additional vertical devices with good scalability by adding a few steps to the conventional CMOS process flow for fin formation. In addition, the benefits of the co-integrated vertical devices are investigated using a TCAD device simulation. From this study, it is confirmed that the vertical device shows improved off-current control and a larger drive current when the body dimension is less than 20 nm, due to the electric field coupling effect at the double-gated channel. Finally, the benefits from the circuit design viewpoint, such as the larger midpoint gain and beta and lower power consumption, are confirmed by the mixed-mode circuit simulation study.

  20. Submicron mapping of strained silicon-on-insulator features induced

    NASA Astrophysics Data System (ADS)

    Murray, Conal E.; Sankarapandian, M.; Polvino, S. M.; Noyan, I. C.; Lai, B.; Cai, Z.

    2007-04-01

    Real-space maps of strain within silicon-on-insulator (SOI) features induced by adjacent, embedded shallow-trench-isolation (STI) SiO2 regions were obtained using x-ray microbeam diffraction. The quantitative strain mapping indicated that the SOI strain was largest at the SOI/STI interface and decreased as a function of distance from this interface. An out-of-plane residual strain of approximately -31μɛ was observed in the blanket regions of the SOI. A comparison of the depth-averaged strain distributions to the strain profiles calculated from an Eshelby inclusion model indicated an equivalent eigenstrain of -0.55% in the STI regions acting on the SOI features.

  1. Silicon photonics: Design, fabrication, and characterization of on-chip optical interconnects

    NASA Astrophysics Data System (ADS)

    Hsieh, I.-Wei

    In recent years, the research field of silicon photonics has been developing rapidly from a concept to a demonstrated technology, and has gathered much attention from both academia and industry communities. Its many potential applications in long-haul telecommunication, mid-range data-communication, on-chip optical interconnection networks, and nano-scale sensing as well as its compatibility with electronic integrated circuits have driven much effort in realizing silicon photonics both as a disruptive technology for existing markets and as an enabling technology for new ones. Despite the promising future of silicon photonics, many fundamental issues still remain to be understood---both in the linear- and nonlinear-optical regimes. There are also many engineering challenges to make silicon photonics the gold standard in photonic integrated circuits. In this thesis, we focus on the design, fabrication, and characterization of active and passive silicon-on-insulator (SOI) photonic devices. The SOI material system differs from most conventional optical material platforms because of its high-refractive-index-contrast, which enables engineers to design very compact integrated photonic networks with sub-micron transverse waveguide dimensions and sharp bends. On the other hand, because most analytical formulas for designing waveguide devices are valid only in low-index-contrast cases, SOI photonic devices need to be analyzed numerically for accurate results. The second chapter of this thesis describes some common numerical methods such as Beam Propagation Method (BPM) and Finite Element Method (FEM) for waveguide-design simulations, and presents two design studies based on these methods. The compatibility of silicon photonic integrated circuits with conventional CMOS fabrication technology is another important aspect that distinguishes silicon photonics from others such as III-V materials and lithium niobate. However, the requirements for fabricating silicon photonic

  2. Radiation hardened microprocessor for small payloads

    NASA Technical Reports Server (NTRS)

    Shah, Ravi

    1993-01-01

    The RH-3000 program is developing a rad-hard space qualified 32-bit MIPS R-3000 RISC processor under the Naval Research Lab sponsorship. In addition, under IR&D Harris is developing RHC-3000 for embedded control applications where low cost and radiation tolerance are primary concerns. The development program leverages heavily from commercial development of the MIPS R-3000. The commercial R-3000 has a large installed user base and several foundry partners are currently producing a wide variety of R-3000 derivative products. One of the MIPS derivative products, the LR33000 from LSI Logic, was used as the basis for the design of the RH-3000 chipset. The RH-3000 chipset consists of three core chips and two support chips. The core chips include the CPU, which is the R-3000 integer unit and the FPA/MD chip pair, which performs the R-3010 floating point functions. The two support whips contain all the support functions required for fault tolerance support, real-time support, memory management, timers, and other functions. The Harris development effort had first passed silicon success in June, 1992 with the first rad-hard 32-bit RH-3000 CPU chip. The CPU device is 30 kgates, has a 508 mil by 503 mil die size and is fabricated at Harris Semiconductor on the rad-hard CMOS Silicon on Sapphire (SOS) process. The CPU device successfully passed tesing against 600,000 test vectors derived directly on the LSI/MIPS test suite and has been operational as a single board computer running C code for the past year. In addition, the RH-3000 program has developed the methodology for converting commercially developed designs utilizing logic synthesis techniques based on a combination of VHDK and schematic data bases.

  3. Experimental verification of layout physical verification of silicon photonics

    NASA Astrophysics Data System (ADS)

    El Shamy, Raghi S.; Swillam, Mohamed A.

    2018-02-01

    Silicon photonics have been approved as one of the best platforms for dense integration of photonic integrated circuits (PICs) due to the high refractive index contrast among its materials. Silicon on insulator (SOI) is a widespread photonics technology, which support a variety of devices for lots of applications. As the photonics market is growing, the number of components in the PICs increases which increase the need for an automated physical verification (PV) process. This PV process will assure reliable fabrication of the PICs as it will check both the manufacturability and the reliability of the circuit. However, PV process is challenging in the case of PICs as it requires running an exhaustive electromagnetic (EM) simulations. Our group have recently proposed an empirical closed form models for the directional coupler and the waveguide bends based on the SOI technology. The models have shown a very good agreement with both finite element method (FEM) and finite difference time domain (FDTD) solvers. These models save the huge time of the 3D EM simulations and can be easily included in any electronic design automation (EDA) flow as the equations parameters can be easily extracted from the layout. In this paper we present experimental verification for our previously proposed models. SOI directional couplers with different dimensions have been fabricated using electron beam lithography and measured. The results from the measurements of the fabricate devices have been compared to the derived models and show a very good agreement. Also the matching can reach 100% by calibrating certain parameter in the model.

  4. Imaging of the native inversion layer in Silicon-On-Insulator wafers via Scanning Surface Photovoltage: Implications for RF device performance

    NASA Astrophysics Data System (ADS)

    Dahanayaka, Daminda; Wong, Andrew; Kaszuba, Philip; Moszkowicz, Leon; Slinkman, James; IBM SPV Lab Team

    2014-03-01

    Silicon-On-Insulator (SOI) technology has proved beneficial for RF cell phone technologies, which have equivalent performance to GaAs technologies. However, there is evident parasitic inversion layer under the Buried Oxide (BOX) at the interface with the high resistivity Si substrate. The latter is inferred from capacitance-voltage measurements on MOSCAPs. The inversion layer has adverse effects on RF device performance. We present data which, for the first time, show the extent of the inversion layer in the underlying substrate. This knowledge has driven processing techniques to suppress the inversion.

  5. Decadal variations in the strength of ENSO teleconnections with precipitation in the western United States

    USGS Publications Warehouse

    McCabe, G.J.; Dettinger, M.D.

    1999-01-01

    Changing patterns of correlations between the historical average June-November Southern Oscillation Index (SOI) and October-March precipitation totals for 84 climate divisions in the western US indicate a large amount of variability in SOI/precipitation relations on decadal time scales. Correlations of western US precipitation with SOI and other indices of tropical El Nino-Southern Oscillation (ENSO) processes were much weaker from 1920 to 1950 than during recent decades. This variability in teleconnections is associated with the character of tropical air-sea interactions as indexed by the number of out-of-phase SOI/tropical sea surface temperature (SST) episodes, and with decadal variability in the North Pacific Ocean as indexed by the Pacific Decadal Oscillation (PDO). ENSO teleconnections with precipitation in the western US are strong when SOI and NINO3 are out-of-phase and PDO is negative. ENSO teleconnections are weak when SOI and NINO3 are weakly correlated and PDO is positive. Decadal modes of tropical and North Pacific Ocean climate variability are important indicators of periods when ENSO indices, like SOI, can be used as reliable predictors of winter precipitation in the US.

  6. An Overview of Power, Energy Storage, and Conversion Efforts for 2014 SBIR Phases I and II

    NASA Technical Reports Server (NTRS)

    Nguyen, Hung D.; Steele, Gynelle C.

    2016-01-01

    Technological innovation is the overall focus of NASA's Small Business Innovation Research (SBIR) program. The program invests in the development of innovative concepts and technologies to help NASA's mission directorates address critical research and development needs for agency projects. NASA's Small Business Innovation Research (SBIR) program focuses on technological innovation by investing in development of innovative concepts and technologies to help NASA mission directorates address critical research needs for Agency programs. This report highlights 15 of the innovative SBIR 2014 Phase I and II projects that focus on one of NASA Glenn Research Center's six core competencies-Power, Energy Storage and Conversion. The technologies cover a wide spectrum of applications such as high-radiation-tolerant ceramic voltage isolators, development of hermetic sealing glasses for solid oxide fuel cells, rechargeable lithium metal cells, high-efficiency direct methane solid oxide fuel cell systems, Li metal protection for high-energy space batteries, isolated bidirectional direct current converters for distributed battery energy applications, and high-efficiency rad-hard ultrathin Si photovoltaic cell technology for space. Each article describes an innovation and technical objective and highlights NASA commercial and industrial applications. This report provides an opportunity for NASA engineers, researchers, and program managers to learn how NASA SBIR technologies could help their programs and projects, and lead to collaborations and partnerships between the small SBIR companies and NASA that would benefit both.

  7. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brewer, Forrest; Incandela, Joseph

    This project was slated to design and develop Rad-Hard IP components for 1Gb/s links and supporting hardware designs such as PLL, SER/DES, pad drivers and receivers and custom protocol hardware for the 1Gb/s channel. Also included in the proposal was a study of a hardened memory to be used as a packet buffer for channel and data concentrator components to meet the 1 Gb/s specification. Over the course of the proposal, technology change and innovation of hardware designs lead us away from the 1 Gb/s goal to contemplate much higher performance link IP which, we believed better met the goalsmore » of physics experiments. Note that CERN microelectronics had managed to create a 4.7 Gb/s link designed to drive optical fibers and containing infrastructure for connecting much lower bandwidth front-end devices. Our own work to that point had shown the possibility of constructing a link with much lower power, lower physical overhead but of equivalent performance that could be designed to integrate directly onto the front-end ASIC (ADC and data encoding) designs. Substantial overall power savings and experimental simplicity could be achieved by eliminating data transmission to data concentrators and data concentrators and related hardened buffering themselves, with conversion to optical media at a removed distance from the experiment core. We had already developed and tested Rad-Hard SER/DES components (1Gb in 130nm standard cells) and redundant Pad Drivers/Receivers (3+ Gb/s designed and measured performance), and had a viable 1Gb/s link design based on redundant a stuttered clock receiver and classical PLL, so the basic goals of the proposal had been achieved. Below, in chronological order, are the products and tools we constructed, as well as our tests and publications.« less

  8. Mixed-signal 0.18μm CMOS and SiGe BiCMOS foundry technologies for ROIC applications

    NASA Astrophysics Data System (ADS)

    Kar-Roy, Arjun; Howard, David; Racanelli, Marco; Scott, Mike; Hurwitz, Paul; Zwingman, Robert; Chaudhry, Samir; Jordan, Scott

    2010-10-01

    Today's readout integrated-circuits (ROICs) require a high level of integration of high performance analog and low power digital logic. TowerJazz offers a commercial 0.18μm CMOS technology platform for mixed-signal, RF, and high performance analog applications which can be used for ROIC applications. The commercial CA18HD dual gate oxide 1.8V/3.3V and CA18HA dual gate oxide 1.8V/5V RF/mixed signal processes, consisting of six layers of metallization, have high density stacked linear MIM capacitors, high-value resistors, triple-well isolation and thick top aluminum metal. The CA18HA process also has scalable drain extended LDMOS devices, up to 40V Vds, for high-voltage sensor applications, and high-performance bipolars for low noise requirements in ROICs. Also discussed are the available features of the commercial SBC18 SiGe BiCMOS platform with SiGe NPNs operating up to 200/200GHz (fT/fMAX frequencies in manufacturing and demonstrated to 270 GHz fT, for reduced noise and integrated RF capabilities which could be used in ROICs. Implementation of these technologies in a thick film SOI process for integrated RF switch and power management and the availability of high fT vertical PNPs to enable complementary BiCMOS (CBiCMOS), for RF enabled ROICs, are also described in this paper.

  9. Fabrication of ultrathin and highly uniform silicon on insulator by numerically controlled plasma chemical vaporization machining.

    PubMed

    Sano, Yasuhisa; Yamamura, Kazuya; Mimura, Hidekazu; Yamauchi, Kazuto; Mori, Yuzo

    2007-08-01

    Metal-oxide semiconductor field-effect transistors fabricated on a silicon-on-insulator (SOI) wafer operate faster and at a lower power than those fabricated on a bulk silicon wafer. Scaling down, which improves their performances, demands thinner SOI wafers. In this article, improvement on the thinning of SOI wafers by numerically controlled plasma chemical vaporization machining (PCVM) is described. PCVM is a gas-phase chemical etching method in which reactive species generated in atmospheric-pressure plasma are used. Some factors affecting uniformity are investigated and methods for improvements are presented. As a result of thinning a commercial 8 in. SOI wafer, the initial SOI layer thickness of 97.5+/-4.7 nm was successfully thinned and made uniform at 7.5+/-1.5 nm.

  10. Area efficient layout design of CMOS circuit for high-density ICs

    NASA Astrophysics Data System (ADS)

    Mishra, Vimal Kumar; Chauhan, R. K.

    2018-01-01

    Efficient layouts have been an active area of research to accommodate the greater number of devices fabricated on a given chip area. In this work a new layout of CMOS circuit is proposed, with an aim to improve its electrical performance and reduce the chip area consumed. The study shows that the design of CMOS circuit and SRAM cells comprising tapered body reduced source fully depleted silicon on insulator (TBRS FD-SOI)-based n- and p-type MOS devices. The proposed TBRS FD-SOI n- and p-MOSFET exhibits lower sub-threshold slope and higher Ion to Ioff ratio when compared with FD-SOI MOSFET and FinFET technology. Other parameters like power dissipation, delay time and signal-to-noise margin of CMOS inverter circuits show improvement when compared with available inverter designs. The above device design is used in 6-T SRAM cell so as to see the effect of proposed layout on high density integrated circuits (ICs). The SNM obtained from the proposed SRAM cell is 565 mV which is much better than any other SRAM cell designed at 50 nm gate length MOS device. The Sentaurus TCAD device simulator is used to design the proposed MOS structure.

  11. Southern Ocean Climate and Sea Ice Anomalies Associated with the Southern Oscillation.

    NASA Astrophysics Data System (ADS)

    Kwok, R.; Comiso, J. C.

    2002-03-01

    The anomalies in the climate and sea ice cover of the Southern Ocean and their relationships with the Southern Oscillation (SO) are investigated using a 17-yr dataset from 1982 to 1998. The polar climate anomalies are correlated with the Southern Oscillation index (SOI) and the composites of these anomalies are examined under the positive (SOI > 0), neutral (0 > SOI > 1), and negative (SOI < 1) phases of SOI. The climate dataset consists of sea level pressure, wind, surface air temperature, and sea surface temperature fields, while the sea ice dataset describes its extent, concentration, motion, and surface temperature. The analysis depicts, for the first time, the spatial variability in the relationship of the above variables with the SOI. The strongest correlation between the SOI and the polar climate anomalies are found in the Bellingshausen, Amundsen, and Ross Seas. The composite fields reveal anomalies that are organized in distinct large-scale spatial patterns with opposing polarities at the two extremes of SOI, and suggest oscillations that are closely linked to the SO. Within these sectors, positive (negative) phases of the SOI are generally associated with lower (higher) sea level pressure, cooler (warmer) surface air temperature, and cooler (warmer) sea surface temperature in these sectors. Associations between these climate anomalies and the behavior of the Antarctic sea ice cover are evident. Recent anomalies in the sea ice cover that are clearly associated with the SOI include the following: the record decrease in the sea ice extent in the Bellingshausen Sea from mid-1988 to early 1991; the relationship between Ross Sea SST and the ENSO signal, and reduced sea ice concentration in the Ross Sea; and the shortening of the ice season in the eastern Ross Sea, Amundsen Sea, far western Weddell Sea and lengthening of the ice season in the western Ross Sea, Bellinghausen Sea, and central Weddell Sea gyre during the period 1988-94. Four ENSO episodes over the

  12. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshizumi, K.; Sasaki, A.; Kohda, M.

    We demonstrate gate-controlled switching between persistent spin helix (PSH) state and inverse PSH state, which are detected by quantum interference effect on magneto-conductance. These special symmetric spin states showing weak localization effect give rise to a long spin coherence when the strength of Rashba spin-orbit interaction (SOI) is close to that of Dresselhaus SOI. Furthermore, in the middle of two persistent spin helix states, where the Rashba SOI can be negligible, the bulk Dresselhaus SOI parameter in a modulation doped InGaAs/InAlAs quantum well is determined.

  13. Feature Extraction and Classification of Magnetic and EMI Data, Camp Beale, CA

    DTIC Science & Technology

    2012-05-01

    and non-specialists. However, as part of ESTCP 1004 we are presently working on transitioning our inversion algorithms to an API that will be...10 0 Time (ms) Cell 663 - Target 1965 - Model 1 (SOI) ISO IVS 0.001 0.005 10 0 Time (ms) Cell 1104 - Target 2532 - Model 1 (SOI) ISO IVS...0.0 1 0.005 10 0 Time (ms) Cell 663 - Target 1965 - Model 1 (SOI) ISO IVS 0.0 1 0.005 10 0 Time (ms) Cell 1104 - Target 2532 - Model 1 (SOI

  14. Single-event upset in highly scaled commercial silicon-on-insulator PowerPc microprocessors

    NASA Technical Reports Server (NTRS)

    Irom, Farokh; Farmanesh, Farhad H.

    2004-01-01

    Single event upset effects from heavy ions are measured for Motorola and IBM silicon-on-insulator (SOI) microprocessors with different feature sizes, and core voltages. The results are compared with results for similar devices with build substrates. The cross sections of the SOI processors are lower than their bulk counterparts, but the threshold is about the same, even though the charge collections depth is more than an order of magnitude smaller in the SOI devices. The scaling of the cross section with reduction of feature size and core voltage dependence for SOI microprocessors discussed.

  15. Soft-light overhead illumination systems improve laparoscopic task performance.

    PubMed

    Takai, Akihiro; Takada, Yasutsugu; Motomura, Hideki; Teramukai, Satoshi

    2014-02-01

    The aim of this study was to evaluate the impact of attached shadow cues for laparoscopic task performance. We developed a soft-light overhead illumination system (SOIS) that produced attached shadows on objects. We compared results using the SOIS with those using a conventional illumination system with regard to laparoscopic experience and laparoscope-to-target distances (LTDs). Forty-two medical students and 23 surgeons participated in the study. A peg transfer task (LTD, 120 mm) for students and surgeons, and a suture removal task (LTD, 30 mm) for students were performed. Illumination systems were randomly assigned to each task. Endpoints were: total number of peg transfers; percentage of peg-dropping errors; and total execution time for suture removal. After the task, participants filled out a questionnaire on their preference for a particular illumination system. Total number of peg transfers was greater with the SOIS for both students and surgeons. Percentage of peg-dropping errors for surgeons was lower with the SOIS. Total execution time for suture removal was shorter with the SOIS. Forty-five participants (69% in total) evaluated the SOIS for easier task performance. The present results confirm that the SOIS improves laparoscopic task performance, regardless of previous laparoscopic experience or LTD.

  16. Defense Small Business Innovation Research Program (SBIR). Volume 3. Air Force Projects, Abstracts of Phase 1 Awards from FY 1989 SBIR Solicitation

    DTIC Science & Technology

    1990-04-01

    MISSION REQUIREMENTS. THE MATRIX MATERIALS PROPOSED FOR THIS PHASE I INVESTIGATION ARE POLYETHER ETHER KETON (PEEK) AND POLYBUTELENE TERAPHTHALATE (PBT...NOISE AND RADIATION HARD, PARTICULARLY RADIATION HARD AGAINST NEUTRON IRRADIATION. A PROPOSAL IS MADE FOR THE DEVELOPMENT OF AN INNOVATIVE TECHNOLOGY...AND RADIATION -HARD APPLICATIONS. THE SOI WAFER WILL ELIMINATE LATCH-UP EFFECTS, REDUCE NEUTRON -CAPTURE VOLUME AND PROVIDE ELECTRICAL ISOLATION FOR

  17. Asymptomatic Hepadnaviral Persistence and Its Consequences in the Woodchuck Model of Occult Hepatitis B Virus Infection

    PubMed Central

    Mulrooney-Cousins, Patricia M.; Michalak, Tomasz I.

    2015-01-01

    Woodchuck hepatitis virus (WHV) is molecularly and pathogenically closely related to hepatitis B virus (HBV). Both viruses display tropism towards hepatocytes and cells of the immune system and cause similar liver pathology, where acute hepatitis can progress to chronic hepatitis and to hepatocellular carcinoma (HCC). Two forms of occult hepadnaviral persistence were identified in the woodchuck-WHV model: secondary occult infection (SOI) and primary occult infection (POI). SOI occurs after resolution of a serologically apparent infection with hepatitis or after subclinical serologically evident virus exposure. POI is caused by small amounts of virus and progresses without serological infection markers, but the virus genome and its replication are detectable in the immune system and with time in the liver. SOI can be accompanied by minimal hepatitis, while the hallmark of POI is normal liver morphology. Nonetheless, HCC develops in about 20% of animals with SOI or POI within 3 to 5 years. The virus persists throughout the lifespan in both SOI and POI at serum levels rarely greater than 100 copies/mL, causes hepatitis and HCC when concentrated and administered to virus-naïve woodchucks. SOI is accompanied by virus-specific T and B cell immune responses, while only virus-specific T cells are detected in POI. SOI coincides with protection against reinfection, while POI does not and hepatitis develops after challenge with liver pathogenic doses >1000 virions. Both SOI and POI are associated with virus DNA integration into the liver and the immune system genomes. Overall, SOI and POI are two distinct forms of silent hepadnaviral persistence that share common characteristics. Here, we review findings from the woodchuck model and discuss the relevant observations made in human occult HBV infection (OBI). PMID:26623268

  18. Asymptomatic Hepadnaviral Persistence and Its Consequences in the Woodchuck Model of Occult Hepatitis B Virus Infection.

    PubMed

    Mulrooney-Cousins, Patricia M; Michalak, Tomasz I

    2015-09-28

    Woodchuck hepatitis virus (WHV) is molecularly and pathogenically closely related to hepatitis B virus (HBV). Both viruses display tropism towards hepatocytes and cells of the immune system and cause similar liver pathology, where acute hepatitis can progress to chronic hepatitis and to hepatocellular carcinoma (HCC). Two forms of occult hepadnaviral persistence were identified in the woodchuck-WHV model: secondary occult infection (SOI) and primary occult infection (POI). SOI occurs after resolution of a serologically apparent infection with hepatitis or after subclinical serologically evident virus exposure. POI is caused by small amounts of virus and progresses without serological infection markers, but the virus genome and its replication are detectable in the immune system and with time in the liver. SOI can be accompanied by minimal hepatitis, while the hallmark of POI is normal liver morphology. Nonetheless, HCC develops in about 20% of animals with SOI or POI within 3 to 5 years. The virus persists throughout the lifespan in both SOI and POI at serum levels rarely greater than 100 copies/mL, causes hepatitis and HCC when concentrated and administered to virus-naïve woodchucks. SOI is accompanied by virus-specific T and B cell immune responses, while only virus-specific T cells are detected in POI. SOI coincides with protection against reinfection, while POI does not and hepatitis develops after challenge with liver pathogenic doses >1000 virions. Both SOI and POI are associated with virus DNA integration into the liver and the immune system genomes. Overall, SOI and POI are two distinct forms of silent hepadnaviral persistence that share common characteristics. Here, we review findings from the woodchuck model and discuss the relevant observations made in human occult HBV infection (OBI).

  19. Waveguide-integrated vertical pin photodiodes of Ge fabricated on p+ and n+ Si-on-insulator layers

    NASA Astrophysics Data System (ADS)

    Ito, Kazuki; Hiraki, Tatsurou; Tsuchizawa, Tai; Ishikawa, Yasuhiko

    2017-04-01

    Vertical pin structures of Ge photodiodes (PDs) integrated with Si optical waveguides are fabricated by depositing Ge epitaxial layers on Si-on-insulator (SOI) layers, and the performances of n+-Ge/i-Ge/p+-SOI PDs are compared with those of p+-Ge/i-Ge/n+-SOI PDs. Both types of PDs show responsivities as high as 1.0 A/W at 1.55 µm, while the dark leakage current is different, which is consistent with previous reports on free-space PDs formed on bulk Si wafers. The dark current of the p+-Ge/i-Ge/n+-SOI PDs is higher by more than one order of magnitude. Taking into account the activation energies for dark current as well as the dependence on PD area, the dark current of the n+-Ge/i-Ge/p+-SOI PDs is dominated by the thermal generation of carriers via mid-gap defect levels in Ge, while for the p+-Ge/i-Ge/n+-SOI PDs, the dark current is ascribed to not only thermal generation but also other mechanisms such as locally formed conduction paths.

  20. A microarchitecture for resource-limited superscalar microprocessors

    NASA Astrophysics Data System (ADS)

    Basso, Todd David

    1999-11-01

    Microelectronic components in space and satellite systems must be resistant to total dose radiation, single-even upset, and latchup in order to accomplish their missions. The demand for inexpensive, high-volume, radiation hardened (rad-hard) integrated circuits (ICs) is expected to increase dramatically as the communication market continues to expand. Motorola's Complementary Gallium Arsenide (CGaAsTM) technology offers superior radiation tolerance compared to traditional CMOS processes, while being more economical than dedicated rad-hard CMOS processes. The goals of this dissertation are to optimize a superscalar microarchitecture suitable for CGaAsTM microprocessors, develop circuit techniques for such applications, and evaluate the potential of CGaAsTM for the development of digital VLSI circuits. Motorola's 0.5 mum CGaAsTM process is summarized and circuit techniques applicable to digital CGaAsTM are developed. Direct coupled FET, complementary, and domino logic circuits are compared based on speed, power, area, and noise margins. These circuit techniques are employed in the design of a 600 MHz PowerPCTM arithmetic logic unit. The dissertation emphasizes CGaASTM-specific design considerations, specifically, low integration level. A baseline superscalar microarchitecture is defined and SPEC95 integer benchmark simulations are used to evaluate the applicability of advanced architectural features to microprocessors having low integration levels. The performance simulations center around the optimization of a simple superscalar core, small-scale branch prediction, instruction prefetching, and an off-chip primary data cache. The simulation results are used to develop a superscalar microarchitecture capable of outperforming a comparable sequential pipeline, while using only 500,000 transistors. The architecture, running at 200 MHz, is capable of achieving an estimated 153 MIPS, translating to a 27% performance increase over a comparable traditional pipelined

  1. Sociosexuality from Argentina to Zimbabwe: a 48-nation study of sex, culture, and strategies of human mating.

    PubMed

    Schmitt, David P

    2005-04-01

    The Sociosexual Orientation Inventory (SOI; Simpson & Gangestad 1991) is a self-report measure of individual differences in human mating strategies. Low SOI scores signify that a person is sociosexually restricted, or follows a more monogamous mating strategy. High SOI scores indicate that an individual is unrestricted, or has a more promiscuous mating strategy. As part of the International Sexuality Description Project (ISDP), the SOI was translated from English into 25 additional languages and administered to a total sample of 14,059 people across 48 nations. Responses to the SOI were used to address four main issues. First, the psychometric properties of the SOI were examined in cross-cultural perspective. The SOI possessed adequate reliability and validity both within and across a diverse range of modem cultures. Second, theories concerning the systematic distribution of sociosexuality across cultures were evaluated. Both operational sex ratios and reproductively demanding environments related in evolutionary-predicted ways to national levels of sociosexuality. Third, sex differences in sociosexuality were generally large and demonstrated cross-cultural universality across the 48 nations of the ISDP, confirming several evolutionary theories of human mating. Fourth, sex differences in sociosexuality were significantly larger when reproductive environments were demanding but were reduced to more moderate levels in cultures with more political and economic gender equality. Implications for evolutionary and social role theories of human sexuality are discussed.

  2. Pure gauge spin-orbit couplings

    NASA Astrophysics Data System (ADS)

    Shikakhwa, M. S.

    2017-01-01

    Planar systems with a general linear spin-orbit interaction (SOI) that can be cast in the form of a non-Abelian pure gauge field are investigated using the language of non-Abelian gauge field theory. A special class of these fields that, though a 2×2 matrix, are Abelian are seen to emerge and their general form is given. It is shown that the unitary transformation that gauges away these fields induces at the same time a rotation on the wave function about a fixed axis but with a space-dependent angle, both of which being characteristics of the SOI involved. The experimentally important case of equal-strength Rashba and Dresselhaus SOI (R+D SOI) is shown to fall within this special class of Abelian gauge fields, and the phenomenon of persistent spin helix (PSH) that emerges in the presence of this latter SOI in a plane is shown to fit naturally within the general formalism developed. The general formalism is also extended to the case of a particle confined to a ring. It is shown that the Hamiltonian on a ring in the presence of equal-strength R+D SOI is unitarily equivalent to that of a particle subject to only a spin-independent but θ-dependent potential with the unitary transformation relating the two being again the space-dependent rotation operator characteristic of R+D SOI.

  3. What Happens After the Demonstration Phase? The Sustainability of Canada's At Home/Chez Soi Housing First Programs for Homeless Persons with Mental Illness.

    PubMed

    Nelson, Geoffrey; Caplan, Rachel; MacLeod, Timothy; Macnaughton, Eric; Cherner, Rebecca; Aubry, Tim; Méthot, Christian; Latimer, Eric; Piat, Myra; Plenert, Erin; McCullough, Scott; Zell, Sarah; Patterson, Michelle; Stergiopoulos, Vicky; Goering, Paula

    2017-03-01

    This research examined the sustainability of Canada's At Home/Chez Soi Housing First (HF) programs for homeless persons with mental illness 2 years after the end of the demonstration phase of a large (more than 2000 participants enrolled), five-site, randomized controlled trial. Qualitative interviews were conducted with 142 participants (key informants, HF staff, and persons with lived experience) to understand sustainability outcomes and factors that influenced those outcomes. Also, a self-report HF fidelity measure was completed for nine HF programs that continued after the demonstration project. A cross-site analysis was performed, using the five sites as case studies. The findings revealed that nine of the 12 HF programs (75%) were sustained, and that seven of the nine programs reported a high level of fidelity (achieving an overall score of 3.5 or higher on a 4-point scale). The sites varied in terms of the level of systems integration and expansion of HF that were achieved. Factors that promoted or impeded sustainability were observed at multiple ecological levels: broad contextual (i.e., dissemination of research evidence, the policy context), community (i.e., partnerships, the presence of HF champions), organizational (i.e., leadership, ongoing training, and technical assistance), and individual (i.e., staff turnover, changes, and capacity). The findings are discussed in terms of the implementation science literature and their implications for how evidence-based programs like HF can be sustained. © Society for Community Research and Action 2017.

  4. Multi-wafer bonding technology for the integration of a micromachined Mirau interferometer

    NASA Astrophysics Data System (ADS)

    Wang, Wei-Shan; Lullin, Justine; Froemel, Joerg; Wiemer, Maik; Bargiel, Sylwester; Passilly, Nicolas; Gorecki, Christophe; Gessner, Thomas

    2015-02-01

    The paper presents the multi-wafer bonding technology as well as the integration of electrical connection to the zscanner wafer of the micromachined array-type Mirau interferometer. A Mirau interferometer, which is a key-component of optical coherence tomography (OCT) microsystem, consists of a microlens doublet, a MOEMS Z-scanner, a focusadjustment spacer and a beam splitter plate. For the integration of this MOEMS device heterogeneous bonding of Si, glass and SOI wafers is necessary. Previously, most of the existing methods for multilayer wafer bonding require annealing at high temperature, i.e., 1100°C. To be compatible with MEMS devices, bonding of different material stacks at temperatures lower than 400°C has also been investigated. However, if more components are involved, it becomes less effective due to the alignment accuracy or degradation of surface quality of the not-bonded side after each bonding operation. The proposed technology focuses on 3D integration of heterogeneous building blocks, where the assembly process is compatible with the materials of each wafer stack and with position accuracy which fits optical requirement. A demonstrator with up to 5 wafers bonded lower than 400°C is presented and bond interfaces are evaluated. To avoid the complexity of through wafer vias, a design which creates electrical connections along vertical direction by mounting a wafer stack on a flip chip PCB is proposed. The approach, which adopts vertically-stacked wafers along with electrical connection functionality, provides not only a space-effective integration of MOEMS device but also a design where the Mirau stack can be further integrated with other components of the OCT microsystem easily.

  5. Guided Acoustic and Optical Waves in Silicon-on-Insulator for Brillouin Scattering and Optomechanics

    DTIC Science & Technology

    2016-08-01

    APL PHOTONICS 1, 071301 (2016) Guided acoustic and optical waves in silicon-on- insulator for Brillouin scattering and optomechanics Christopher J...is possible to simultaneously guide optical and acoustic waves in the technologically important silicon on insulator (SOI) material system. Thin...mechanism on which to base on-chip nonlinear optical devices compatible with a rapidly growing silicon photonics toolbox.3–9 While silicon on insulator

  6. Silicon-on-insulator-based polarization-independent 1×3 broadband beam splitter with adiabatic coupling

    NASA Astrophysics Data System (ADS)

    Gong, Yuanhao; Liu, Lei; Chang, Limin; Li, Zhiyong; Tan, Manqing; Yu, Yude

    2017-10-01

    We propose and numerically simulate a polarization-independent 1×3 broadband beam splitter based on silicon-on-insulator (SOI) technology with adiabatic coupling. The designed structure is simulated by beam-propagation-method (BPM) and gets simulated transmission uniformity of three outputs better than 0.3dB for TE-polarization and 0.8dB for TM-polarization in a broadband of 180nm.

  7. Effects of spin-orbit coupling and many-body correlations in STM transport through copper phthalocyanine.

    PubMed

    Siegert, Benjamin; Donarini, Andrea; Grifoni, Milena

    2015-01-01

    The interplay of exchange correlations and spin-orbit interaction (SOI) on the many-body spectrum of a copper phtalocyanine (CuPc) molecule and their signatures in transport are investigated. We first derive a minimal model Hamiltonian in a basis of frontier orbitals that is able to reproduce experimentally observed singlet-triplet splittings. In a second step SOI effects are included perturbatively. Major consequences of the SOI are the splitting of former degenerate levels and a magnetic anisotropy, which can be captured by an effective low-energy spin Hamiltonian. We show that scanning tunneling microscopy-based magnetoconductance measurements can yield clear signatures of both these SOI-induced effects.

  8. Large-scale quantum photonic circuits in silicon

    NASA Astrophysics Data System (ADS)

    Harris, Nicholas C.; Bunandar, Darius; Pant, Mihir; Steinbrecher, Greg R.; Mower, Jacob; Prabhu, Mihika; Baehr-Jones, Tom; Hochberg, Michael; Englund, Dirk

    2016-08-01

    Quantum information science offers inherently more powerful methods for communication, computation, and precision measurement that take advantage of quantum superposition and entanglement. In recent years, theoretical and experimental advances in quantum computing and simulation with photons have spurred great interest in developing large photonic entangled states that challenge today's classical computers. As experiments have increased in complexity, there has been an increasing need to transition bulk optics experiments to integrated photonics platforms to control more spatial modes with higher fidelity and phase stability. The silicon-on-insulator (SOI) nanophotonics platform offers new possibilities for quantum optics, including the integration of bright, nonclassical light sources, based on the large third-order nonlinearity (χ(3)) of silicon, alongside quantum state manipulation circuits with thousands of optical elements, all on a single phase-stable chip. How large do these photonic systems need to be? Recent theoretical work on Boson Sampling suggests that even the problem of sampling from e30 identical photons, having passed through an interferometer of hundreds of modes, becomes challenging for classical computers. While experiments of this size are still challenging, the SOI platform has the required component density to enable low-loss and programmable interferometers for manipulating hundreds of spatial modes. Here, we discuss the SOI nanophotonics platform for quantum photonic circuits with hundreds-to-thousands of optical elements and the associated challenges. We compare SOI to competing technologies in terms of requirements for quantum optical systems. We review recent results on large-scale quantum state evolution circuits and strategies for realizing high-fidelity heralded gates with imperfect, practical systems. Next, we review recent results on silicon photonics-based photon-pair sources and device architectures, and we discuss a path towards

  9. Rad-Hard/HI-REL FPGA

    NASA Technical Reports Server (NTRS)

    Wang, Jih-Jong; Cronquist, Brian E.; McGowan, John E.; Katz, Richard B.

    1997-01-01

    The goals for a radiation hardened (RAD-HARD) and high reliability (HI-REL) field programmable gate array (FPGA) are described. The first qualified manufacturer list (QML) radiation hardened RH1280 and RH1020 were developed. The total radiation dose and single event effects observed on the antifuse FPGA RH1280 are reported on. Tradeoffs and the limitations in the single event upset hardening are discussed.

  10. Monolithically Integrated InGaAs Nanowires on 3D Structured Silicon-on-Insulator as a New Platform for Full Optical Links.

    PubMed

    Kim, Hyunseok; Farrell, Alan C; Senanayake, Pradeep; Lee, Wook-Jae; Huffaker, Diana L

    2016-03-09

    Monolithically integrated III-V semiconductors on a silicon-on-insulator (SOI) platform can be used as a building block for energy-efficient on-chip optical links. Epitaxial growth of III-V semiconductors on silicon, however, has been challenged by the large mismatches in lattice constants and thermal expansion coefficients between epitaxial layers and silicon substrates. Here, we demonstrate for the first time the monolithic integration of InGaAs nanowires on the SOI platform and its feasibility for photonics and optoelectronic applications. InGaAs nanowires are grown not only on a planar SOI layer but also on a 3D structured SOI layer by catalyst-free metal-organic chemical vapor deposition. The precise positioning of nanowires on 3D structures, including waveguides and gratings, reveals the versatility and practicality of the proposed platform. Photoluminescence measurements exhibit that the composition of ternary InGaAs nanowires grown on the SOI layer has wide tunability covering all telecommunication wavelengths from 1.2 to 1.8 μm. We also show that the emission from an optically pumped single nanowire is effectively coupled and transmitted through an SOI waveguide, explicitly showing that this work lays the foundation for a new platform toward energy-efficient optical links.

  11. Spin transport and accumulation in n{sup +}-Si using Heusler compound Co{sub 2}FeSi/MgO tunnel contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ishikawa, Mizue, E-mail: mizue.ishikawa@toshiba.co.jp; Sugiyama, Hideyuki; Inokuchi, Tomoaki

    2015-08-31

    We investigate spin transport and accumulation in n{sup +}-Si using Heusler compound Co{sub 2}FeSi/MgO/Si on insulator (SOI) devices. The magnitudes of the non-local four- and three-terminal Hanle effect signals when using Heusler compound Co{sub 2}FeSi/MgO/SOI devices are larger than when using CoFe/MgO/SOI devices, whereas the preparation methods of MgO layers on SOI are exactly same in both devices. Different bias voltage dependencies on the magnitude of spin accumulation signals are also observed between these devices. Especially, Co{sub 2}FeSi/MgO/SOI devices show large spin accumulation signals compared with CoFe/MgO/SOI devices in the low bias voltage region less than ∼1000 mV in which themore » increase of the spin polarization is expected from the estimation of the density of states in Heusler compound Co{sub 2}FeSi and CoFe under spin extraction conditions. These results indicate that the species of ferromagnetic material definitely affects the magnitude and behavior of the spin signals. The use of highly polarized ferromagnets such as Heusler compounds would be important for improving the spin polarization and the magnitude of spin signals through Si channels.« less

  12. SSIART: Opening the Way to Wireless Sensor Networks On-Board Spacecraft with an Inter-Agency Research Environment

    NASA Astrophysics Data System (ADS)

    Gunes-Lasnet, Sev; Dufour, Jean-Francois

    2012-08-01

    The potential uses and benefits of wireless technologies in space are very broad. Since many years the CCSDS SOIS wireless working group has worked at the identification of key applications for which wireless would bring benefits, and at supporting the deployment of wireless in space thanks to documents, in particular a Green informative book and magenta books presenting recommended practices.The Smart Sensor Inter-Agency Research Test bench (SSIART) is being designed to provide the space Agencies and the Industry with a reference smart sensor platform to test wireless sensor technologies in reference representative applications and RF propagation environments, while promoting these technologies at the same time.

  13. Advanced CMOS Radiation Effects Testing and Analysis

    NASA Technical Reports Server (NTRS)

    Pellish, J. A.; Marshall, P. W.; Rodbell, K. P.; Gordon, M. S.; LaBel, K. A.; Schwank, J. R.; Dodds, N. A.; Castaneda, C. M.; Berg, M. D.; Kim, H. S.; hide

    2014-01-01

    Presentation at the annual NASA Electronic Parts and Packaging (NEPP) Program Electronic Technology Workshop (ETW). The material includes an update of progress in this NEPP task area over the past year, which includes testing, evaluation, and analysis of radiation effects data on the IBM 32 nm silicon-on-insulator (SOI) complementary metal oxide semiconductor (CMOS) process. The testing was conducted using test vehicles supplied by directly by IBM.

  14. Low Earth Orbit Satellite Tracking Telescope Network: Collaborative Optical Tracking for Enhanced Space Situational Awareness

    DTIC Science & Technology

    2015-03-27

    i.e., temporarily focusing on one object instead of wide area survey) or SOI collection on high interest objects (e.g., unidentified objects ...The Air Force Institute of Technology has spent the last seven years conducting research on orbit identification and object characterization of space... objects through the use of commercial-off-the-shelf hardware systems controlled via custom software routines, referred to simply as TeleTrak. Year

  15. Novel nanofluidic chemical cells based on self-assembled solid-state SiO2 nanotubes.

    PubMed

    Zhu, Hao; Li, Haitao; Robertson, Joseph W F; Balijepalli, Arvind; Krylyuk, Sergiy; Davydov, Albert V; Kasianowicz, John J; Suehle, John S; Li, Qiliang

    2017-10-27

    Novel nanofluidic chemical cells based on self-assembled solid-state SiO 2 nanotubes on silicon-on-insulator (SOI) substrate have been successfully fabricated and characterized. The vertical SiO 2 nanotubes with a smooth cavity are built from Si nanowires which were epitaxially grown on the SOI substrate. The nanotubes have rigid, dry-oxidized SiO 2 walls with precisely controlled nanotube inner diameter, which is very attractive for chemical-/bio-sensing applications. No dispersion/aligning procedures were involved in the nanotube fabrication and integration by using this technology, enabling a clean and smooth chemical cell. Such a robust and well-controlled nanotube is an excellent case of developing functional nanomaterials by leveraging the strength of top-down lithography and the unique advantage of bottom-up growth. These solid, smooth, clean SiO 2 nanotubes and nanofluidic devices are very encouraging and attractive in future bio-medical applications, such as single molecule sensing and DNA sequencing.

  16. Novel nanofluidic chemical cells based on self-assembled solid-state SiO2 nanotubes

    NASA Astrophysics Data System (ADS)

    Zhu, Hao; Li, Haitao; Robertson, Joseph W. F.; Balijepalli, Arvind; Krylyuk, Sergiy; Davydov, Albert V.; Kasianowicz, John J.; Suehle, John S.; Li, Qiliang

    2017-10-01

    Novel nanofluidic chemical cells based on self-assembled solid-state SiO2 nanotubes on silicon-on-insulator (SOI) substrate have been successfully fabricated and characterized. The vertical SiO2 nanotubes with a smooth cavity are built from Si nanowires which were epitaxially grown on the SOI substrate. The nanotubes have rigid, dry-oxidized SiO2 walls with precisely controlled nanotube inner diameter, which is very attractive for chemical-/bio-sensing applications. No dispersion/aligning procedures were involved in the nanotube fabrication and integration by using this technology, enabling a clean and smooth chemical cell. Such a robust and well-controlled nanotube is an excellent case of developing functional nanomaterials by leveraging the strength of top-down lithography and the unique advantage of bottom-up growth. These solid, smooth, clean SiO2 nanotubes and nanofluidic devices are very encouraging and attractive in future bio-medical applications, such as single molecule sensing and DNA sequencing.

  17. Rad-hard computer elements for space applications

    NASA Technical Reports Server (NTRS)

    Krishnan, G. S.; Longerot, Carl D.; Treece, R. Keith

    1993-01-01

    Space Hardened CMOS computer elements emulating a commercial microcontroller and microprocessor family have been designed, fabricated, qualified, and delivered for a variety of space programs including NASA's multiple launch International Solar-Terrestrial Physics (ISTP) program, Mars Observer, and government and commercial communication satellites. Design techniques and radiation performance of the 1.25 micron feature size products are described.

  18. Effect of strain on the electron effective mobility in biaxially strained silicon inversion layers: An experimental and theoretical analysis via atomic force microscopy measurements and Kubo-Greenwood mobility calculations

    NASA Astrophysics Data System (ADS)

    Bonno, Olivier; Barraud, Sylvain; Mariolle, Denis; Andrieu, François

    2008-03-01

    Recently, in order to explain the long-channel electron effective mobility at a high sheet carrier density in strained silicon channel transistors, it has been suggested by [M. V. Fischetti, F. Gamiz, and W. Hansch, J. Appl. Phys. 92, 7230 (2002)] that biaxial tensile strain should smooth the Si/SiO2 interface. To address this topic, the roughness properties of biaxial strained silicon-on-insulator (s-SOI) films are investigated by means of atomic force microscopy. Through in-depth statistical analysis of the digitalized surface profiles, the roughness parameters are extracted for unstrained and strained SOI films, with 0.8% biaxial tensile strain. Especially, it is found that strain significantly reduces the roughness amplitude. Then, mobility calculations in SOI and s-SOI inversion layers are performed in the framework of the Kubo-Greenwood formalism. The model accounts for the main scattering mechanisms that are dominant in the high electron density range, namely phonon and surface roughness. Special attention has been paid to the modeling of the latter by accounting for all the contributions of the potential which arise from the deformed rough interface, and by using a multisubband wavelength-dependent screening model. This model is then applied to study the influence of the surface morphology on the mobility in s-SOI inversion layers. In this context, the mobility gain between s-SOI and unstrained SOI layers is found to agree significantly better with experimental data if the strain-induced decrease of the roughness amplitude is taken into account.

  19. Housing First for People With Severe Mental Illness Who Are Homeless: A Review of the Research and Findings From the At Home-Chez soi Demonstration Project.

    PubMed

    Aubry, Tim; Nelson, Geoffrey; Tsemberis, Sam

    2015-11-01

    To provide a review of the extant research literature on Housing First (HF) for people with severe mental illness (SMI) who are homeless and to describe the findings of the recently completed At Home (AH)-Chez soi (CS) demonstration project. HF represents a paradigm shift in the delivery of community mental health services, whereby people with SMI who are homeless are supported through assertive community treatment or intensive case management to move into regular housing. The AH-CS demonstration project entailed a randomized controlled trial conducted in 5 Canadian cities between 2009 and 2013. Mixed methods were used to examine the implementation of HF programs and participant outcomes, comparing 1158 people receiving HF to 990 people receiving standard care. Initial research conducted in the United States shows HF to be a promising approach, yielding superior outcomes in helping people to rapidly exit homelessness and establish stable housing. Findings from the AH-CS demonstration project reveal that HF can be successfully adapted to different contexts and for different populations without losing its fidelity. People receiving HF achieved superior housing outcomes and showed more rapid improvements in community functioning and quality of life than those receiving treatment as usual. Knowledge translation efforts have been undertaken to disseminate the positive findings and lessons learned from the AH-CS project and to scale up the HF approach across Canada.

  20. Southern Ocean Climate and Sea Ice Anomalies Associated with the Southern Oscillation

    NASA Technical Reports Server (NTRS)

    Kwok, R.; Comiso, J. C.

    2001-01-01

    The anomalies in the climate and sea ice cover of the Southern Ocean and their relationships with the Southern Oscillation (SO) are investigated using a 17-year of data set from 1982 through 1998. We correlate the polar climate anomalies with the Southern Oscillation index (SOI) and examine the composites of these anomalies under the positive (SOI > 0), neutral (0 > SOI > -1), and negative (SOI < -1) phases of SOL The climate data set consists of sea-level pressure, wind, surface air temperature, and sea surface temperature fields, while the sea ice data set describes its extent, concentration, motion, and surface temperature. The analysis depicts, for the first time, the spatial variability in the relationship of the above variables and the SOL The strongest correlation between the SOI and the polar climate anomalies are found in the Bellingshausen, Amundsen and Ross sea sectors. The composite fields reveal anomalies that are organized in distinct large-scale spatial patterns with opposing polarities at the two extremes of SOI, and suggest oscillating climate anomalies that are closely linked to the SO. Within these sectors, positive (negative) phases of the SOI are generally associated with lower (higher) sea-level pressure, cooler (warmer) surface air temperature, and cooler (warmer) sea surface temperature in these sectors. Associations between these climate anomalies and the behavior of the Antarctic sea ice cover are clearly evident. Recent anomalies in the sea ice cover that are apparently associated with the SOI include: the record decrease in the sea ice extent in the Bellingshausen Sea from mid- 1988 through early 199 1; the relationship between Ross Sea SST and ENSO signal, and reduced sea ice concentration in the Ross Sea; and, the shortening of the ice season in the eastern Ross Sea, Amundsen Sea, far western Weddell Sea, and the lengthening of the ice season in the western Ross Sea, Bellingshausen Sea and central Weddell Sea gyre over the period 1988

  1. Classical emergence of intrinsic spin-orbit interaction of light at the nanoscale

    NASA Astrophysics Data System (ADS)

    Vázquez-Lozano, J. Enrique; Martínez, Alejandro

    2018-03-01

    Traditionally, in macroscopic geometrical optics intrinsic polarization and spatial degrees of freedom of light can be treated independently. However, at the subwavelength scale these properties appear to be coupled together, giving rise to the spin-orbit interaction (SOI) of light. In this work we address theoretically the classical emergence of the optical SOI at the nanoscale. By means of a full-vector analysis involving spherical vector waves we show that the spin-orbit factorizability condition, accounting for the mutual influence between the amplitude (spin) and phase (orbit), is fulfilled only in the far-field limit. On the other side, in the near-field region, an additional relative phase introduces an extra term that hinders the factorization and reveals an intricate dynamical behavior according to the SOI regime. As a result, we find a suitable theoretical framework able to capture analytically the main features of intrinsic SOI of light. Besides allowing for a better understanding into the mechanism leading to its classical emergence at the nanoscale, our approach may be useful to design experimental setups that enhance the response of SOI-based effects.

  2. El Niño Southern Oscillation (ENSO) and dysentery in Shandong province, China.

    PubMed

    Zhang, Ying; Bi, Peng; Wang, Guoyong; Hiller, Janet E

    2007-01-01

    To investigate the impact of the El Niño Southern Oscillation (ENSO) on dysentery transmission, the relationship between monthly dysentery cases in Shandong Province of China and the monthly Southern Oscillation Index (SOI), a broad index of ENSO, was examined over the period 1991-2003. Spearman correlations and generalized linear models were calculated to detect the association between the SOI and dysentery cases. Data from 1991 to 2001 were used to estimate the parameters, while data from 2002 to 2003 were used to test the forecasting ability of the model. After controlling for seasonality, autocorrelation, and a time-lagged effect, the results indicate that there was a significant negative association between the number of dysentery cases and the SOI, with a lagged effect of 2 months. A one-standard-deviation decrease in the SOI might cause up to 207 more dysentery cases per month in Shandong Province. This is the first report of the impact of the Southern Oscillation on dysentery risk in China, indicating that the SOI may be a useful early indicator of potential dysentery risk in Shandong Province.

  3. Investigation of piezoresistive effect in p-channel metal–oxide–semiconductor field-effect transistors fabricated on circular silicon-on-insulator diaphragms using cost-effective minimal-fab process

    NASA Astrophysics Data System (ADS)

    Liu, Yongxun; Tanaka, Hiroyuki; Umeyama, Norio; Koga, Kazuhiro; Khumpuang, Sommawan; Nagao, Masayoshi; Matsukawa, Takashi; Hara, Shiro

    2018-06-01

    P-channel metal–oxide–semiconductor field-effect transistors (PMOSFETs) with the 〈110〉 or 〈100〉 channel direction have been successfully fabricated on circular silicon-on-insulator (SOI) diaphragms using a cost-effective minimal-fab process, and their electrical characteristics have been systematically investigated before and after the SOI diaphragm formation. It was found that almost the same subthreshold slope (S-slope) and threshold voltage (V t) are observed in the fabricated PMOSFETs before and after the SOI diaphragm formation, and they are independent of the channel direction. On the other hand, significant variations in drain current were observed in the fabricated PMOSFETs with the 〈110〉 channel direction after the SOI diaphragm formation owing to the residual mechanical stress-induced piezoresistive effect. It was also confirmed that electrical characteristics of the fabricated PMOSFETs with the 〈100〉 channel direction are almost the same before and after the SOI diaphragm formation, i.e., not sensitive to the mechanical stress. Moreover, the drain current variations at different directions of mechanical stress and current flow were systematically investigated and discussed.

  4. Synthesis of highly integrated optical network based on microdisk-resonator add-drop filters in silicon-on-insulator technology

    NASA Astrophysics Data System (ADS)

    Kaźmierczak, Andrzej; Dortu, Fabian; Giannone, Domenico; Bogaerts, Wim; Drouard, Emmanuel; Rojo-Romeo, Pedro; Gaffiot, Frederic

    2009-10-01

    We analyze a highly compact optical add-drop filter topology based on a pair of microdisk resonators and a bus waveguide intersection. The filter is further assessed on an integrated optical 4×4 network for optical on-chip communication. The proposed network structure, as compact as 50×50 μm, is fabricated in a CMOS-compatible process on a silicon-on-insulator (SOI) substrate. Finally, the experimental results demonstrate the proper operation of the fabricated devices.

  5. Spontaneous magnetic order in complex materials: Role of longitudinal spin-orbit interactions

    NASA Astrophysics Data System (ADS)

    Chakraborty, Subrata; Vijay, Amrendra

    2017-06-01

    We show that the longitudinal spin-orbit interactions (SOI) critically determine the fate of spontaneous magnetic order (SMO) in complex materials. To study the magnetic response of interacting electrons constituting the material, we implement an extension of the Hubbard model that faithfully accounts for the SOI. Next, we use the double-time Green functions of quantum statistical mechanics to obtain the spontaneous magnetization, Msp , and thence ascertain the possibility of SMO. For materials with quenched SOI, in an arbitrary dimension, Msp vanishes at finite temperatures, implying the presence of the disordered (paramagnetic) phase. This is consistent with and goes beyond the Bogolyubov's inequality based analysis in one and two dimensions. In the presence of longitudinal SOI, Msp , for materials in an arbitrary dimension, remains non-zero at finite temperatures, which indicates the existence of the ordered (ferromagnetic) phase. As a plausible experimental evidence of the present SOI-based phenomenology, we discuss, inter alia, a recent experimental study on Y4Mn1-xGa12-yGey, an intermetallic compound, which exhibits a magnetic phase transition (paramagnetic to ferromagnetic) upon tuning the fraction of Ge atoms and thence the vacancies of the magnetic centers in this system. The availability of Ge atoms to form a direct chemical bond with octahedral Mn in this material appears to quench the SOI and, as a consequence, favours the formation of the disordered (paramagnetic) phase.

  6. Integrated MEMS-based variable optical attenuator and 10Gb/s receiver

    NASA Astrophysics Data System (ADS)

    Aberson, James; Cusin, Pierre; Fettig, H.; Hickey, Ryan; Wylde, James

    2005-03-01

    MEMS devices can be successfully commercialized in favour of competing technologies only if they offer an advantage to the customer in terms of lower cost or increased functionality. There are limited markets where MEMS can be manufactured cheaper than similar technologies due to large volumes: automotive, printing technology, wireless communications, etc. However, success in the marketplace can also be realized by adding significant value to a system at minimal cost or leverging MEMS technology when other solutions simply will not work. This paper describes a thermally actuated, MEMS based, variable optical attenuator that is co-packaged with existing opto-electronic devices to develop an integrated 10Gb/s SONET/SDH receiver. The configuration of the receiver opto-electronics and relatively low voltage availability (12V max) in optical systems bar the use of LCD, EO, and electro-chromic style attenuators. The device was designed and fabricated using a silicon-on-insulator (SOI) starting material. The design and performance of the device (displacement, power consumption, reliability, physical geometry) was defined by the receiver parameters geometry. This paper will describe how these design parameters (hence final device geometry) were determined in light of both the MEMS device fabrication process and the receiver performance. Reference will be made to the design tools used and the design flow which was a joint effort between the MEMS vendor and the end customer. The SOI technology offered a robust, manufacturable solution that gave the required performance in a cost-effective process. However, the singulation of the devices required the development of a new singulation technique that allowed large volumes of silicon to be removed during fabrication yet still offer high singulation yields.

  7. Zero-group-velocity acoustic waveguides for high-frequency resonators

    NASA Astrophysics Data System (ADS)

    Caliendo, C.; Hamidullah, M.

    2017-11-01

    The propagation of the Lamb-like modes along a silicon-on-insulator (SOI)/AlN thin supported structure was simulated in order to exploit the intrinsic zero group velocity (ZGV) features to design electroacoustic resonators that do not require metal strip gratings or suspended edges to confine the acoustic energy. The ZGV resonant conditions in the SOI/AlN composite plate, i.e. the frequencies where the mode group velocity vanishes while the phase velocity remains finite, were investigated in the frequency range from few hundreds of MHz up to 1900 MHz. Some ZGV points were found that show up mostly in low-order modes. The thermal behaviour of these points was studied in the  -30 to 220 °C temperature range and the temperature coefficients of the ZGV resonant frequencies (TCF) were estimated. The behaviour of the ZGV resonators operating as gas sensors was studied under the hypothesis that the surface of the device is covered with a thin polyisobutylene (PIB) film able to selectively adsorb dichloromethane (CH2Cl2), trichloromethane (CHCl3), carbontetrachloride (CCl4), tetrachloroethylene (C2Cl4), and trichloroethylene (C2HCl3), at atmospheric pressure and room temperature. The sensor sensitivity to gas concentration in air was simulated for the first four ZGV points of the inhomogeneous plate. The feasibility of high-frequency, low TCF electroacoustic micro-resonator based on SOI and piezoelectric thin film technology was demonstrated by the present simulation study.

  8. GSFC Technical Outreach: The Capitol College Model

    NASA Technical Reports Server (NTRS)

    Marius, Julio L.; Wagner, David

    2008-01-01

    In February 2005, as part of the National Aeronautic and Space Administration (NASA) Technical Outreach Program, Goddard Space Flight Center (GSFC) awarded Capitol College of Laurel, Maryland an Educational Grant to establish a Space Operation academic curriculum to meet the future needs of mission operations engineers. This was in part due to the aerospace industry and GSFC concerns that a large number of professional engineers are projected to retire in the near term with evidence showing that current enrollment in engineering schools will not produce sufficient number of space operation trained engineers that will meet industry and government demands. Capitol College, under the agreement of the Educational Grant, established the Space Operations Institute (SOI) with a new curriculum in Space Operations that was approved and certified by the State of Maryland. The SO1 programs focuses on attracting, recruiting, and training a pipeline of highly qualified engineers with experience in mission operations, system engineering and development. The selected students are integrated as members of the engineering support team in any of the missions supported by the institute. The students are mentored by professional engineers from several aerospace companies that support GSFC. Initially, the institute was involved in providing console engineers and mission planning trainees for the Upper Atmosphere Research Satellite (UARS), the Earth Radiation Budget Satellite (ERBS) and the Total Ozone Mapping Spectrometer mission (TOMS). Subsequently, the students were also involved in the technology refresh of the TOMS ground system and other mission operations development. Further mission assignment by GSFC management included participation in the Tropical Rainfall Measuring Mission (TRMM) mission operations and ground system technology refresh. The SOI program has been very successful. Since October 2005, sixty-four students have been enrolled in the SOI program and twenty-five have

  9. The Effect of Severity of Illness on Spine Surgery Costs Across New York State Hospitals: An Analysis of 69,831 Cases.

    PubMed

    Kaye, I David; Adrados, Murillo; Karia, Raj J; Protopsaltis, Themistocles S; Bosco, Joseph A

    2017-11-01

    Observational database review. To determine the effect of patient severity of illness (SOI) on the cost of spine surgery among New York state hospitals. National health care spending has risen at an unsustainable rate with musculoskeletal care, and spine surgery in particular, accounting for a significant portion of this expenditure. In an effort towards cost-containment, health care payers are exploring novel payment models some of which reward cost savings but penalize excessive spending. To mitigate risk to health care institutions, accurate cost forecasting is essential. No studies have evaluated the effect of SOI on costs within spine surgery. The New York State Hospital Inpatient Cost Transparency Database was reviewed to determine the costs of 69,831 hospital discharges between 2009 and 2011 comprising the 3 most commonly performed spine surgeries in the state. These costs were then analyzed in the context of the specific all patient refined diagnosis-related group (DRG) SOI modifier to determine this index's effect on overall costs. Overall, hospital-reported cost increases with the patient's SOI class and patients with worse baseline health incur greater hospital costs (P<0.001). Moreover, these costs are increasingly variable for each worsening SOI class (P<0.001). This trend of increasing costs is persistent for all 3 DRGs across all 3 years studied (2009-2011), within each of the 7 New York state regions, and occurs irrespective of the hospital's teaching status or size. Using the 3M all patient refined-DRG SOI index as a measure of patient's health status, a significant increase in cost for spine surgery for patients with higher SOI index was found. This study confirms the greater cost and variability of spine surgery for sicker patients and illustrates the inherent unpredictability in cost forecasting and budgeting for these same patients.

  10. Acute care surgery: defining mortality in emergency general surgery in the state of Maryland.

    PubMed

    Narayan, Mayur; Tesoriero, Ronald; Bruns, Brandon R; Klyushnenkova, Elena N; Chen, Hegang; Diaz, Jose J

    2015-04-01

    Emergency general surgery (EGS) is a major component of acute care surgery, however, limited data exist on mortality with respect to trauma center (TC) designation. We hypothesized that mortality would be lower for EGS patients treated at a TC vs non-TC (NTC). A retrospective review of the Maryland Health Services Cost Review Commission database from 2009 to 2013 was performed. The American Association for the Surgery of Trauma EGS ICD-9 codes were used to identify EGS patients. Data collected included demographics, TC designation, emergency department admissions, and All Patients Refined Severity of Illness (APR_SOI). Trauma center designation was used as a marker of a formal acute care surgery program. Primary outcomes included in-hospital mortality. Multivariable logistic regression analysis was performed controlling for age. There were 817,942 EGS encounters. Mean ± SD age of patients was 60.1 ± 18.7 years, 46.5% were males; 71.1% of encounters were at NTCs; and 75.8% were emergency department admissions. Overall mortality was 4.05%. Mortality was calculated based on TC designation controlling for age across APR_SOI strata. Multivariable logistic regression analysis did not show statistically significant differences in mortality between hospital levels for minor APR_SOI. For moderate APR_SOI, mortality was significantly lower for TCs compared with NTCs (p < 0.001). Among TCs, the effect was strongest for Level I TC (odds ratio = 0.34). For extreme APR_SOI, mortality was higher at TCs vs NTCs (p < 0.001). Emergency general surgery patients treated at TCs had lower mortality for moderate APR_SOI, but increased mortality for extreme APR_SOI when compared with NTCs. Additional investigation is required to better evaluate this unexpected finding. Copyright © 2015 American College of Surgeons. Published by Elsevier Inc. All rights reserved.

  11. Housing First for People With Severe Mental Illness Who Are Homeless: A Review of the Research and Findings From the At Home–Chez soi Demonstration Project

    PubMed Central

    Aubry, Tim; Nelson, Geoffrey; Tsemberis, Sam

    2015-01-01

    Objective: To provide a review of the extant research literature on Housing First (HF) for people with severe mental illness (SMI) who are homeless and to describe the findings of the recently completed At Home (AH)–Chez soi (CS) demonstration project. HF represents a paradigm shift in the delivery of community mental health services, whereby people with SMI who are homeless are supported through assertive community treatment or intensive case management to move into regular housing. Method: The AH–CS demonstration project entailed a randomized controlled trial conducted in 5 Canadian cities between 2009 and 2013. Mixed methods were used to examine the implementation of HF programs and participant outcomes, comparing 1158 people receiving HF to 990 people receiving standard care. Results: Initial research conducted in the United States shows HF to be a promising approach, yielding superior outcomes in helping people to rapidly exit homelessness and establish stable housing. Findings from the AH–CS demonstration project reveal that HF can be successfully adapted to different contexts and for different populations without losing its fidelity. People receiving HF achieved superior housing outcomes and showed more rapid improvements in community functioning and quality of life than those receiving treatment as usual. Conclusions: Knowledge translation efforts have been undertaken to disseminate the positive findings and lessons learned from the AH–CS project and to scale up the HF approach across Canada. PMID:26720504

  12. Piezoresistive silicon nanowire resonators as embedded building blocks in thick SOI

    NASA Astrophysics Data System (ADS)

    Nasr Esfahani, Mohammad; Kilinc, Yasin; Çagatay Karakan, M.; Orhan, Ezgi; Hanay, M. Selim; Leblebici, Yusuf; Erdem Alaca, B.

    2018-04-01

    The use of silicon nanowire resonators in nanoelectromechanical systems for new-generation sensing and communication devices faces integration challenges with higher-order structures. Monolithic and deterministic integration of such nanowires with the surrounding microscale architecture within the same thick crystal is a critical aspect for the improvement of throughput, reliability and device functionality. A monolithic and IC-compatible technology based on a tuned combination of etching and protection processes was recently introduced yielding silicon nanowires within a 10 μ m-thick device layer. Motivated by its success, the implications of the technology regarding the electromechanical resonance are studied within a particular setting, where the resonator is co-fabricated with all terminals and tuning electrodes. Frequency response is measured via piezoresistive readout with frequency down-mixing. Measurements indicate mechanical resonance with frequencies as high as 100 MHz exhibiting a Lorentzian behavior with proper transition to nonlinearity, while Allan deviation on the order of 3-8 ppm is achieved. Enabling the fabrication of silicon nanowires in thick silicon crystals using conventional semiconductor manufacturing, the present study thus demonstrates an alternative pathway to bottom-up and thin silicon-on-insulator approaches for silicon nanowire resonators.

  13. Spacecraft Onboard Interface Services: Current Status and Roadmap

    NASA Astrophysics Data System (ADS)

    Prochazka, Marek; Lopez Trescastro, Jorge; Krueger, Sabine

    2016-08-01

    Spacecraft Onboard Interface Services (SOIS) is a set of CCSDS standards defining communication stack services to interact with hardware equipment onboard spacecraft. In 2014 ESA kicked off three parallel activities to critically review the SOIS standards, use legacy spacecraft flight software (FSW), make it compliant to a preselected subset of SOIS standards and make performance and architecture assessment. As a part of the three parallel activities, led by Airbus DS Toulouse, OHB Bremen and Thales Alenia Space Cannes respectively, it was to provide feedback back to ESA and CCSDS and also to propose a roadmap of transition towards an operational FSW system fully compliant to applicable SOIS standards. The objective of the paper is twofold: Firstly it is to summarise main results of the three parallel activities and secondly, based on the results, to propose a roadmap for the future.

  14. Deep Sea Gazing: Making Ship-Based Research Aboard RV Falkor Relevant and Accessible

    NASA Astrophysics Data System (ADS)

    Wiener, C.; Zykov, V.; Miller, A.; Pace, L. J.; Ferrini, V. L.; Friedman, A.

    2016-02-01

    Schmidt Ocean Institute (SOI) is a private, non-profit operating foundation established to advance the understanding of the world's oceans through technological advancement, intelligent observation, and open sharing of information. Our research vessel Falkorprovides ship time to selected scientists and supports a wide range of scientific functions, including ROV operations with live streaming capabilities. Since 2013, SOI has live streamed 55 ROV dives in high definition and recorded them onto YouTube. This has totaled over 327 hours of video which received 1,450, 461 views in 2014. SOI is one of the only research programs that makes their entire dive series available online, creating a rich collection of video data sets. In doing this, we provide an opportunity for scientists to make new discoveries in the video data that may have been missed earlier. These data sets are also available to students, allowing them to engage with real data in the classroom. SOI's video collection is also being used in a newly developed video management system, Ocean Video Lab. Telepresence-enabled research is an important component of Falkor cruises, which is exemplified by several that were conducted in 2015. This presentation will share a few case studies including an image tagging citizen science project conducted through the Squidle interface in partnership with the Australian Center for Field Robotics. Using real-time image data collected in the Timor Sea, numerous shore-based citizens created seafloor image tags that could be used by a machine learning algorithms on Falkor's high performance computer (HPC) to accomplish habitat characterization. With the use of the HPC system real-time robot tracking, image tagging, and other outreach connections were made possible, allowing scientists on board to engage with the public and build their knowledge base. The above mentioned examples will be used to demonstrate the benefits of remote data analysis and participatory engagement in

  15. Frequency Dependence of Single-Event Upset in Highly Advanced PowerPC Microprocessors

    NASA Technical Reports Server (NTRS)

    Irom, Farokh; Farmanesh, Farhad; White, Mark; Kouba, Coy K.

    2006-01-01

    Single-event upset effects from heavy ions were measured for Motorola silicon-on-insulator (SOI) microprocessor with 90 nm feature sizes at three frequencies of 500, 1066 and 1600 MHz. Frequency dependence of single-event upsets is discussed. The results of our studies suggest the single-event upset in registers and D-Cache tend to increase with frequency. This might have important implications for the overall single-event upset trend as technology moves toward higher frequencies.

  16. Shortening gametes co-incubation time improves live birth rate for couples with a history of fragmented embryos.

    PubMed

    Le Bras, Anne; Hesters, Laetitia; Gallot, Vanessa; Tallet, Cathie; Tachdjian, Gerard; Frydman, Nelly

    2017-10-01

    Short gamete co-incubation (SGCO) consists in decreasing the duration of contact between oocytes and sperm from the standard overnight insemination (SOI) toward 2 hours. However, the effectiveness of this technique to improve in vitro fertilization and embryo transfer (IVF-ET) outcomes remains controversial. Our study was designed to evaluate the efficiency of SGCO in a poor prognosis population with a history of fragmented embryos defined by the presence of at least 50% of the embryos with more than 25% of cytoplasmic fragments. From January 2010 to January 2014, 97 couples were included in a SGCO protocol. We separated women into 2 subgroups: younger and older than 35 years. Compared to SOI, after SGCO, 2-cell stage embryos were higher in all women (p<0.001) and less fragmented in women over 35 years (p<0.05). On day 2, top quality embryos obtained and transferred were higher with SCGO than with SOI, independently of the age of the women (p<0.001). Moreover, the number of embryos with less than 25% of fragmentation was higher after SGCO than SOI (p<0.001) whereas the number of multinucleated embryos was lower (p<0.001). We observed that after fresh ET, independently of the age of the women, the clinical pregnancy rate was 3 times higher after SGCO than after SOI. However, the live-birth rate was 4 times higher with SGCO than with SOI in women above 35 years but 3 times higher with SGCO than with SOI in women younger than 35 years. The present results indicate that for a particular indication, reducing the time of oocytes and sperm co-incubation may improve IVF-ET outcomes in terms of live-birth rate. AMH: anti mullerian hormone; COC: cumulus-oocytes complex; E2: estradiol; ET: embryo transfer; FET: frozen embryo transfer; FSH: follicle stimulating hormone; GnRH: gonadotrophin releasing hormone; hCG: human chorionic gonadotropin hormone; hMG: human menopausal gonadotropin hormone; IRB: institutional review board; IVF: in vitro fertilization; IVF-ET: in vitro

  17. Fabrication of high aspect ratio structure and its releasing for silicon on insulator MEMS/MOEMS device application

    NASA Astrophysics Data System (ADS)

    Fan, Ji; Zhang, Wen Ting; Liu, Jin Quan; Wu, Wen Jie; Zhu, Tao; Tu, Liang Cheng

    2015-04-01

    We systematically investigate the fabrication and dry-release technology for a high aspect ratio (HAR) structure with vertical and smooth silicon etching sidewalls. One-hundred-micrometer silicon on insulator (SOI) wafers are used in this work. By optimizing the process parameters of inductively coupled plasma deep reactive-ion etching, a HAR (˜25∶1) structure with a microtrench width of 4 μm has been demonstrated. A perfect etching profile has been obtained in which the structures present an almost perfect verticality of 0.10 μm and no sidewall scallops. The root-mean square roughness of silicon sidewalls is 20 to 29 nm. An in situ dry-release method using notching effect is employed after etching. By analysis, we found that the final notch length is typically an aspect-ratio-dependent process. The structure designed in this work has been successfully released by this in situ dry-release method, and the released bottom roughness effectively prohibits the stiction mechanism. The results demonstrate potential applications for design and fabrication of HAR SOI MEMS/MOEMS.

  18. A High Temperature Silicon Carbide mosfet Power Module With Integrated Silicon-On-Insulator-Based Gate Drive

    DOE PAGES

    Wang, Zhiqiang; Shi, Xiaojie; Tolbert, Leon M.; ...

    2014-04-30

    Here we present a board-level integrated silicon carbide (SiC) MOSFET power module for high temperature and high power density application. Specifically, a silicon-on-insulator (SOI)-based gate driver capable of operating at 200°C ambient temperature is designed and fabricated. The sourcing and sinking current capability of the gate driver are tested under various ambient temperatures. Also, a 1200 V/100 A SiC MOSFET phase-leg power module is developed utilizing high temperature packaging technologies. The static characteristics, switching performance, and short-circuit behavior of the fabricated power module are fully evaluated at different temperatures. Moreover, a buck converter prototype composed of the SOI gate drivermore » and SiC power module is built for high temperature continuous operation. The converter is operated at different switching frequencies up to 100 kHz, with its junction temperature monitored by a thermosensitive electrical parameter and compared with thermal simulation results. The experimental results from the continuous operation demonstrate the high temperature capability of the power module at a junction temperature greater than 225°C.« less

  19. A Library of Rad Hard Mixed-Voltage/Mixed-Signal Building Blocks for Integration of Avionics Systems for Deep Space

    NASA Technical Reports Server (NTRS)

    Mojarradi, M. M.; Blaes, B.; Kolawa, E. A.; Blalock, B. J.; Li, H. W.; Buck, K.; Houge, D.

    2001-01-01

    To build the sensor intensive system-on-a-chip for the next generation spacecrafts for deep space, Center for Integration of Space Microsystems at JPL (CISM) takes advantage of the lower power rating and inherent radiation resistance of Silicon on Insulator technology (SOI). We are developing a suite of mixed-voltage and mixed-signal building blocks in Honeywell's SOI process that can enable the rapid integration of the next generation avionics systems with lower power rating, higher reliability, longer life, and enhanced radiation tolerance for spacecrafts such as the Europa Orbiter and Europa Lander. The mixed-voltage building blocks are predominantly for design of adaptive power management systems. Their design centers around an LDMOS structure that is being developed by Honeywell, Boeing Corp, and the University of Idaho. The mixed-signal building blocks are designed to meet the low power, extreme radiation requirement of deep space applications. These building blocks are predominantly used to interface analog sensors to the digital CPU of the next generation avionics system on a chip. Additional information is contained in the original extended abstract.

  20. Scaling Trends and Tradeoffs between Short Channel Effect and Channel Boosting Characteristics in Sub-20 nm Bulk/Silicon-on-Insulator NAND Flash Memory

    NASA Astrophysics Data System (ADS)

    Miyaji, Kousuke; Hung, Chinglin; Takeuchi, Ken

    2012-04-01

    The scaling trends and limitation in sub-20 nm a bulk and silicon-on-insulator (SOI) NAND flash memory is studied by the three-dimensional (3D) device simulation focusing on short channel effects (SCE), channel boost leakage and channel voltage boosting characteristics during the program-inhibit operation. Although increasing punch-through stopper doping concentration is effective for suppressing SCE in bulk NAND cells, the generation of junction leakage becomes serious. On the other hand, SCE can be suppressed by thinning the buried oxide (BOX) in SOI NAND cells. However, the boosted channel voltage decreases by the higher BOX capacitance. It is concluded that the scaling limitation is dominated by the junction leakage and channel boosting capability for bulk and SOI NAND flash cells, respectively, and the scaling limit is decreased to 9 nm using SOI NAND flash memory cells from 13 nm in bulk NAND flash memory cells.

  1. A Low-Noise X-ray Astronomical Silicon-On-Insulator Pixel Detector Using a Pinned Depleted Diode Structure.

    PubMed

    Kamehama, Hiroki; Kawahito, Shoji; Shrestha, Sumeet; Nakanishi, Syunta; Yasutomi, Keita; Takeda, Ayaki; Tsuru, Takeshi Go; Arai, Yasuo

    2017-12-23

    This paper presents a novel full-depletion Si X-ray detector based on silicon-on-insulator pixel (SOIPIX) technology using a pinned depleted diode structure, named the SOIPIX-PDD. The SOIPIX-PDD greatly reduces stray capacitance at the charge sensing node, the dark current of the detector, and capacitive coupling between the sensing node and SOI circuits. These features of the SOIPIX-PDD lead to low read noise, resulting high X-ray energy resolution and stable operation of the pixel. The back-gate surface pinning structure using neutralized p-well at the back-gate surface and depleted n-well underneath the p-well for all the pixel area other than the charge sensing node is also essential for preventing hole injection from the p-well by making the potential barrier to hole, reducing dark current from the Si-SiO₂ interface and creating lateral drift field to gather signal electrons in the pixel area into the small charge sensing node. A prototype chip using 0.2 μm SOI technology shows very low readout noise of 11.0 e - rms , low dark current density of 56 pA/cm² at -35 °C and the energy resolution of 200 eV(FWHM) at 5.9 keV and 280 eV (FWHM) at 13.95 keV.

  2. A Low-Noise X-ray Astronomical Silicon-On-Insulator Pixel Detector Using a Pinned Depleted Diode Structure

    PubMed Central

    Kamehama, Hiroki; Kawahito, Shoji; Shrestha, Sumeet; Nakanishi, Syunta; Yasutomi, Keita; Takeda, Ayaki; Tsuru, Takeshi Go

    2017-01-01

    This paper presents a novel full-depletion Si X-ray detector based on silicon-on-insulator pixel (SOIPIX) technology using a pinned depleted diode structure, named the SOIPIX-PDD. The SOIPIX-PDD greatly reduces stray capacitance at the charge sensing node, the dark current of the detector, and capacitive coupling between the sensing node and SOI circuits. These features of the SOIPIX-PDD lead to low read noise, resulting high X-ray energy resolution and stable operation of the pixel. The back-gate surface pinning structure using neutralized p-well at the back-gate surface and depleted n-well underneath the p-well for all the pixel area other than the charge sensing node is also essential for preventing hole injection from the p-well by making the potential barrier to hole, reducing dark current from the Si-SiO2 interface and creating lateral drift field to gather signal electrons in the pixel area into the small charge sensing node. A prototype chip using 0.2 μm SOI technology shows very low readout noise of 11.0 e−rms, low dark current density of 56 pA/cm2 at −35 °C and the energy resolution of 200 eV(FWHM) at 5.9 keV and 280 eV (FWHM) at 13.95 keV. PMID:29295523

  3. 28 CFR 345.73 - Procedures for granting awards for suggestions or inventions.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... suggestions or inventions. 345.73 Section 345.73 Judicial Administration FEDERAL PRISON INDUSTRIES, INC... for granting awards for suggestions or inventions. Inmate suggestions for improvements in operations... the SOI. (b) The SOI shall ensure that all inmate suggestions and/or inventions formally submitted are...

  4. An extensive investigation of work function modulated trapezoidal recessed channel MOSFET

    NASA Astrophysics Data System (ADS)

    Lenka, Annada Shankar; Mishra, Sikha; Mishra, Satyaranjan; Bhanja, Urmila; Mishra, Guru Prasad

    2017-11-01

    The concept of silicon on insulator (SOI) and grooved gate help to lessen the short channel effects (SCEs). Again the work function modulation along the metal gate gives a better drain current due to the uniform electric field along the channel. So all these concepts are combined and used in the proposed MOSFET structure for more improved performance. In this work, trapezoidal recessed channel silicon on insulator (TRC-SOI) MOSFET and work function modulated trapezoidal recessed channel silicon on insulator (WFM-TRC-SOI) MOSFET are compared with DC and RF parameters and later linearity of both the devices is tested. An analytical model is formulated by using a 2-D Poisson's equation and develops a compact equation for threshold voltage using minimum surface potential. In this work we analyze the effect of negative junction depth and the corner angle on various device parameters such as minimum surface potential, sub-threshold slope (SS), drain induced barrier lowering (DIBL) and threshold voltage. The analysis interprets that the switching performance of WFM-TRC-SOI MOSFET surpasses TRC-SOI MOSFET in terms of high Ion/Ioff ratio and also the proposed structure can minimize the short channel effects (SCEs) in RF application. The validity of proposed model has been verified with simulation result performed on Sentaurus TCAD device simulator.

  5. Quantum Dots Based Rad-Hard Computing and Sensors

    NASA Technical Reports Server (NTRS)

    Fijany, A.; Klimeck, G.; Leon, R.; Qiu, Y.; Toomarian, N.

    2001-01-01

    Quantum Dots (QDs) are solid-state structures made of semiconductors or metals that confine a small number of electrons into a small space. The confinement of electrons is achieved by the placement of some insulating material(s) around a central, well-conducting region. Thus, they can be viewed as artificial atoms. They therefore represent the ultimate limit of the semiconductor device scaling. Additional information is contained in the original extended abstract.

  6. Spin-orbit interaction and negative magnetoresistance for localized electrons in InSb quantum wells

    NASA Astrophysics Data System (ADS)

    Ishida, S.; Manago, T.; Nishizako, N.; Geka, H.; Shibasaki, I.

    2010-02-01

    Weak-field magnetoresistance (MR) in the variable-range hopping (VRH) in the presence of spin-orbit interaction (SOI) for 2DEGs at the hetero-interface of InSb quantum wells was examined in view of the quantum interference (QI) effect. Samples with the sheet resistance, ρ> ρc= h/ e2, exhibit VRH, while those with ρ< ρc exhibit weak localiz ation (WL) at low temperatures, where h/ e2 is the quantum resistance. In the WL regime, a positive magnetoresistance (MR) peak due to the weak anti-localization (WAL) with SOI is clearly observed in low magnetic field. In contrast, the low-field hopping MR remains entirely negative surviving the SOI, indicating that the hopping MR due to the QI is completely negative regardless of the SOI. This result supports the predictions based on the directed-path approach for forward-scattering paths ignoring the back-scattering return loops for the QI in the VRH.

  7. Why is the South Orkney Island shelf (the world's first high seas marine protected area) a carbon immobilization hotspot?

    PubMed

    Barnes, David K A; Ireland, Louise; Hogg, Oliver T; Morley, Simon; Enderlein, Peter; Sands, Chester J

    2016-03-01

    The Southern Ocean archipelago, the South Orkney Islands (SOI), became the world's first entirely high seas marine protected area (MPA) in 2010. The SOI continental shelf (~44 000 km(2) ), was less than half covered by grounded ice sheet during glaciations, is biologically rich and a key area of both sea surface warming and sea-ice losses. Little was known of the carbon cycle there, but recent work showed it was a very important site of carbon immobilization (net annual carbon accumulation) by benthos, one of the few demonstrable negative feedbacks to climate change. Carbon immobilization by SOI bryozoans was higher, per species, unit area and ice-free day, than anywhere-else polar. Here, we investigate why carbon immobilization has been so high at SOI, and whether this is due to high density, longevity or high annual production in six study species of bryozoans (benthic suspension feeders). We compared benthic carbon immobilization across major regions around West Antarctica with sea-ice and primary production, from remotely sensed and directly sampled sources. Lowest carbon immobilization was at the northernmost study regions (South Georgia) and southernmost Amundsen Sea. However, data standardized for age and density showed that only SOI was anomalous (high). High immobilization at SOI was due to very high annual production of bryozoans (rather than high densities or longevity), which were 2x, 3x and 5x higher than on the Bellingshausen, South Georgia and Amundsen shelves, respectively. We found that carbon immobilization correlated to the duration (but not peak or integrated biomass) of phytoplankton blooms, both in directly sampled, local scale data and across regions using remote-sensed data. The long bloom at SOI seems to drive considerable carbon immobilization, but sea-ice losses across West Antarctica mean that significant carbon sinks and negative feedbacks to climate change could also develop in the Bellingshausen and Amundsen seas. © 2015 John Wiley

  8. A Kindergarten Teacher Bringing Science to a Community

    ERIC Educational Resources Information Center

    Theis, Becky; Galindo, Ed; Shockey, Tod

    2014-01-01

    The National Aeronautical and Space Administration (NASA) sponsored professional development of educators in the NASA Summer of Innovation (SOI) program. The Idaho, Montana, and Utah (IMU-SOI) program worked with educators and students from thirteen Native American communities. The summer sessions were focused on problem based learning and…

  9. Planning a multi-site, complex intervention for homeless people with mental illness: the relationships between the national team and local sites in Canada's At Home/Chez Soi project.

    PubMed

    Nelson, Geoffrey; Macnaughton, Eric; Goering, Paula; Dudley, Michael; O'Campo, Patricia; Patterson, Michelle; Piat, Myra; Prévost, Natasha; Strehlau, Verena; Vallée, Catherine

    2013-06-01

    This research focused on the relationships between a national team and five project sites across Canada in planning a complex, community intervention for homeless people with mental illness called At Home/Chez Soi, which is based on the Housing First model. The research addressed two questions: (a) what are the challenges in planning? and (b) what factors that helped or hindered moving project planning forward? Using qualitative methods, 149 national, provincial, and local stakeholders participated in key informant or focus group interviews. We found that planning entails not only intervention and research tasks, but also relational processes that occur within an ecology of time, local context, and values. More specifically, the relationships between the national team and the project sites can be conceptualized as a collaborative process in which national and local partners bring different agendas to the planning process and must therefore listen to, negotiate, discuss, and compromise with one another. A collaborative process that involves power-sharing and having project coordinators at each site helped to bridge the differences between these two stakeholder groups, to find common ground, and to accomplish planning tasks within a compressed time frame. While local context and culture pushed towards unique adaptations of Housing First, the principles of the Housing First model provided a foundation for a common approach across sites and interventions. The implications of the findings for future planning and research of multi-site, complex, community interventions are noted.

  10. JPIC-Rad-Hard JPEG2000 Image Compression ASIC

    NASA Astrophysics Data System (ADS)

    Zervas, Nikos; Ginosar, Ran; Broyde, Amitai; Alon, Dov

    2010-08-01

    JPIC is a rad-hard high-performance image compression ASIC for the aerospace market. JPIC implements tier 1 of the ISO/IEC 15444-1 JPEG2000 (a.k.a. J2K) image compression standard [1] as well as the post compression rate-distortion algorithm, which is part of tier 2 coding. A modular architecture enables employing a single JPIC or multiple coordinated JPIC units. JPIC is designed to support wide data sources of imager in optical, panchromatic and multi-spectral space and airborne sensors. JPIC has been developed as a collaboration of Alma Technologies S.A. (Greece), MBT/IAI Ltd (Israel) and Ramon Chips Ltd (Israel). MBT IAI defined the system architecture requirements and interfaces, The JPEG2K-E IP core from Alma implements the compression algorithm [2]. Ramon Chips adds SERDES interfaces and host interfaces and integrates the ASIC. MBT has demonstrated the full chip on an FPGA board and created system boards employing multiple JPIC units. The ASIC implementation, based on Ramon Chips' 180nm CMOS RadSafe[TM] RH cell library enables superior radiation hardness.

  11. DAPHNE silicon photonics technological platform for research and development on WDM applications

    NASA Astrophysics Data System (ADS)

    Baudot, Charles; Fincato, Antonio; Fowler, Daivid; Perez-Galacho, Diego; Souhaité, Aurélie; Messaoudène, Sonia; Blanc, Romuald; Richard, Claire; Planchot, Jonathan; De-Buttet, Come; Orlando, Bastien; Gays, Fabien; Mezzomo, Cécilia; Bernard, Emilie; Marris-Morini, Delphine; Vivien, Laurent; Kopp, Christophe; Boeuf, Frédéric

    2016-05-01

    A new technological platform aimed at making prototypes and feasibility studies has been setup at STMicroelectronics using 300mm wafer foundry facilities. The technology, called DAPHNE (Datacom Advanced PHotonic Nanoscale Environment), is devoted at developing and evaluating new devices and sub-systems in particular for wavelength division multiplexing (WDM) applications and ring resonator based applications. Developed in the course of PLAT4MFP7 European project, DAPHNE is a flexible platform that fits perfectly R&D needs. The fabrication flow enables the processing of photonic integrated circuits using a silicon-on-insulator (SOI) of 300nm, partial etches of 150nm and 50nm and a total silicon etching. Consequently, two varieties of rib waveguides and one strip waveguide can be fabricated simultaneously with auto-alignment properties. The process variability on the 150nm partially etched silicon and the thin 50nm slab region are both less than 6 nm. Using a variety of different implantation configurations and a back-end of line of 5 metal layers, active devices are fabricated both in germanium and silicon. An available far back-end of line process consists of making 20 μm diameter copper posts on top of the electrical pads so that an electronic integrated circuit can be bonded on top the photonic die by 3D integration. Besides having those fabrication process options, DAPHNE is equipped with a library of standard cells for optical routing and multiplexing. Moreover, typical Mach-Zehnder modulators based on silicon pn junctions are also available for optical signal modulation. To achieve signal detection, germanium photodetectors also exist as standard cells. The measured single-mode propagation losses are 3.5 dB/cm for strip, 3.7 dB/cm for deep-rib (50nm slab) and 1.4 dB/cm for standard rib (150nm slab) waveguides. Transition tapers between different waveguide structures are as low as 0.006 dB.

  12. Low Specific On-Resistance SOI LDMOS with Non-Depleted Embedded P-Island and Dual Trench Gate

    NASA Astrophysics Data System (ADS)

    Fan, Jie; Sun, Sheng-Ming; Wang, Hai-Zhu; Zou, Yong-Gang

    2018-03-01

    Not Available Supported by the Youth Science Foundation of Changchun University of Science and Technology under Grant No XQNJJ-2015-10, and the Innovation Science Foundation of Changchun University of Science and Technology under Grant No XJJLG-2016-07.

  13. Patterning of graphene on silicon-on-insulator waveguides through laser ablation and plasma etching

    NASA Astrophysics Data System (ADS)

    Van Erps, Jürgen; Ciuk, Tymoteusz; Pasternak, Iwona; Krajewska, Aleksandra; Strupinski, Wlodek; Van Put, Steven; Van Steenberge, Geert; Baert, Kitty; Terryn, Herman; Thienpont, Hugo; Vermeulen, Nathalie

    2016-05-01

    We present the use of femtosecond laser ablation for the removal of monolayer graphene from silicon-on-insulator (SOI) waveguides, and the use of oxygen plasma etching through a metal mask to peel off graphene from the grating couplers attached to the waveguides. Through Raman spectroscopy and atomic force microscopy, we show that the removal of graphene is successful with minimal damage to the underlying SOI waveguides. Finally, we employ both removal techniques to measure the contribution of graphene to the loss of grating-coupled graphene-covered SOI waveguides using the cut-back method. This loss contribution is measured to be 0.132 dB/μm.

  14. The evolution of vacancy-type defects in silicon-on-insulator structures studied by positron annihilation spectroscopy

    NASA Astrophysics Data System (ADS)

    Coleman, P. G.; Nash, D.; Edwardson, C. J.; Knights, A. P.; Gwilliam, R. M.

    2011-07-01

    Variable-energy positron annihilation spectroscopy (VEPAS) has been applied to the study of the formation and evolution of vacancy-type defect structures in silicon (Si) and the 1.5 μm thick Si top layer of silicon-on-insulator (SOI) samples. The samples were implanted with 2 MeV Si ions at fluences between 1013 and 1015 cm-2, and probed in the as-implanted state and after annealing for 30 min at temperatures between 350 and 800 °C. In the case of SOI the ions were implanted such that their profile was predominantly in the insulating buried oxide layer, and thus their ability to combine with vacancies in the top Si layer, and that of other interstitials beyond the buried oxide, was effectively negated. No measurable differences in the positron response to the evolution of small clusters of n vacancies (Vn, n ˜ 3) in the top Si layer of the Si and SOI samples were observed after annealing up to 500 °C; at higher temperatures, however, this response persisted in the SOI samples as that in Si decreased toward zero. At 700 and 800 °C the damage in Si was below detectable levels, but the VEPAS response in the top Si layer in the SOI was consistent with the development of nanovoids.

  15. An experimental study of solid source diffusion by spin on dopants and its application for minimal silicon-on-insulator CMOS fabrication

    NASA Astrophysics Data System (ADS)

    Liu, Yongxun; Koga, Kazuhiro; Khumpuang, Sommawan; Nagao, Masayoshi; Matsukawa, Takashi; Hara, Shiro

    2017-06-01

    Solid source diffusions of phosphorus (P) and boron (B) into the half-inch (12.5 mm) minimal silicon (Si) wafers by spin on dopants (SOD) have been systematically investigated and the physical-vapor-deposited (PVD) titanium nitride (TiN) metal gate minimal silicon-on-insulator (SOI) complementary metal-oxide-semiconductor (CMOS) field-effect transistors (FETs) have successfully been fabricated using the developed SOD thermal diffusion technique. It was experimentally confirmed that a low temperature oxidation (LTO) process which depresses a boron silicide layer formation is effective way to remove boron-glass in a diluted hydrofluoric acid (DHF) solution. It was also found that top Si layer thickness of SOI wafers is reduced in the SOD thermal diffusion process because of its consumption by thermal oxidation owing to the oxygen atoms included in SOD films, which should be carefully considered in the ultrathin SOI device fabrication. Moreover, normal operations of the fabricated minimal PVD-TiN metal gate SOI-CMOS inverters, static random access memory (SRAM) cells and ring oscillators have been demonstrated. These circuit level results indicate that no remarkable particles and interface traps were introduced onto the minimal wafers during the device fabrication, and the developed solid source diffusion by SOD is useful for the fabrication of functional logic gate minimal SOI-CMOS integrated circuits.

  16. A process to control light in a micro resonator through a coupling modulation by surface acoustic waves

    NASA Astrophysics Data System (ADS)

    Fan, Guofang; Li, Yuan; Hu, Chunguang; Lei, Lihua; Guo, Yanchuan

    2016-08-01

    A novel process to control light through the coupling modulation by surface acoustic wave (SAW) is presented in an optical micro resonator. An optical waveguide modulator of a racetrack resonator on silicon-on-insulator (SOI) technology is took as an example to explore the mechanism. A finite-difference time-domain (FDTD) is developed to simulate the acousto-optical (AO) modulator using the mechanism. An analytical method is presented to verify our proposal. The results show that the process can work well as an optical modulator by SAW.

  17. Compact Optical Add-Drop De-Multiplexers with Cascaded Micro-Ring Resonators on SOI

    NASA Astrophysics Data System (ADS)

    Guan, Huan; Li, Zhi-Yong; Shen, Hai-Hua; Yu, Yu-De

    2017-06-01

    Not Available Supported by the National High Technology Research and Development Program of China under Grant No 2015AA016904, the National Key Research and Development Plan of China under Grant No 2016YFB0402502, and the National Natural Science Foundation of China under Grant No 61275065.

  18. Impact of climate variability on various Rabi crops over Northwest India

    NASA Astrophysics Data System (ADS)

    Nageswararao, M. M.; Dhekale, B. S.; Mohanty, U. C.

    2018-01-01

    The Indian agriculture with its two prominent cropping seasons [summer ( Kharif) and winter ( Rabi)] is the mainstay of the rural economy. Northwest India (NWI) is an important region for the cultivation of Rabi crops grown during the period from October to April. In the present study, state wise impact analysis is carried out to ascertain the influence of climate indices Nino3.4 region Sea Surface Temperature (SST), Southern Oscillation Index (SOI), Arctic Oscillation (AO), North Atlantic Oscillation (NAO) and local precipitation, soil moisture, minimum ( T min), maximum ( T max) and mean ( T mean) temperatures on different Rabi crops (wheat, gram, rapeseed-mustard, oilseeds, and total Rabi food grains) over NWI during the years 1966-2011. To study the impact of climate variability on different Rabi crops, firstly, the influence of technology on the productivity of these crops has been removed by using linear function, as linear trend has noticed in all the time series. Correlation analysis provides an indication of the influence of local precipitation, soil moisture, T min, T max and T mean and some of its potential predictors (Nino3.4 region SST, SOI, AO, and NAO) on the productivity of different Rabi crops. Overall impact analysis indicates that the productivity of different Rabi crops in most of the places of NWI is most likely influenced by variability in local temperatures. Moreover, Nino3.4 region SST (SOI) positively (negatively) affects the productivity of gram, rapeseed-mustard, and total Rabi oilseeds in most of the states. The results of this study are useful in determining the strategies for increasing sustainable production through better agronomic practices.

  19. Lateral solid phase epitaxy of silicon and application to the fabrication of metal oxide semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Greene, Brian Joseph

    Thin film silicon on insulator fabrication is an increasingly important technology requirement for improving performance in future generation devices and circuits. One process for SOI fabrication that has recently been generating renewed interest is Lateral Solid Phase Epitaxy (LSPE) of silicon over oxide. This process involves annealing amorphous silicon that has been deposited on oxide patterned Si wafers. The (001) Si substrate forms the crystalline seed for epitaxial growth, permitting the generation of Si films that are both single crystal, and oriented to the substrate. This method is particularly attractive to fabrication that requires low temperature processing, because the Si films are deposited in the amorphous phase at temperatures near 525°C, and crystallized at temperatures near 570°C. It is also attractive for applications requiring three dimensional stacking of active silicon device layers, due to the relatively low temperatures involved. For sub-50 nm gate length MOSFET fabrication, an SOI thickness on the order of 10 nm will be required. One limitation of the LSPE process has been the need for thick films (0.5--2 mum) and/or heavy P doping (10 19--1020 cm-3) to increase the maximum achievable lateral growth distance, and therefore minimize the area on the substrate occupied by seed holes. This dissertation discusses the characterization and optimization of process conditions for large area LSPE silicon film growth, as well as efforts to adapt the traditional LSPE process to achieve ultra-thin SOI layers (Tsilicon ≤ 25 nm) while avoiding the use of heavy active doping layers. MOSFETs fabricated in these films that exhibit electron mobility comparable to the Universal Si MOS Mobility are described.

  20. Visible light laser voltage probing on thinned substrates

    DOEpatents

    Beutler, Joshua; Clement, John Joseph; Miller, Mary A.; Stevens, Jeffrey; Cole, Jr., Edward I.

    2017-03-21

    The various technologies presented herein relate to utilizing visible light in conjunction with a thinned structure to enable characterization of operation of one or more features included in an integrated circuit (IC). Short wavelength illumination (e.g., visible light) is applied to thinned samples (e.g., ultra-thinned samples) to achieve a spatial resolution for laser voltage probing (LVP) analysis to be performed on smaller technology node silicon-on-insulator (SOI) and bulk devices. Thinning of a semiconductor material included in the IC (e.g., backside material) can be controlled such that the thinned semiconductor material has sufficient thickness to enable operation of one or more features comprising the IC during LVP investigation.

  1. Development of Hospitalization Resource Intensity Scores for Kids (H-RISK) and Comparison across Pediatric Populations.

    PubMed

    Richardson, Troy; Rodean, Jonathan; Harris, Mitch; Berry, Jay; Gay, James C; Hall, Matt

    2018-04-25

    In the Medicare population, measures of relative severity of illness (SOI) for hospitalized patents have been used in prospective payment models. Similar measures for pediatric populations have not been fully developed. To develop hospitalization resource intensity scores for kids (H-RISK) using pediatric relative weights (RWs) for SOI and to compare hospital types on case-mix index (CMI). Using the 2012 Kids' Inpatient Database (KID), we developed RWs for each All Patient Refined Diagnosis Related Group (APR-DRG) and SOI level. RW corresponded to the ratio of the adjusted mean cost for discharges in an APR-DRG SOI combination over adjusted mean cost of all discharges in the dataset. RWs were applied to every discharge from 3,117 hospitals in the database with at least 20 discharges. RWs were then averaged at the hospital level to provide each hospital's CMI. CMIs were compared by hospital type using Kruskal- Wallis tests. The overall adjusted mean cost of weighted discharges in Healthcare Cost and Utilization Project KID 2012 was $6,135 per discharge. Solid organ and bone marrow transplantations represented 4 of the 10 highest procedural RWs (range: 35.5 to 91.7). Neonatal APRDRG SOIs accounted for 8 of the 10 highest medical RWs (range: 19.0 to 32.5). Free-standing children's hospitals yielded the highest median (interquartile range [IQR]) CMI (2.7 [2.2-3.1]), followed by urban teaching hospitals (1.8 [1.3-2.6]), urban nonteaching hospitals (1.1 [0.9-1.5]), and rural hospitals (0.8 [0.7-0.9]; P < .001). H-RISK for populations of pediatric admissions are sensitive to detection of substantial differences in SOI by hospital type. © 2018 Society of Hospital Medicine.

  2. Validation of the All Patient Refined Diagnosis Related Group (APR-DRG) Risk of Mortality and Severity of Illness Modifiers as a Measure of Perioperative Risk.

    PubMed

    McCormick, Patrick J; Lin, Hung-Mo; Deiner, Stacie G; Levin, Matthew A

    2018-03-22

    The All Patient Refined Diagnosis Related Group (APR-DRG) is an inpatient visit classification system that assigns a diagnostic related group, a Risk of Mortality (ROM) subclass and a Severity of Illness (SOI) subclass. While extensively used for cost adjustment, no study has compared the APR-DRG subclass modifiers to the popular Charlson Comorbidity Index as a measure of comorbidity severity in models for perioperative in-hospital mortality. In this study we attempt to validate the use of these subclasses to predict mortality in a cohort of surgical patients. We analyzed all adult (age over 18 years) inpatient non-cardiac surgery at our institution between December 2005 and July 2013. After exclusions, we split the cohort into training and validation sets. We created prediction models of inpatient mortality using the Charlson Comorbidity Index, ROM only, SOI only, and ROM with SOI. Models were compared by receiver-operator characteristic (ROC) curve, area under the ROC curve (AUC), and Brier score. After exclusions, we analyzed 63,681 patient-visits. Overall in-hospital mortality was 1.3%. The median number of ICD-9-CM diagnosis codes was 6 (Q1-Q3 4-10). The median Charlson Comorbidity Index was 0 (Q1-Q3 0-2). When the model was applied to the validation set, the c-statistic for Charlson was 0.865, c-statistic for ROM was 0.975, and for ROM and SOI combined the c-statistic was 0.977. The scaled Brier score for Charlson was 0.044, Brier for ROM only was 0.230, and Brier for ROM and SOI was 0.257. The APR-DRG ROM or SOI subclasses are better predictors than the Charlson Comorbidity Index of in-hospital mortality among surgical patients.

  3. Geographical distribution of the association between El Niño South Oscillation and dengue fever in the Americas: a continental analysis using geographical information system-based techniques.

    PubMed

    Ferreira, Marcos C

    2014-11-01

    El Niño South Oscillation (ENSO) is one climatic phenomenon related to the inter-annual variability of global meteorological patterns influencing sea surface temperature and rainfall variability. It influences human health indirectly through extreme temperature and moisture conditions that may accelerate the spread of some vector-borne viral diseases, like dengue fever (DF). This work examines the spatial distribution of association between ENSO and DF in the countries of the Americas during 1995-2004, which includes the 1997-1998 El Niño, one of the most important climatic events of 20(th) century. Data regarding the South Oscillation index (SOI), indicating El Niño-La Niña activity, were obtained from Australian Bureau of Meteorology. The annual DF incidence (AIy) by country was computed using Pan-American Health Association data. SOI and AIy values were standardised as deviations from the mean and plotted in bars-line graphics. The regression coefficient values between SOI and AIy (rSOI,AI) were calculated and spatially interpolated by an inverse distance weighted algorithm. The results indicate that among the five years registering high number of cases (1998, 2002, 2001, 2003 and 1997), four had El Niño activity. In the southern hemisphere, the annual spatial weighted mean centre of epidemics moved southward, from 6° 31' S in 1995 to 21° 12' S in 1999 and the rSOI,AI values were negative in Cuba, Belize, Guyana and Costa Rica, indicating a synchrony between higher DF incidence rates and a higher El Niño activity. The rSOI,AI map allows visualisation of a graded surface with higher values of ENSO-DF associations for Mexico, Central America, northern Caribbean islands and the extreme north-northwest of South America.

  4. 28 CFR 345.42 - Inmate worker dismissal.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... worker dismissal. The SOI may remove an inmate from Industries work status in cooperation with the unit team. (a) The SOI may remove an inmate from FPI work status according to the conditions outlined in the pay and benefits section of this policy and in cooperation with the unit team. (b) An inmate may be...

  5. Measurement Matrix Design for Phase Retrieval Based on Mutual Information

    NASA Astrophysics Data System (ADS)

    Shlezinger, Nir; Dabora, Ron; Eldar, Yonina C.

    2018-01-01

    In phase retrieval problems, a signal of interest (SOI) is reconstructed based on the magnitude of a linear transformation of the SOI observed with additive noise. The linear transform is typically referred to as a measurement matrix. Many works on phase retrieval assume that the measurement matrix is a random Gaussian matrix, which, in the noiseless scenario with sufficiently many measurements, guarantees invertability of the transformation between the SOI and the observations, up to an inherent phase ambiguity. However, in many practical applications, the measurement matrix corresponds to an underlying physical setup, and is therefore deterministic, possibly with structural constraints. In this work we study the design of deterministic measurement matrices, based on maximizing the mutual information between the SOI and the observations. We characterize necessary conditions for the optimality of a measurement matrix, and analytically obtain the optimal matrix in the low signal-to-noise ratio regime. Practical methods for designing general measurement matrices and masked Fourier measurements are proposed. Simulation tests demonstrate the performance gain achieved by the proposed techniques compared to random Gaussian measurements for various phase recovery algorithms.

  6. Two-mode division multiplexing in a silicon-on-insulator ring resonator.

    PubMed

    Dorin, Bryce A; Ye, Winnie N

    2014-02-24

    Mode-division multiplexing (MDM) is an emerging multiple-input multiple-output method, utilizing multimode waveguides to increase channel numbers. In the past, silicon-on-insulator (SOI) devices have been primarily focused on single-mode waveguides. We present the design and fabrication of a two-mode SOI ring resonator for MDM systems. By optimizing the device parameters, we have ensured that each mode is treated equally within the ring. Using adiabatic Bezier curves in the ring bends, our ring demonstrated a signal-to-crosstalk ratio above 18 dB for both modes at the through and drop ports. We conclude that the ring resonator has the potential for filtering and switching for MDM systems on SOI.

  7. Nanogranular SiO2 proton gated silicon layer transistor mimicking biological synapses

    NASA Astrophysics Data System (ADS)

    Liu, M. J.; Huang, G. S.; Feng, P.; Guo, Q. L.; Shao, F.; Tian, Z. A.; Li, G. J.; Wan, Q.; Mei, Y. F.

    2016-06-01

    Silicon on insulator (SOI)-based transistors gated by nanogranular SiO2 proton conducting electrolytes were fabricated to mimic synapse behaviors. This SOI-based device has both top proton gate and bottom buried oxide gate. Electrical transfer properties of top proton gate show hysteresis curves different from those of bottom gate, and therefore, excitatory post-synaptic current and paired pulse facilitation (PPF) behavior of biological synapses are mimicked. Moreover, we noticed that PPF index can be effectively tuned by the spike interval applied on the top proton gate. Synaptic behaviors and functions, like short-term memory, and its properties are also experimentally demonstrated in our device. Such SOI-based electronic synapses are promising for building neuromorphic systems.

  8. Development of the Stress of Immigration Survey: A Field Test Among Mexican Immigrant Women.

    PubMed

    Sternberg, Rosa Maria; Nápoles, Anna Maria; Gregorich, Steven; Paul, Steven; Lee, Kathryn A; Stewart, Anita L

    2016-01-01

    The Stress of Immigration Survey (SOIS) is a screening tool used to assess immigration-related stress. The mixed methods approach included concept development, pretesting, field testing, and psychometric evaluation in a sample of 131 low-income women of Mexican descent. The 21-item SOIS screens for stress related to language, immigrant status, work issues, yearning for family and home country, and cultural dissonance. Mean scores ranged from 3.6 to 4.4 (a scale of 1-5, higher is more stress). Cronbach α values were more than 0.80 for all subscales. The SOIS may be a useful screening tool for detecting high levels of immigration-related stress in low-income Mexican immigrant women.

  9. Enhanced biosensing resolution with foundry fabricated individually addressable dual-gated ISFETs.

    PubMed

    Duarte-Guevara, Carlos; Lai, Fei-Lung; Cheng, Chun-Wen; Reddy, Bobby; Salm, Eric; Swaminathan, Vikhram; Tsui, Ying-Kit; Tuan, Hsiao Chin; Kalnitsky, Alex; Liu, Yi-Shao; Bashir, Rashid

    2014-08-19

    The adaptation of semiconductor technologies for biological applications may lead to a new era of inexpensive, sensitive, and portable diagnostics. At the core of these developing technologies is the ion-sensitive field-effect transistor (ISFET), a biochemical to electrical transducer with seamless integration to electronic systems. We present a novel structure for a true dual-gated ISFET that is fabricated with a silicon-on-insulator (SOI) complementary metal-oxide-semiconductor process by Taiwan Semiconductor Manufacturing Company (TSMC). In contrast to conventional SOI ISFETs, each transistor has an individually addressable back-gate and a gate oxide that is directly exposed to the solution. The elimination of the commonly used floating gate architecture reduces the chance of electrostatic discharge and increases the potential achievable transistor density. We show that when operated in a "dual-gate" mode, the transistor response can exhibit sensitivities to pH changes beyond the Nernst limit. This enhancement in sensitivity was shown to increase the sensor's signal-to-noise ratio, allowing the device to resolve smaller pH changes. An improved resolution can be used to enhance small signals and increase the sensor accuracy when monitoring small pH dynamics in biological reactions. As a proof of concept, we demonstrate that the amplified sensitivity and improved resolution result in a shorter detection time and a larger output signal of a loop-mediated isothermal DNA amplification reaction (LAMP) targeting a pathogenic bacteria gene, showing benefits of the new structure for biosensing applications.

  10. The Early Development of Satellite Characterization Capabilities at the Air Force Laboratories

    NASA Astrophysics Data System (ADS)

    Lambert, J.; Kissell, K.

    This presentation overviews the development of optical Space Object Identification (SOI) techniques at the Air Force laboratories during the two-decade "pre-operational" period prior to 1980 when the Groundbased Electro-Optical Deep Space Surveillance (GEODSS) sensors were deployed. Beginning with the launch of Sputnik in 1957, the United States Air Force has actively pursued the development and application of optical sensor technology for the detection, tracking, and characterization of artificial satellites. Until the mid-1980s, these activities were primarily conducted within Air Force research and development laboratories which supplied data to the operational components on a contributing basis. This presentation traces the early evolution of the optical space surveillance technologies from the early experimental sensors that led to the current generation of operationally deployed and research systems. The contributions of the participating Air Force organizations and facilities will be reviewed with special emphasis on the development of technologies for the characterization of spacecraft using optical signatures and imagery. The presentation will include descriptions and photographs of the early facilities and instrumentation, and examples of the SOI collection and analysis techniques employed. In this early period, computer support was limited so all aspects of space surveillance relied heavily on manual interaction. Many military, government, educational, and contractor agencies supported the development of instrumentation and analysis techniques. This overview focuses mainly on the role played by Air Force System Command and Office of Aerospace Research, and the closely related activities at the Department of Defense Advanced Research Projects Agency. The omission of other agencies from this review reflects the limitations of this presentation, not the significance of their contributions.

  11. Amorphous silicon as high index photonic material

    NASA Astrophysics Data System (ADS)

    Lipka, T.; Harke, A.; Horn, O.; Amthor, J.; Müller, J.

    2009-05-01

    Silicon-on-Insulator (SOI) photonics has become an attractive research topic within the area of integrated optics. This paper aims to fabricate SOI-structures for optical communication applications with lower costs compared to standard fabrication processes as well as to provide a higher flexibility with respect to waveguide and substrate material choice. Amorphous silicon is deposited on thermal oxidized silicon wafers with plasma-enhanced chemical vapor deposition (PECVD). The material is optimized in terms of optical light transmission and refractive index. Different a-Si:H waveguides with low propagation losses are presented. The waveguides were processed with CMOS-compatible fabrication technologies and standard DUV-lithography enabling high volume production. To overcome the large mode-field diameter mismatch between incoupling fiber and sub-μm waveguides three dimensional, amorphous silicon tapers were fabricated with a KOH etched shadow mask for patterning. Using ellipsometric and Raman spectroscopic measurements the material properties as refractive index, layer thickness, crystallinity and material composition were analyzed. Rapid thermal annealing (RTA) experiments of amorphous thin films and rib waveguides were performed aiming to tune the refractive index of the deposited a-Si:H waveguide core layer after deposition.

  12. Effects of Self-Esteem and Mortality Salience on Attitudes Toward Canadian Security: Exploring the Significance of Implicit-Explicit and Personal-Collective Distinctions (Les Effets de L’Estime de soi et de la Pregnance de la Mort sur les Attitudes a L’Egard de la Securite Canadienne: Analyse de L’Importance des Distinctions entre Implicite et Explicite d’une Part et entre Personnel et Collectif D’Autre Part)

    DTIC Science & Technology

    2011-04-01

    la Reine (en droit du Canada), telle que représentée par le ministre de la Défense nationale, 2011 DRDC Toronto TR... la gestion de la terreur, c’est parce que les êtres humains sont les seuls à posséder la capacité de comprendre la finitude de la vie qu’ils ont...entre autres l’adhésion à une vision du monde culturellement significative et un sentiment de sécurité basé sur l’estime de soi. À ce jour,

  13. Compact modeling of total ionizing dose and aging effects in MOS technologies

    DOE PAGES

    Esqueda, Ivan S.; Barnaby, Hugh J.; King, Michael Patrick

    2015-06-18

    This paper presents a physics-based compact modeling approach that incorporates the impact of total ionizing dose (TID) and stress-induced defects into simulations of metal-oxide-semiconductor (MOS) devices and integrated circuits (ICs). This approach utilizes calculations of surface potential (ψs) to capture the charge contribution from oxide trapped charge and interface traps and to describe their impact on MOS electrostatics and device operating characteristics as a function of ionizing radiation exposure and aging effects. The modeling approach is demonstrated for bulk and silicon-on-insulator (SOI) MOS device. The formulation is verified using TCAD simulations and through the comparison of model calculations and experimentalmore » I-V characteristics from irradiated devices. The presented approach is suitable for modeling TID and aging effects in advanced MOS devices and ICs.« less

  14. Kondo effect with tunable spin-orbit interaction in LaTiO3/CeTiO3/SrTiO3 heterostructure.

    PubMed

    Ghising, Pramod; Das, Debarchan; Das, Shubhankar; Hossain, Z

    2018-07-18

    We have fabricated epitaxial films of CeTiO 3 (CTO) on (0 0 1) oriented SrTiO 3 (STO) substrates, which exhibit highly insulating and diamagnetic properties. X-ray photoelectron spectroscopy was used to establish the 3+  valence state of the Ce and Ti ions. Furthermore, we have also fabricated δ (CTO) doped LaTiO 3 (LTO)/SrTiO 3 thin films which exhibit variety of interesting properties including Kondo effect and spin-orbit interaction (SOI) at low temperatures. The SOI shows a non-monotonic behaviour as the thickness of the CTO layer is increased and is reflected in the value of characteristic SOI field ([Formula: see text]) obtained from weak anti-localization fitting. The maximum value of [Formula: see text] is 1.00 T for δ layer thickness of 6 u.c. This non-monotonic behaviour of SOI is attributed to the strong screening of the confining potential at the interface. The screening effect is enhanced by the CTO layer thickness and the dielectric constant of STO which increases at low temperatures. Due to the strong screening, electrons confined at the interface are spread deeper into the STO bulk where it starts to populate the Ti [Formula: see text] subbands; consequently the Fermi level crosses over from [Formula: see text] to the [Formula: see text] subbands. At the crossover region of [Formula: see text] where there is orbital mixing, SOI goes through a maximum.

  15. The Southern Oscillation and Prediction of `Der' Season Rainfall in Somalia.

    NASA Astrophysics Data System (ADS)

    Hutchinson, P.

    1992-05-01

    Somalia survives in semiarid to arid conditions, with annual rainfall totals rarely exceeding 700 mm, which are divided between two seasons. Many areas are arid, with negligible precipitation. Seasonal totals are highly variable. Thus, any seasonal rainfall forecast would be of significant importance to both the agricultural and animal husbandry communities. An investigation was carried out to determine whether there is a relationship between the Southern Oscillation and seasonal rainfall. No relationship exists between the Southern Oscillation and rainfall during the midyear `Gu' season, but it is shown that the year-end `Der' season precipitation is attected by the Southern Oscillation in southern and central areas of Somalia. Three techniques were used: correlation, regression, and simple contingency tables. Correlations between the SOI (Southern Oscillation index) and seasonal rainfall vary from zero up to about 0.8, with higher correlations in the south, both for individual stations and for area-averaged rainfall. Regression provides some predictive capacity, but the `explanation' of the variation in rainfall is not particularly high. The contingency tables revealed that there were very few occasions of both high SOI and high seasonal rainfall, although there was a wide scatter of seasonal rainfall associated with a low SOI.It is concluded that the SOI would be useful for planners, governments, and agencies as one tool in food/famine early warning but that the relationships are not strong enough for the average farmer to place much reliance on forecasts produced solely using the SOI.

  16. A Systematic Study of the Effect of Spin-Orbit Interaction on Properties of Tetravalent and Pentavalent Iridate Compounds

    NASA Astrophysics Data System (ADS)

    Terzic, Jasminka

    Previous studies of iridates have shown that an interplay of strong SOI, Coulomb interaction U, Hund's rule coupling and crystalline electric fields result in unexpected insulating states with complex magnetic states. The novel Jeff =1/2 insulating state first observed in Sr2IrO4 is a direct consequence of such an intriguing interplay and is one of the central foci of this dissertation study. The work presented here consists of three projects: (1) Effects of Tb doping on Sr2IrO4 having tetravalent Ir4+ (5d5) ions; (2) Emergence of unexpected magnetic states in double-perovskite (Ba1-xSr x)2YIrO6 with pentavalent Ir5+ (5d4) ions in the presence of strong SOI, and ( 3) The coexistence of a charge and magnetic order in a magnetic dimer chain system, Ba5AlIr2O11, which has both tetravalent Ir4+ (5d5) and pentavalent Ir5+ (5d4) ions. A significant portion of this dissertation will focus on Tb doped Sr 2IrO4. A central finding of this work is that slight Tb doping (3%) readily suppresses the antiferromagnetic state but retains the insulating state, indicating an unusual correlation between the magnetic and insulating states as a result of the presence of the strong SOI. However, SOI is not the only significant phenomenon. The study on the double-perovskite (Ba1-xSrx)2YIrO6 revealed an exotic magnetic ground state, in sharp contrast to the anticipated singlet ground state in the strong SOI limit, raising an urgent question: is SOI as dominant as was initially anticipated in the iridates? Finally, this study turns to a system containing both Ir4+ and Ir5+ ions, Ba5AlIr2O11. This system features dimer chains of two inequivalent octahedra occupied by tetravalent Ir4+ (5d5) and pentavalent Ir 5+ (5d4) ions respectively. Ba5AlIr 2O11 undergoes charge and magnetic order transitions at 210 K and 4.5 K, respectively. SOI-driven physics is a rapidly evolving field with an ever growing list of theoretical proposals which have enjoyed very limited experimental confirmation thus far

  17. Process for Fabrication of Superconducting Vias for Electrical Connection to Groundplane in Cryogenic Detectors

    NASA Technical Reports Server (NTRS)

    Denis, Kevin L. (Inventor)

    2018-01-01

    Disclosed are systems, methods, and non-transitory computer-readable storage media for fabrication of silicon on insulator (SOI) wafers with a superconductive via for electrical connection to a groundplane. Fabrication of the SOI wafer with a superconductive via can involve depositing a superconducting groundplane onto a substrate with the superconducting groundplane having an oxidizing layer and a non-oxidizing layer. A layer of monocrystalline silicon can be bonded to the superconducting groundplane and a photoresist layer can be applied to the layer of monocrystalline silicon and the SOI wafer can be etched with the oxygen rich etching plasma, resulting in a monocrystalline silicon top layer with a via that exposes the superconducting groundplane. Then, the fabrication can involve depositing a superconducting surface layer to cover the via.

  18. Low Force Icy Regolith Penetration Technology

    NASA Technical Reports Server (NTRS)

    Metzger, P. T.; Galloway, G. M.; Mantovani, J. G.; Zacny, K.; Zacny, Kris; Craft, Jack

    2011-01-01

    Recent data from the Moon, including LCROSS data, indicate large quantities of water ice and other volatiles frozen into the soil in the permanently shadowed craters near the poles. If verified and exploited, these volatiles will revolutionize spaceflight as an inexpensive source of propellants and other consumables outside Earth's gravity well. This report discusses a preliminary investigation of a method to insert a sensor through such a soiVice mixture to verify the presence, nature, and concentration of the ice. It uses percussion to deliver mechanical energy into the frozen mixture, breaking up the ice and decompacting the soil so that only low reaction forces are required from a rover or spacecraft to push the sensor downward. The tests demonstrate that this method may be ideal for a small platform in lunar gravity. However, there are some cases where the system may not be able to penetrate the icy soil, and there is some risk ofthe sensor becoming stuck so that it cannot be retracted, so further work is needed. A companion project (ISDS for Water Detection on the Lunar Surface) has performed preliminary investigation of a dielectric/thermal sensor for use with this system.

  19. Digital front end electronics design for the EUSO photon detector

    NASA Astrophysics Data System (ADS)

    Musico, P.; Pallavicini, M.; Petrolini, A.; Pratolongo, F.

    2003-09-01

    In this paper we will present the design status of the Digital Front End Electronic system (DFEE), that will be used for the EUSO photon detector. The DFEE is able to count the single photoelectrons coming form the detector for a given time period, store the numbers in a memory buffer and read them out after a trigger, using a serial communication line. Because of space, mass and power consumption constraints, the system will be implemented in an ASIC using a deep submicron technology. The actual design follows the original ideas of the system, though adding several new functionalities. A fully functional prototype chip has been submitted for fabrication in fall 2002. Extensive tests will be performed on it both with bench instrumentations and with the real sensor (the multi anode photomultiplier Hamamatsu R7600-M64), expecting significant results by early Summer 2003. Future work is needed to convert the design into a more robust RAD-hard technology, suitable for space applications and to include in the final die an additional circuit used to optimize the performances at high photons rates: the Analog Front End Electronics (AFEE). Moreover the base board used to house the multi anode photomultipliers is presented: it is the back-bone of the microcell and will be the basic block used to build up the EUSO focal surface.

  20. Neurofeedback in ADHD and insomnia: vigilance stabilization through sleep spindles and circadian networks.

    PubMed

    Arns, Martijn; Kenemans, J Leon

    2014-07-01

    In this review article an overview of the history and current status of neurofeedback for the treatment of ADHD and insomnia is provided. Recent insights suggest a central role of circadian phase delay, resulting in sleep onset insomnia (SOI) in a sub-group of ADHD patients. Chronobiological treatments, such as melatonin and early morning bright light, affect the suprachiasmatic nucleus. This nucleus has been shown to project to the noradrenergic locus coeruleus (LC) thereby explaining the vigilance stabilizing effects of such treatments in ADHD. It is hypothesized that both Sensori-Motor Rhythm (SMR) and Slow-Cortical Potential (SCP) neurofeedback impact on the sleep spindle circuitry resulting in increased sleep spindle density, normalization of SOI and thereby affect the noradrenergic LC, resulting in vigilance stabilization. After SOI is normalized, improvements on ADHD symptoms will occur with a delayed onset of effect. Therefore, clinical trials investigating new treatments in ADHD should include assessments at follow-up as their primary endpoint rather than assessments at outtake. Furthermore, an implication requiring further study is that neurofeedback could be stopped when SOI is normalized, which might result in fewer sessions. Copyright © 2012 Elsevier Ltd. All rights reserved.

  1. High temperature and frequency pressure sensor based on silicon-on-insulator layers

    NASA Astrophysics Data System (ADS)

    Zhao, Y. L.; Zhao, L. B.; Jiang, Z. D.

    2006-03-01

    Based on silicon on insulator (SOI) technology, a novel high temperature pressure sensor with high frequency response is designed and fabricated, in which a buried silicon dioxide layer in the silicon material is developed by the separation by implantation of oxygen (SIMOX) technology. This layer can isolate leak currents between the top silicon layer for the detecting circuit and body silicon at a temperature of about 200 °C. In addition, the technology of silicon and glass bonding is used to create a package of the sensor without internal strain. A structural model and test data from the sensor are presented. The experimental results showed that this kind of sensor possesses good static performance in a high temperature environment and high frequency dynamic characteristics, which may satisfy the pressure measurement demands of the oil industry, aviation and space, and so on.

  2. Desert Research and Technology Studies (DRATS) Traverse Planning

    NASA Technical Reports Server (NTRS)

    Horz, Friedrich

    2012-01-01

    Slide 1] The Desert Research and Technology Studies (DRATS) include large scale field tests of manned lunar surface exploration systems; these tests are sponsored by the Director s Office of Integration (DOI) [sic, Directorate Integration Office (DIO)] within the Constellation Program and they include geological exploration objectives along well designed traverses. These traverses are designed by the Traverse Team, an ad hoc group of some 10 geologists form NASA and academia, as well as experts in mission operation who define the operational constraints applicable to specific simulation scenarios. [Slide 2] These DRATS/DOI tests focus on 1) the performance of major surface systems, such as rovers, mobile habitats, communication architecture, navigation tools, earth-moving equipment, unmanned reconnaissance robots etc. under realistic field conditions and 2) the development of operational concepts that integrate all of these systems into a single, optimized operation. The participation of science is currently concentrating on geological sciences, with the objective of developing suitable tools and documentation protocols to sample representative rocks for Earth return, and to generate some conceptual understanding of the ground support structure that will be needed for the real time science-support of a lunar surface crew. [Slide 3] Major surface systems exercised in the June 2008 analog tests at the Moses Lake site, WA. [Upper left] The Chariot Rover (developed at Johnson Space Center) is an unpressurized vehicle driven by fully suited crews. [Upper right] Mobile Habitat provided by the Jet Propulsion Laboratory. Chariot is the more nimble and mobile vehicle and the idea is to drive the habitat remotely to some rendezvous place where Chariot would catch up - after a lengthy traverse - at the end of the day. [Lower left] The K-10 remotely operated robot (provided by NASA Ames Research Center) conducting scientific/geologic reconnaissance of the prospective traverse

  3. Hot-Electron Bolometer Mixers on Silicon-on-Insulator Substrates for Terahertz Frequencies

    NASA Technical Reports Server (NTRS)

    Skalare, Anders; Stern, Jeffrey; Bumble, Bruce; Maiwald, Frank

    2005-01-01

    A terahertz Hot-Electron Bolometer (HEB) mixer design using device substrates based on Silicon-On-Insulator (SOI) technology is described. This substrate technology allows very thin chips (6 pm) with almost arbitrary shape to be manufactured, so that they can be tightly fitted into a waveguide structure and operated at very high frequencies with only low risk for power leakages and resonance modes. The NbTiN-based bolometers are contacted by gold beam-leads, while other beamleads are used to hold the chip in place in the waveguide test fixture. The initial tests yielded an equivalent receiver noise temperature of 3460 K double-sideband at a local oscillator frequency of 1.462 THz and an intermediate frequency of 1.4 GHz.

  4. Mach-Zehnder Interferometer Biochemical Sensor Based on Silicon-on-Insulator Rib Waveguide with Large Cross Section

    PubMed Central

    Yuan, Dengpeng; Dong, Ying; Liu, Yujin; Li, Tianjian

    2015-01-01

    A high-sensitivity Mach-Zehnder interferometer (MZI) biochemical sensing platform based on Silicon-in-insulator (SOI) rib waveguide with large cross section is proposed in this paper. Based on the analyses of the evanescent field intensity, the mode polarization and cross section dimensions of the SOI rib waveguide are optimized through finite difference method (FDM) simulation. To realize high-resolution MZI read-out configuration based on the SOI rib waveguide, medium-filled trenches are employed and their performances are simulated through two-dimensional finite-difference-time domain (2D-FDTD) method. With the fundamental EH-polarized mode of the SOI rib waveguide with a total rib height of 10 μm, an outside rib height of 5 μm and a rib width of 2.5 μm at the operating wavelength of 1550 nm, when the length of the sensitive window in the MZI configuration is 10 mm, a homogeneous sensitivity of 7296.6%/refractive index unit (RIU) is obtained. Supposing the resolutions of the photoelectric detectors connected to the output ports are 0.2%, the MZI sensor can achieve a detection limit of 2.74 × 10−6 RIU. Due to high coupling efficiency of SOI rib waveguide with large cross section with standard single-mode glass optical fiber, the proposed MZI sensing platform can be conveniently integrated with optical fiber communication systems and (opto-) electronic systems, and therefore has the potential to realize remote sensing, in situ real-time detecting, and possible applications in the internet of things. PMID:26343678

  5. Interannual variations in wheat rust development in China and the United States in relation to the El Nino/Southern oscillation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Scherm, H.; Yang, X.B.

    The El Nino/Southern Oscillation (ENSO) is one of the most important and best-characterized mechanisms of global climatic variation. Because regional temperature and precipitation patterns are influenced by the ENSO and plant diseases are responsive to these factors, historical disease records may contain an ENSO-related signal. We used cross-spectral analysis to establish coherence and phase relationships between the Southern Oscillation Index (SOI), which is a measure of the ENSO, and long-term (>40 years) data on wheat stripe rust in five regions of northern China and wheat stem rust in four climatic divisions of the midwestern United States. Monthly SOI values weremore » averaged from March to June and October to March for analysis of the rust data from China and the United States, respectively, based when weather patterns in these regions are influenced by the ENSO. The coherence relationships showed consistent and significant (0.01 {le} P {le} 0.10) cooscillations between the rust and SOI series at temporal scales characteristic of the ENSO. The five stripe rust series were coherent with the SOI series at periodicities of 2.0 to 3.0 and 8.0 to 10.0 years, and three of the four stem rust series were coherent with the SOI series at a periodicity of 6.8 to 8.2 years. The phase relationships showed that, in most cases, the rust and SOI series cooscillated out of phase, suggesting that the associations between them are indirect. In a separate analysis of a shorter (18 years) stripe rust series form the Pacific Northwest of the United States, disease severity was significantly lower during El Nino years (warm phases of the ENSO) than during non-El Nino years (P {le} 0.0222) or during La Nina years (cold phases of the ENSO) (P {le}0.0253). Although no cause-and-effect relationships could be deduced, this analysis identified methods and directions for future research into relationships between climate and disease at extended temporal scales. 34 refs., 5 figs., 1

  6. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kodavasal, Janardhan; Kolodziej, Christopher P.; Ciatti, Stephen A.

    Gasoline compression ignition (GCI) is a low temperature combustion (LTC) concept that has been gaining increasing interest over the recent years owing to its potential to achieve diesel-like thermal efficiencies with significantly reduced engine-out nitrogen oxides (NOx) and soot emissions compared to diesel engines. In this work, closed-cycle computational fluid dynamics (CFD) simulations are performed of this combustion mode using a sector mesh in an effort to understand effects of model settings on simulation results. One goal of this work is to provide recommendations for grid resolution, combustion model, chemical kinetic mechanism, and turbulence model to accurately capture experimental combustionmore » characteristics. Grid resolutions ranging from 0.7 mm to 0.1 mm minimum cell sizes were evaluated in conjunction with both Reynolds averaged Navier-Stokes (RANS) and Large Eddy Simulation (LES) based turbulence models. Solution of chemical kinetics using the multi-zone approach is evaluated against the detailed approach of solving chemistry in every cell. The relatively small primary reference fuel (PRF) mechanism (48 species) used in this study is also evaluated against a larger 312-species gasoline mechanism. Based on these studies the following model settings are chosen keeping in mind both accuracy and computation costs – 0.175 mm minimum cell size grid, RANS turbulence model, 48-species PRF mechanism, and multi-zone chemistry solution with bin limits of 5 K in temperature and 0.05 in equivalence ratio. With these settings, the performance of the CFD model is evaluated against experimental results corresponding to a low load start of injection (SOI) timing sweep. The model is then exercised to investigate the effect of SOI on combustion phasing with constant intake valve closing (IVC) conditions and fueling over a range of SOI timings to isolate the impact of SOI on charge preparation and ignition. Simulation results indicate that there is an optimum

  7. 500 C Electronic Packaging and Dielectric Materials for High Temperature Applications

    NASA Technical Reports Server (NTRS)

    Chen, Liang-yu; Neudeck, Philip G.; Spry, David J.; Beheim, Glenn M.; Hunter, Gary W.

    2016-01-01

    High-temperature environment operable sensors and electronics are required for exploring the inner solar planets and distributed control of next generation aeronautical engines. Various silicon carbide (SiC) high temperature sensors, actuators, and electronics have been demonstrated at and above 500C. A compatible packaging system is essential for long-term testing and application of high temperature electronics and sensors. High temperature passive components are also necessary for high temperature electronic systems. This talk will discuss ceramic packaging systems developed for high temperature electronics, and related testing results of SiC circuits at 500C and silicon-on-insulator (SOI) integrated circuits at temperatures beyond commercial limit facilitated by these high temperature packaging technologies. Dielectric materials for high temperature multilayers capacitors will also be discussed. High-temperature environment operable sensors and electronics are required for probing the inner solar planets and distributed control of next generation aeronautical engines. Various silicon carbide (SiC) high temperature sensors, actuators, and electronics have been demonstrated at and above 500C. A compatible packaging system is essential for long-term testing and eventual applications of high temperature electronics and sensors. High temperature passive components are also necessary for high temperature electronic systems. This talk will discuss ceramic packaging systems developed for high electronics and related testing results of SiC circuits at 500C and silicon-on-insulator (SOI) integrated circuits at temperatures beyond commercial limit facilitated by high temperature packaging technologies. Dielectric materials for high temperature multilayers capacitors will also be discussed.

  8. Evaluation of Silicon-on-Insulator HTOP-01 Operational Amplifier for Wide Temperature Operation

    NASA Technical Reports Server (NTRS)

    Patterson, Richard; Hammoud, Ahmad; Elbuluk, Malik

    2008-01-01

    Electronics capable of operation under extreme temperatures are required in many of NASA space exploration missions. Aerospace and military applications, as well as some terrestrial industries constitute environments where electronic systems are anticipated to be exposed to extreme temperatures and wide-range thermal swings. Electronics that are able to withstand and operate efficiently in such harsh environments would simplify, if not eliminate, traditional thermal control elements and their associated structures for proper ambient operation. As a result, overall system mass would be reduced, design would be simplified, and reliability would be improved. Electronic parts that are built utilizing silicon-on-insulator (SOI) technology are known to offer better radiation-tolerance compared to their conventional silicon counterparts, provide faster switching, and consume less power. They also exhibit reduced leakage current and, thus, they are often tailored for high temperature operation. These attributes make SOI-based devices suitable for use in harsh environments where extreme temperatures and wide thermal swings are anticipated. A new operational amplifier, based on silicon-on-insulator technology and geared for high temperature well-logging applications, was recently introduced by Honeywell Corporation. This HTOP-01 dual precision operational amplifier is a low power device, operates on a single supply, and has an internal oscillator and an external clocking option [1]. It is rated for operation from -55 C to +225 C with a maximum output current capability of 50 mA. The amplifier chip is designed as a 14-pin, hermetically-sealed device in a ceramic package. Table I shows some of the device manufacturer s specifications.

  9. Evaluation of an Empirical Traction Equation for Forestry Tires

    Treesearch

    C.R. Vechinski; C.E. Johnson; R.L. Raper

    1998-01-01

    Variable load test data were used to evaluate the applicability of an existing forestry tire traction model for a new forestry tire and a worn tire of the same size with and without tire chains in a range of soil conditions. `The clay and sandy soi!s ranged in moisture content from 17 to 28%. Soil bulk density varied between 1.1 and 1.4g cm-3...

  10. Irregular Forces in Counterinsurgency Operations: Their Roles and Considerations

    DTIC Science & Technology

    2010-05-10

    highways channelized traffic between the larger population centers. Iraq’s oil reserves, conservatively estimated at 350 billion barrels , were the...laws, the easy availability of weapons made this matter hard to enforce. CF provided concrete barriers and other material to reinforce traffic control...sniper rifles, and handguns . To reduce GOI concerns of SOI rebellion, CF required all SOIs to obey Iraqi laws to include curfews when not on duty

  11. Trauma center variation in the management of pediatric patients with blunt abdominal solid organ injury: a national trauma data bank analysis.

    PubMed

    Safavi, Arash; Skarsgard, Erik D; Rhee, Peter; Zangbar, Bardiya; Kulvatunyou, Narong; Tang, Andrew; O'Keeffe, Terence; Friese, Randall S; Joseph, Bellal

    2016-03-01

    Nonoperative management of hemodynamically stable children with Solid Organ Injury (SOI) has become standard of care. The aim of this study is to identify differences in management of children with SOI treated at Adult Trauma Centers (ATC) versus Pediatric Trauma Centers (PTC). We hypothesized that patients treated at ATC would undergo more procedures than PTC. Patients younger than 18 years old with isolated SOI (spleen, liver, kidney) who were treated at level I-II ATC or PTC were identified from the 2011-2012 National Trauma Data Bank. The primary outcome measure was the incidence of operative management. Data was analyzed using multivariate logistic regression analysis. Procedures were defined as surgery or transarterial embolization (TAE). 6799 children with SOI (spleen: 2375, liver: 2867, kidney: 1557) were included. Spleen surgery was performed more frequently at ATC than PTC {101 (7.7%) vs. 52 (4.9%); P=0.007}. After adjusting for potential confounders (grade of injury, age, gender and injury severity score), admission at ATC was associated with higher odds of splenic surgery (OR: 1.5, 95% CI: 1.02-2.25; p=0.03). 11 and 8 children underwent kidney and liver operations respectively. TAE was performed in 17 patients with splenic, 34 with liver and 14 with kidney trauma. There was no practice variation between ATC and PTC regarding kidney and liver operations or TAE incidence. Operative management for SOI was more often performed at ATC. The presence of significant disparity in the management of children with splenic injuries justifies efforts to use these surgeries as a reported national quality indicator for trauma programs. Published by Elsevier Inc.

  12. Non-stationary influence of El Niño-Southern Oscillation and winter temperature on oak latewood growth in NW Iberian Peninsula.

    PubMed

    Rozas, Vicente; García-González, Ignacio

    2012-09-01

    The properties of El Niño-Southern Oscillation (ENSO), such as period, amplitude, and teleconnection strength to extratropical regions, have changed since the mid-1970s. ENSO affects the regional climatic regime in SW Europe, thus tree performance in the Iberian Peninsula could be affected by recent ENSO dynamics. We established four Quercus robur chronologies of earlywood and latewood widths in the NW Iberian Peninsula. The relationship between tree growth and the Southern Oscillation Index (SOI), the atmospheric expression of ENSO, showed that only latewood growth was correlated negatively with the SOI of the previous summer-autumn-winter. This relationship was non-stationary, with significant correlations only during the period 1952-1980; and also non-linear, with enhanced latewood growth only in La Niña years, i.e. years with a negative SOI index for the previous autumn. Non-linear relationship between latewood and SOI indicates an asymmetric influence of ENSO on tree performance, biassed towards negative SOI phases. During La Niña years, climate in the study area was warmer and wetter than during positive years, but only for 1952-1980. Winter temperatures became the most limiting factor for latewood growth since 1980, when mean regional temperatures increased by 1°C in comparison to previous periods. As a result, higher winter respiration rates, and the extension of the growing season, would probably cause an additional consumption of stored carbohydrates. The influence of ENSO and winter temperatures proved to be of great importance for tree growth, even at lower altitudes and under mild Atlantic climate in the NW Iberian Peninsula.

  13. Magnetic adatoms in two and four terminal graphene nanoribbons: A comparison between their spin polarized transport

    NASA Astrophysics Data System (ADS)

    Ganguly, Sudin; Basu, Saurabh

    2018-04-01

    We study the charge and spin transport in two and four terminal graphene nanoribbons (GNR) decorated with random distribution of magnetic adatoms. The inclusion of the magnetic adatoms generates only the z-component of the spin polarized conductance via an exchange bias in the absence of Rashba spin-orbit interaction (SOI), while in presence of Rashba SOI, one is able to create all the three (x, y and z) components. This has important consequences for possible spintronic applications. The charge conductance shows interesting behaviour near the zero of the Fermi energy. Where in presence of magnetic adatoms the familiar plateau at 2e2 / h vanishes, thereby transforming a quantum spin Hall insulating phase to an ordinary insulator. The local charge current and the local spin current provide an intuitive idea on the conductance features of the system. We found that, the local charge current is independent of Rashba SOI, while the three components of the local spin currents are sensitive to Rashba SOI. Moreover the fluctuations of the spin polarized conductance are found to be useful quantities as they show specific trends, that is, they enhance with increasing adatom densities. A two terminal GNR device seems to be better suited for possible spintronic applications.

  14. Statistical analysis of the El Niño-Southern Oscillation and sea-floor seismicity in the eastern tropical Pacific.

    PubMed

    Guillas, Serge; Day, Simon J; McGuire, B

    2010-05-28

    We present statistical evidence for a temporal link between variations in the El Niño-Southern Oscillation (ENSO) and the occurrence of earthquakes on the East Pacific Rise (EPR). We adopt a zero-inflated Poisson regression model to represent the relationship between the number of earthquakes in the Easter microplate on the EPR and ENSO (expressed using the southern oscillation index (SOI) for east Pacific sea-level pressure anomalies) from February 1973 to February 2009. We also examine the relationship between the numbers of earthquakes and sea levels, as retrieved by Topex/Poseidon from October 1992 to July 2002. We observe a significant (95% confidence level) positive influence of SOI on seismicity: positive SOI values trigger more earthquakes over the following 2 to 6 months than negative SOI values. There is a significant negative influence of absolute sea levels on seismicity (at 6 months lag). We propose that increased seismicity is associated with ENSO-driven sea-surface gradients (rising from east to west) in the equatorial Pacific, leading to a reduction in ocean-bottom pressure over the EPR by a few kilopascal. This relationship is opposite to reservoir-triggered seismicity and suggests that EPR fault activity may be triggered by plate flexure associated with the reduced pressure.

  15. High breakdown voltage and high driving current in a novel silicon-on-insulator MESFET with high- and low-resistance boxes in the drift region

    NASA Astrophysics Data System (ADS)

    Naderi, Ali; Mohammadi, Hamed

    2018-06-01

    In this paper a novel silicon-on-insulator metal oxide field effect transistor (SOI-MESFET) with high- and low-resistance boxes (HLRB) is proposed. This structure increases the current and breakdown voltage, simultaneously. The semiconductor at the source side of the channel is doped with higher impurity than the other parts to reduce its resistance and increase the driving current as low-resistance box. An oxide box is implemented at the upper part of the channel from the drain region toward the middle of the channel as the high-resistance box. Inserting a high-resistance box increases the breakdown voltage and improves the RF performance of the device because of its higher tolerable electric field and modification in gate-drain capacitance, respectively. The high-resistance region reduces the current density of the device which is completely compensated by low-resistance box. A 92% increase in breakdown voltage and an 11% improvement in the device current have been obtained. Also, maximum oscillation frequency, unilateral power gain, maximum available gain, maximum stable gain, and maximum output power density are improved by 7%, 35%, 23%, 26%, and 150%, respectively. These results show that the HLRB-SOI-MESFET can be considered as a candidate to replace Conventional SOI-MESFET (C-SOI-MESFET) for high-voltage and high-frequency applications.

  16. A Pearson Effective Potential for Monte Carlo Simulation of Quantum Confinement Effects in nMOSFETs

    NASA Astrophysics Data System (ADS)

    Jaud, Marie-Anne; Barraud, Sylvain; Saint-Martin, Jérôme; Bournel, Arnaud; Dollfus, Philippe; Jaouen, Hervé

    2008-12-01

    A Pearson Effective Potential model for including quantization effects in the simulation of nanoscale nMOSFETs has been developed. This model, based on a realistic description of the function representing the non zero-size of the electron wave packet, has been used in a Monte-Carlo simulator for bulk, single gate SOI and double-gate SOI devices. In the case of SOI capacitors, the electron density has been computed for a large range of effective field (between 0.1 MV/cm and 1 MV/cm) and for various silicon film thicknesses (between 5 nm and 20 nm). A good agreement with the Schroedinger-Poisson results is obtained both on the total inversion charge and on the electron density profiles. The ability of an Effective Potential approach to accurately reproduce electrostatic quantum confinement effects is clearly demonstrated.

  17. Instrument Packages for the Cold, Dark, High Radiation Environments

    NASA Technical Reports Server (NTRS)

    Clark, P. E.; Millar, P. S.; Yeh, P. S.; Beamna, B.; Brigham, D.; Feng, S.

    2011-01-01

    We are developing a small cold temperature instrument package concept that integrates a cold temperature power system and radhard ultra low temperature ultra low power electronics components and power supplies now under development into a cold temperature surface operational version of a planetary surface instrument package. We are already in the process of developing a lower power lower tem-perature version for an instrument of mutual interest to SMD and ESMD to support the search for volatiles (the mass spectrometer VAPoR, Volatile Analysis by Pyrolysis of Regolith) both as a stand alone instrument and as part of an environmental monitoring package.

  18. Prediction and Measurement of Temperature Fields in Silicon-on-Insulator Electronic Circuits

    DTIC Science & Technology

    1995-08-01

    common dimensions are given in Table 1. Almost all of the device power is dissipated in the channel. The electri- cally insulating implanted layer...data. Region or Component substrate Material SOI implanted insulating layers single-crystal silicon, 3 x 1015 boron atoms cm -3 Thermal... implanted silicon-dioxide layer in SOI wafers. The data for each device for varying powers fall near a line originating at P = 0 and T0 = 303 K

  19. Fabrication and characterization of the Si-photonics-integrated vertical resonant-cavity light-emitting diode

    NASA Astrophysics Data System (ADS)

    Kong, Duanhua; Kim, Taek; Kim, Sihan; Hong, Hyungi; Shcherbatko, Igor; Park, Youngsoo; Shin, Dongjae; Ha, Kyoung-Ho; Jeong, Gitae

    2014-03-01

    We designed and fabricated a 1.3-um hybrid vertical Resonant-Cavity Light-Emitting Diode for optical interconnect by using direct III-V wafer bonding on silicon on insulator (SOI). The device included InP based front distributed Bragg reflector (DBR), InGaAlAs based active layer, and SOI-based high-contrast-grating (HCG) as a back reflector. 42-uW continuous wave optical power was achieved at 20mA at room temperature.

  20. A comparison of heavy ion induced single event upset susceptibility in unhardened 6T/SRAM and hardened ADE/SRAM

    NASA Astrophysics Data System (ADS)

    Wang, Bin; Zeng, Chuanbin; Geng, Chao; Liu, Tianqi; Khan, Maaz; Yan, Weiwei; Hou, Mingdong; Ye, Bing; Sun, Youmei; Yin, Yanan; Luo, Jie; Ji, Qinggang; Zhao, Fazhan; Liu, Jie

    2017-09-01

    Single event upset (SEU) susceptibility of unhardened 6T/SRAM and hardened active delay element (ADE)/SRAM, fabricated with 0.35 μm silicon-on-insulator (SOI) CMOS technology, was investigated at heavy ion accelerator. The mechanisms were revealed by the laser irradiation and resistor-capacitor hardened techniques. Compared with conventional 6T/SRAM, the hardened ADE/SRAM exhibited higher tolerance to heavy ion irradiation, with an increase of about 80% in the LET threshold and a decrease of ∼64% in the limiting upset cross-section. Moreover, different probabilities between 0 → 1 and 1 → 0 transitions were observed, which were attributed to the specific architecture of ADE/SRAM memory cell. Consequently, the radiation-hardened technology can be an attractive alternative to the SEU tolerance of the device-level.

  1. ENSO and hydrologic extremes in the western United States

    USGS Publications Warehouse

    Cayan, D.R.; Redmond, K.T.; Riddle, L.G.

    1999-01-01

    Frequency distributions of daily precipitation in winter and daily stream flow from late winter to early summer, at several hundred sites in the western United States, exhibit strong and systematic responses to the two phases of ENSO. Most of the stream flows considered are driven by snowmelt. The Southern Oscillation index (SOI) is used as the ENSO phase indicator. Both modest (median) and larger (90th percentile) events were considered. In years with negative SOI values (El Nino), days with high daily precipitation and stream flow are more frequent than average over the Southwest and less frequent over the Northwest. During years with positive SOI values (La Nina), a nearly opposite pattern is seen. A more pronounced increase is seen in the number of days exceeding climatological 90th percentile values than in the number exceeding climatological 50th percentile values, for both precipitation and stream flow. Stream flow responses to ENSO extremes are accentuated over precipitation responses. Evidence suggests that the mechanism for this amplification involves ENSO-phase differences in the persistence and duration of wet episodes, affecting the efficiency of the process by which precipitation is converted to runoff. The SOI leads the precipitation events by several months, and hydrologic lags (mostly through snowmelt) dealy the stream flow response by several more months. The combined 6-12 month predictive aspect of this relationship should be of significant benefit in responding to flood (or drought) risk and in improving overall water management in the western states.Frequency distributions of daily precipitation in winter and daily stream flow from late winter to early summer, at several hundred sites in the western United States, exhibit strong and systematic responses to the two phases of ENSO. Most of the stream flows considered are driven by snowmelt. The Southern Oscillation index (SOI) is used as the ENSO phase indicator. Both modest (median) and larger

  2. Model-Based Infrared Metrology for Advanced Technology Nodes and 300 mm Wafer Processing

    NASA Astrophysics Data System (ADS)

    Rosenthal, Peter A.; Duran, Carlos; Tower, Josh; Mazurenko, Alex; Mantz, Ulrich; Weidner, Peter; Kasic, Alexander

    2005-09-01

    The use of infrared spectroscopy for production semiconductor process monitoring has evolved recently from primarily unpatterned, i.e. blanket test wafer measurements in a limited historical application space of blanket epitaxial, BPSG, and FSG layers to new applications involving patterned product wafer measurements, and new measurement capabilities. Over the last several years, the semiconductor industry has adopted a new set of materials associated with copper/low-k interconnects, and new structures incorporating exotic materials including silicon germanium, SOI substrates and high aspect ratio trenches. The new device architectures and more chemically sophisticated materials have raised new process control and metrology challenges that are not addressed by current measurement technology. To address the challenges we have developed a new infrared metrology tool designed for emerging semiconductor production processes, in a package compatible with modern production and R&D environments. The tool incorporates recent advances in reflectance instrumentation including highly accurate signal processing, optimized reflectometry optics, and model-based calibration and analysis algorithms. To meet the production requirements of the modern automated fab, the measurement hardware has been integrated with a fully automated 300 mm platform incorporating front opening unified pod (FOUP) interfaces, automated pattern recognition and high throughput ultra clean robotics. The tool employs a suite of automated dispersion-model analysis algorithms capable of extracting a variety of layer properties from measured spectra. The new tool provides excellent measurement precision, tool matching, and a platform for deploying many new production and development applications. In this paper we will explore the use of model based infrared analysis as a tool for characterizing novel bottle capacitor structures employed in high density dynamic random access memory (DRAM) chips. We will explore

  3. Simulations for Making On-farm Decisions in Relation to ENSO in Semi-arid Areas, South Africa

    NASA Astrophysics Data System (ADS)

    Tesfuhuney, W. A.; Crespo, O. O.; Walker, S. S.; Steyn, S. A.

    2017-12-01

    The study was employed to investigate and improve on-farm decision making on planting dates and fertilization by relating simulated yield and seasonal outlook information. The Agricultural Production Systems SIMulator model (APSIM) was used to explore ENSO/SOI effects for small-scale farmers to represent weather conditions and soil forms of semi-arid areas of Bothaville, Bethlehem and Bloemfontein regions in South Africa. The relationships of rainfall and SOI anomalies indicate a positive correlation, signifies ENSO/SOI as seasonal outlooks for study areas. Model evaluation results showed higher degree of bias (RMSEs/RMSE value of 0.88-0.98). The D-index of agreement in the range 0.61-0.71 indicate the ability of the APSIM-Maize model is an adequate tool in evaluating relative changes in maize yield in relation to various management practices and seasonal variations. During rainy, La Niño years (SOI > +5), highest simulated yields were found for Bethlehem in November with addition of 100 - 150 kg ha-1 N fertilization and up to 50 kg ha-1 for both Bothaville and Bloemfontein. With respect to various levels of fertilization, the dry El Niño years (SOI < -5) had a range of 0.90-1.31, 3.03-3.54 and 1.11-1.26 t ha-1 yields and showed to increase during La Niña years with a range of 2.50-2.66, 3.36-4.79 and 2.24-2.38 t ha-1 at Bothaville, Bethlehem and Bloemfontein for November planting. During El Niño episodes planting earlier and using 50 kg ha-1 fertilizer with improved short maturing cultivar are effective adaptation measures to counteract poor soils and erratic rainfall of semi-arid environment, Under optimal soil conditions and/or when probability of La Niño episodes, optimal yields are obtained by maximizing fertilization. Effective rainfall and tactical on-farm management decisions in associate with seasonal rainfall out looks information is a useful mechanism in reducing risk for dryland farming in semi-arid regions. Key word: Semi-arid; APSIM; SOI; El Ni

  4. A Systematic Transport and Thermodynamic Study of Heavy Transition Metal Oxides with Hexagonal Structure

    NASA Astrophysics Data System (ADS)

    Butrouna, Kamal

    There is no apparent, dominant interaction in heavy transition metal oxides (TMO), especially in 5d-TMO, where all relevant interactions are of comparable energy scales, and therefore strongly compete. In particular, the spin-orbit interaction (SOI) strongly competes with the electron-lattice and on-site Coulomb interaction (U). Therefore, any tool that allows one to tune the relative strengths of SOI and U is expected to offer an opportunity for the discovery and study of novel materials. BaIrO3 is a magnetic insulator driven by SOI, whereas the isostructural BaRuO3 is a paramagnetic metal. The contrasting ground states have been shown to result from the critical role of SOI in the iridate. This dissertation thoroughly examines a wide array of newly observed novel phenomena induced by adjusting the relative strengths of SOI and U via a systematic chemical substitution of the Ru4+(4d 4) ions for Ir4+(5d5) ions in BaIrO3, i.e., in high quality single crystals of BaIr1--x RuxO3(0.0 ≤ x ≤ 1.0). Our investigation of structural, magnetic, transport and thermal properties reveals that Ru substitution directly rebalances the competing energies so profoundly that it generates a rich phase diagram for BaIr 1--xRuxO 3 featuring two major effects: (1) Light Ru doping (0 ≤ x ≤ 0.15) prompts a simultaneous and precipitous drop in both the magnetic ordering temperature TC and the electrical resistivity, which exhibits metal-insulator transition at around TC. (2) Heavier Ru doping (0.41 ≤ x ≤ 0.82) induces a robust metallic and spin frustration state. For comparison and contrast, we also substituted Rh4+(4d 5) ions for Ir4+(5d5) ions in BaIrO3, i.e. in BaIr1--xRhxO 3(0.0 ≤ x ≤ 0.1), where Rh only reduces the SOI, but without altering the band filling. Hence, this system remains tuned at the Mott instability and is very susceptible to disorder scattering which gives rise to Anderson localization. KEYWORDS: spin-orbit interaction, heavy transition metal oxides

  5. Contrasting effects of climatic variability on the demography of a trans-equatorial migratory seabird.

    PubMed

    Genovart, Meritxell; Sanz-Aguilar, Ana; Fernández-Chacón, Albert; Igual, Jose M; Pradel, Roger; Forero, Manuela G; Oro, Daniel

    2013-01-01

    Large-scale seasonal climatic indices, such as the North Atlantic Oscillation (NAO) index or the Southern Oscillation Index (SOI), account for major variations in weather and climate around the world and may influence population dynamics in many organisms. However, assessing the extent of climate impacts on species and their life-history traits requires reliable quantitative statistical approaches. We used a new analytical tool in mark-recapture, the multi-event modelling, to simultaneously assess the influence of climatic variation on multiple demographic parameters (i.e. adult survival, transient probability, reproductive skipping and nest dispersal) at two Mediterranean colonies of the Cory's shearwater Calonectris diomedea, a trans-equatorial migratory long-lived seabird. We also analysed the impact of climate in the breeding success at the two colonies. We found a clear temporal variation of survival for Cory's shearwaters, strongly associated to the large-scale SOI especially in one of the colonies (up to 66% of variance explained). Atlantic hurricane season is modulated by the SOI and coincides with shearwater migration to their wintering areas, directly affecting survival probabilities. However, the SOI was a better predictor of survival probabilities than the frequency of hurricanes; thus, we cannot discard an indirect additive effect of SOI via food availability. Accordingly, the proportion of transients was also correlated with SOI values, indicating higher costs of first reproduction (resulting in either mortality or permanent dispersal) when bad environmental conditions occurred during winter before reproduction. Breeding success was also affected by climatic factors, the NAO explaining c. 41% of variance, probably as a result of its effect in the timing of peak abundance of squid and small pelagics, the main prey for shearwaters. No climatic effect was found either on reproductive skipping or on nest dispersal. Contrarily to what we expect for a long

  6. Hospital financing of ischaemic stroke: determinants of funding and usefulness of DRG subcategories based on severity of illness.

    PubMed

    Dewilde, Sarah; Annemans, Lieven; Pincé, Hilde; Thijs, Vincent

    2018-05-11

    Several Western and Arab countries, as well as over 30 States in the US are using the "All-Patient Refined Diagnosis-Related Groups" (APR-DRGs) with four severity-of-illness (SOI) subcategories as a model for hospital funding. The aim of this study is to verify whether this is an adequate model for funding stroke hospital admissions, and to explore which risk factors and complications may influence the amount of funding. A bottom-up analysis of 2496 ischaemic stroke admissions in Belgium compares detailed in-hospital resource use (including length of stay, imaging, lab tests, visits and drugs) per SOI category and calculates total hospitalisation costs. A second analysis examines the relationship between the type and location of the index stroke, medical risk factors, patient characteristics, comorbidities and in-hospital complications on the one hand, and the funding level received by the hospital on the other hand. This dataset included 2513 hospitalisations reporting on 35,195 secondary diagnosis codes, all medically coded with the International Classification of Disease (ICD-9). Total costs per admission increased by SOI (€3710-€16,735), with severe patients costing proportionally more in bed days (86%), and milder patients costing more in medical imaging (24%). In all resource categories (bed days, medications, visits and imaging and laboratory tests), the absolute utilisation rate was higher among severe patients, but also showed more variability. SOI 1-2 was associated with vague, non-specific stroke-related ICD-9 codes as primary diagnosis (71-81% of hospitalisations). 24% hospitalisations had, in addition to the primary diagnosis, other stroke-related codes as secondary diagnoses. Presence of lung infections, intracranial bleeding, severe kidney disease, and do-not-resuscitate status were each associated with extreme SOI (p < 0.0001). APR-DRG with SOI subclassification is a useful funding model as it clusters stroke patients in homogenous groups in

  7. Two prospective Li-based half-Heusler alloys for spintronic applications based on structural stability and spin–orbit effect

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, R. L.; Damewood, L.; Zeng, Y. J.

    To search for half-metallic materials for spintronic applications, instead of using an expensive trial-and-error experimental scheme, it is more efficient to use first-principles calculations to design materials first, and then grow them. In particular, using a priori information of the structural stability and the effect of the spin–orbit interaction (SOI) enables experimentalists to focus on favorable properties that make growing half-metals easier. We suggest that using acoustic phonon spectra is the best way to address the stability of promising half-metallic materials. Additionally, by carrying out accurate first-principles calculations, we propose two criteria for neglecting the SOI so the half-metallicity persists.more » As a result, based on the mechanical stability and the negligible SOI, we identified two half-metals, β-LiCrAs and β-LiMnSi, as promising half-Heusler alloys worth growing.« less

  8. Solid-state semiconductor optical cryocooler based on CdS nanobelts.

    PubMed

    Li, Dehui; Zhang, Jun; Wang, Xinjiang; Huang, Baoling; Xiong, Qihua

    2014-08-13

    We demonstrate the laser cooling of silicon-on-insulator (SOI) substrate using CdS nanobelts. The local temperature change of the SOI substrate exactly beneath the CdS nanobelts is deduced from the ratio of the Stokes and anti-Stokes Raman intensities from the Si layer on the top of the SOI substrate. We have achieved a 30 and 20 K net cooling starting from 290 K under a 3.8 mW 514 nm and a 4.4 mW 532 nm pumping, respectively. In contrast, a laser heating effect has been observed pumped by 502 and 488 nm lasers. Theoretical analysis based on the general static heat conduction module in the Ansys program package is conducted, which agrees well with the experimental results. Our investigations demonstrate the laser cooling capability of an external thermal load, suggesting the applications of II-VI semiconductors in all-solid-state optical cryocoolers.

  9. Two prospective Li-based half-Heusler alloys for spintronic applications based on structural stability and spin–orbit effect

    DOE PAGES

    Zhang, R. L.; Damewood, L.; Zeng, Y. J.; ...

    2017-07-07

    To search for half-metallic materials for spintronic applications, instead of using an expensive trial-and-error experimental scheme, it is more efficient to use first-principles calculations to design materials first, and then grow them. In particular, using a priori information of the structural stability and the effect of the spin–orbit interaction (SOI) enables experimentalists to focus on favorable properties that make growing half-metals easier. We suggest that using acoustic phonon spectra is the best way to address the stability of promising half-metallic materials. Additionally, by carrying out accurate first-principles calculations, we propose two criteria for neglecting the SOI so the half-metallicity persists.more » As a result, based on the mechanical stability and the negligible SOI, we identified two half-metals, β-LiCrAs and β-LiMnSi, as promising half-Heusler alloys worth growing.« less

  10. Dynamic Regimes of El Niño Southern Oscillation and Influenza Pandemic Timing

    PubMed Central

    Oluwole, Olusegun Steven Ayodele

    2017-01-01

    El Niño southern oscillation (ENSO) dynamics has been shown to drive seasonal influenza dynamics. Severe seasonal influenza epidemics and the 2009–2010 pandemic were coincident with chaotic regime of ENSO dynamics. ENSO dynamics from 1876 to 2016 were characterized to determine if influenza pandemics are coupled to chaotic regimes. Time-varying spectra of southern oscillation index (SOI) and sea surface temperature (SST) were compared. SOI and SST were decomposed to components using the algorithm of noise-assisted multivariate empirical mode decomposition. The components were Hilbert transformed to generate instantaneous amplitudes and phases. The trajectories and attractors of components were characterized in polar coordinates and state space. Influenza pandemics were mapped to dynamic regimes of SOI and SST joint recurrence of annual components. State space geometry of El Niños lagged by influenza pandemics were characterized and compared with other El Niños. Timescales of SOI and SST components ranged from sub-annual to multidecadal. The trajectories of SOI and SST components and the joint recurrence of annual components were dissipative toward chaotic attractors. Periodic, quasi-periodic, and chaotic regimes were present in the recurrence of trajectories, but chaos–chaos transitions dominated. Influenza pandemics occurred during chaotic regimes of significantly low transitivity dimension (p < 0.0001). El Niños lagged by influenza pandemics had distinct state space geometry (p < 0.0001). Chaotic dynamics explains the aperiodic timing, and varying duration and strength of El Niños. Coupling of all influenza pandemics of the past 140 years to chaotic regimes of low transitivity indicate that ENSO dynamics drives influenza pandemic dynamics. Forecasts models from ENSO dynamics should compliment surveillance for novel influenza viruses. PMID:29218303

  11. Influence of southern oscillation on autumn rainfall in Iran (1951-2011)

    NASA Astrophysics Data System (ADS)

    Roghani, Rabbaneh; Soltani, Saeid; Bashari, Hossein

    2016-04-01

    This study aimed to investigate the relationships between southern oscillation and autumn (October-December) rainfall in Iran. It also sought to identify the possible physical mechanisms involved in the mentioned relationships by analyzing observational atmospheric data. Analyses were based on monthly rainfall data from 50 synoptic stations with at least 35 years of records up to the end of 2011. Autumn rainfall time series were grouped by the average Southern Oscillation Index (SOI) and SOI phase methods. Significant differences between rainfall groups in each method were assessed by Kruskal-Wallis and Kolmogorov-Smirnov non-parametric tests. Their relationships were also validated using the linear error in probability space (LEPS) test. The results showed that average SOI and SOI phases during July-September were related with autumn rainfall in some regions located in the west and northwest of Iran, west coasts of the Caspian Sea and southern Alborz Mountains. The El Niño (negative) and La Niña (positive) phases were associated with increased and decreased autumn rainfall, respectively. Our findings also demonstrated the persistence of Southern Pacific Ocean's pressure signals on autumn rainfall in Iran. Geopotential height patterns were totally different in the selected El Niño and La Niña years over Iran. During the El Niño years, a cyclone was formed over the north of Iran and an anticyclone existed over the Mediterranean Sea. During La Niña years, the cyclone shifted towards the Mediterranean Sea and an anticyclone developed over Iran. While these El Niño conditions increased autumn rainfall in Iran, the opposite conditions during the La Niña phase decreased rainfall in the country. In conclusion, development of rainfall prediction models based on the SOI can facilitate agricultural and water resources management in Iran.

  12. Sensitivity Enhancement in Si Nanophotonic Waveguides Used for Refractive Index Sensing

    PubMed Central

    Shi, Yaocheng; Ma, Ke; Dai, Daoxin

    2016-01-01

    A comparative study is given for the sensitivity of several typical Si nanophotonic waveguides, including SOI (silicon-on-insulator) nanowires, nanoslot waveguides, suspended Si nanowires, and nanofibers. The cases for gas sensing (ncl ~ 1.0) and liquid sensing (ncl ~ 1.33) are considered. When using SOI nanowires (with a SiO2 buffer layer), the sensitivity for liquid sensing (S ~ 0.55) is higher than that for gas sensing (S ~ 0.35) due to lower asymmetry in the vertical direction. By using SOI nanoslot waveguides, suspended Si nanowires, and Si nanofibers, one could achieve a higher sensitivity compared to sensing with a free-space beam (S = 1.0). The sensitivity for gas sensing is higher than that for liquid sensing due to the higher index-contrast. The waveguide sensitivity of an optimized suspended Si nanowire for gas sensing is as high as 1.5, which is much higher than that of a SOI nanoslot waveguide. Furthermore, the optimal design has very large tolerance to the core width variation due to the fabrication error (∆w ~ ±50 nm). In contrast, a Si nanofiber could also give a very high sensitivity (e.g., ~1.43) while the fabrication tolerance is very small (i.e., ∆w < ±5 nm). The comparative study shows that suspended Si nanowire is a good choice to achieve ultra-high waveguide sensitivity. PMID:26950132

  13. Sensitivity Enhancement in Si Nanophotonic Waveguides Used for Refractive Index Sensing.

    PubMed

    Shi, Yaocheng; Ma, Ke; Dai, Daoxin

    2016-03-03

    A comparative study is given for the sensitivity of several typical Si nanophotonic waveguides, including SOI (silicon-on-insulator) nanowires, nanoslot waveguides, suspended Si nanowires, and nanofibers. The cases for gas sensing (ncl ~ 1.0) and liquid sensing (ncl ~ 1.33) are considered. When using SOI nanowires (with a SiO₂ buffer layer), the sensitivity for liquid sensing (S ~ 0.55) is higher than that for gas sensing (S ~ 0.35) due to lower asymmetry in the vertical direction. By using SOI nanoslot waveguides, suspended Si nanowires, and Si nanofibers, one could achieve a higher sensitivity compared to sensing with a free-space beam (S = 1.0). The sensitivity for gas sensing is higher than that for liquid sensing due to the higher index-contrast. The waveguide sensitivity of an optimized suspended Si nanowire for gas sensing is as high as 1.5, which is much higher than that of a SOI nanoslot waveguide. Furthermore, the optimal design has very large tolerance to the core width variation due to the fabrication error (∆w ~ ±50 nm). In contrast, a Si nanofiber could also give a very high sensitivity (e.g., ~1.43) while the fabrication tolerance is very small (i.e., ∆w < ±5 nm). The comparative study shows that suspended Si nanowire is a good choice to achieve ultra-high waveguide sensitivity.

  14. What do we do with all this video? Better understanding public engagement for image and video annotation

    NASA Astrophysics Data System (ADS)

    Wiener, C.; Miller, A.; Zykov, V.

    2016-12-01

    Advanced robotic vehicles are increasingly being used by oceanographic research vessels to enable more efficient and widespread exploration of the ocean, particularly the deep ocean. With cutting-edge capabilities mounted onto robotic vehicles, data at high resolutions is being generated more than ever before, enabling enhanced data collection and the potential for broader participation. For example, high resolution camera technology not only improves visualization of the ocean environment, but also expands the capacity to engage participants remotely through increased use of telepresence and virtual reality techniques. Schmidt Ocean Institute is a private, non-profit operating foundation established to advance the understanding of the world's oceans through technological advancement, intelligent observation and analysis, and open sharing of information. Telepresence-enabled research is an important component of Schmidt Ocean Institute's science research cruises, which this presentation will highlight. Schmidt Ocean Institute is one of the only research programs that make their entire underwater vehicle dive series available online, creating a collection of video that enables anyone to follow deep sea research in real time. We encourage students, educators and the general public to take advantage of freely available dive videos. Additionally, other SOI-supported internet platforms, have engaged the public in image and video annotation activities. Examples of these new online platforms, which utilize citizen scientists to annotate scientific image and video data will be provided. This presentation will include an introduction to SOI-supported video and image tagging citizen science projects, real-time robot tracking, live ship-to-shore communications, and an array of outreach activities that enable scientists to interact with the public and explore the ocean in fascinating detail.

  15. Photonic Hilbert transformers based on laterally apodized integrated waveguide Bragg gratings on a SOI wafer.

    PubMed

    Bazargani, Hamed Pishvai; Burla, Maurizio; Chrostowski, Lukas; Azaña, José

    2016-11-01

    We experimentally demonstrate high-performance integer and fractional-order photonic Hilbert transformers based on laterally apodized Bragg gratings in a silicon-on-insulator technology platform. The sub-millimeter-long gratings have been fabricated using single-etch electron beam lithography, and the resulting HT devices offer operation bandwidths approaching the THz range, with time-bandwidth products between 10 and 20.

  16. Proceedings of the 1996 Space Surveillance Workshop Held in Lexington, Massachusetts on 2-4 April 1996. Volume 1,

    DTIC Science & Technology

    1996-04-04

    of multi-spectral SOI data. These spectra are for blue (B), visible (V), red (R) and infrared (I). Broadband SOI can also be collected in the open...the etalon is of order 200nm with a finesse of order 20, three spectral channels in blue , red and near-IR can be created and separated using a low...References 1 Lincoln Labs. J. 5 (1992) Nol. 2 Laser Guide Star Adaptive Optics Workshop, Vols 1&2, R Q Fugate (Ed), SOR, Phillips Lab/LITE

  17. Frequency Dependence of Single-event Upset in Advanced Commerical PowerPC Microprocessors

    NASA Technical Reports Server (NTRS)

    Irom, Frokh; Farmanesh, Farhad F.; Swift, Gary M.; Johnston, Allen H.

    2004-01-01

    This paper examines single-event upsets in advanced commercial SOI microprocessors in a dynamic mode, studying SEU sensitivity of General Purpose Registers (GPRs) with clock frequency. Results are presented for SOI processors with feature sizes of 0.18 microns and two different core voltages. Single-event upset from heavy ions is measured for advanced commercial microprocessors in a dynamic mode with clock frequency up to 1GHz. Frequency and core voltage dependence of single-event upsets in registers is discussed.

  18. CMOS Rad-Hard Front-End Electronics for Precise Sensors Measurements

    NASA Astrophysics Data System (ADS)

    Sordo-Ibáñez, Samuel; Piñero-García, Blanca; Muñoz-Díaz, Manuel; Ragel-Morales, Antonio; Ceballos-Cáceres, Joaquín; Carranza-González, Luis; Espejo-Meana, Servando; Arias-Drake, Alberto; Ramos-Martos, Juan; Mora-Gutiérrez, José Miguel; Lagos-Florido, Miguel Angel

    2016-08-01

    This paper reports a single-chip solution for the implementation of radiation-tolerant CMOS front-end electronics (FEE) for applications requiring the acquisition of base-band sensor signals. The FEE has been designed in a 0.35μm CMOS process, and implements a set of parallel conversion channels with high levels of configurability to adapt the resolution, conversion rate, as well as the dynamic input range for the required application. Each conversion channel has been designed with a fully-differential implementation of a configurable-gain instrumentation amplifier, followed by an also configurable dual-slope ADC (DS ADC) up to 16 bits. The ASIC also incorporates precise thermal monitoring, sensor conditioning and error detection functionalities to ensure proper operation in extreme environments. Experimental results confirm that the proposed topologies, in conjunction with the applied radiation-hardening techniques, are reliable enough to be used without loss in the performance in environments with an extended temperature range (between -25 and 125 °C) and a total dose beyond 300 krad.

  19. A Temperature-Hardened Sensor Interface with a 12-Bit Digital Output Using a Novel Pulse Width Modulation Technique

    PubMed Central

    Badets, Franck; Nouet, Pascal; Masmoudi, Mohamed

    2018-01-01

    A fully integrated sensor interface for a wide operational temperature range is presented. It translates the sensor signal into a pulse width modulated (PWM) signal that is then converted into a 12-bit digital output. The sensor interface is based on a pair of injection locked oscillators used to implement a differential time-domain architecture with low sensitivity to temperature variations. A prototype has been fabricated using a 180 nm partially depleted silicon-on-insulator (SOI) technology. Experimental results demonstrate a thermal stability as low as 65 ppm/°C over a large temperature range from −20 °C up to 220 °C. PMID:29621171

  20. Evidence for a low-temperature magnetic ground state in double-perovskite iridates with I r5 +(5 d4) ions

    NASA Astrophysics Data System (ADS)

    Terzic, J.; Zheng, H.; Ye, Feng; Zhao, H. D.; Schlottmann, P.; De Long, L. E.; Yuan, S. J.; Cao, G.

    2017-08-01

    We report an unusual magnetic ground state in single-crystal, double-perovskite B a2YIr O6 and Sr-doped B a2YIr O6 with I r5 +(5 d4) ions. Long-range magnetic order below 1.7 K is confirmed by dc magnetization, ac magnetic susceptibility, and heat-capacity measurements. The observed magnetic order is extraordinarily delicate and cannot be explained in terms of either a low-spin S =1 state, or a singlet Jeff=0 state imposed by the spin-orbit interactions (SOI). Alternatively, the magnetic ground state appears consistent with a SOI that competes with comparable Hund's rule coupling and inherently large electron hopping, which cannot stabilize the singlet Jeff=0 ground state. However, this picture is controversial, and conflicting magnetic behavior for these materials is reported in both experimental and theoretical studies, which highlights the intricate interplay of interactions that determine the ground state of materials with strong SOI.

  1. Dislocation-free strained silicon-on-silicon by in-place bonding

    NASA Astrophysics Data System (ADS)

    Cohen, G. M.; Mooney, P. M.; Paruchuri, V. K.; Hovel, H. J.

    2005-06-01

    In-place bonding is a technique where silicon-on-insulator (SOI) slabs are bonded by hydrophobic attraction to the underlying silicon substrate when the buried oxide is undercut in dilute HF. The bonding between the exposed surfaces of the SOI slab and the substrate propagates simultaneously with the buried oxide etching. As a result, the slabs maintain their registration and are referred to as "bonded in-place". We report the fabrication of dislocation-free strained silicon slabs from pseudomorphic trilayer Si/SiGe/SOI by in-place bonding. Removal of the buried oxide allows the compressively strained SiGe film to relax elastically and induce tensile strain in the top and bottom silicon films. The slabs remain bonded to the substrate by van der Waals forces when the wafer is dried. Subsequent annealing forms a covalent bond such that when the upper Si and the SiGe layer are removed, the bonded silicon slab remains strained.

  2. EDMOS in ultrathin FDSOI: Impact of the drift region properties

    NASA Astrophysics Data System (ADS)

    Litty, Antoine; Ortolland, Sylvie; Golanski, Dominique; Dutto, Christian; Cristoloveanu, Sorin

    2016-11-01

    The development of high-voltage MOSFET (HVMOS) is necessary for including power management or radiofrequency functionalities in CMOS technology. In this paper, we investigate the fabrication and optimization of an Extended Drain MOSFET (EDMOS) directly integrated in the ultra-thin SOI film (7 nm) of the 28 nm FDSOI CMOS technology node. Thanks to TCAD simulations, we analyse in detail the device behaviour as a function of the doping level and length of the drift region. The influence of the back-plane doping type and of the back-biasing schemes is discussed. DC measurements of fabricated EDMOS samples reveal promising performances in particular in terms of specific on-resistance versus breakdown voltage trade-off. The experimental results indicate that, even in an ultrathin film, the engineering of the drift region could be a lever to obtain integrated HVMOS (3.3-5 V).

  3. The contribution of low-energy protons to the total on-orbit SEU rate

    DOE PAGES

    Dodds, Nathaniel Anson; Martinez, Marino J.; Dodd, Paul E.; ...

    2015-11-10

    Low- and high-energy proton experimental data and error rate predictions are presented for many bulk Si and SOI circuits from the 20-90 nm technology nodes to quantify how much low-energy protons (LEPs) can contribute to the total on-orbit single-event upset (SEU) rate. Every effort was made to predict LEP error rates that are conservatively high; even secondary protons generated in the spacecraft shielding have been included in the analysis. Across all the environments and circuits investigated, and when operating within 10% of the nominal operating voltage, LEPs were found to increase the total SEU rate to up to 4.3 timesmore » as high as it would have been in the absence of LEPs. Therefore, the best approach to account for LEP effects may be to calculate the total error rate from high-energy protons and heavy ions, and then multiply it by a safety margin of 5. If that error rate can be tolerated then our findings suggest that it is justified to waive LEP tests in certain situations. Trends were observed in the LEP angular responses of the circuits tested. As a result, grazing angles were the worst case for the SOI circuits, whereas the worst-case angle was at or near normal incidence for the bulk circuits.« less

  4. Theoretical-Experimental Analysis of the Effects of Grain Boundaries on the Electrical Properties of SOI (Silicon-on-Insulator) MOSFETS.

    DTIC Science & Technology

    1983-11-01

    work on recrystallization of polycrystalline silicon ( polysilicon ) films deposited on silicon-dioxide has demonstrated remarkable improvement in film...quality, and thus has identified another possibly viable 1SO technology for ICs. The polysilicon -on-S10 2 technology not only has the advantages alluded...and consequently higher areal device densities. Virtually all the research to date on polysilicon -on-SiO 2 has concentrated on the

  5. Integrated Optics for Planar imaging and Optical Signal Processing

    NASA Astrophysics Data System (ADS)

    Song, Qi

    Silicon photonics is a subject of growing interest with the potential of delivering planar electro-optical devices with chip scale integration. Silicon-on-insulator (SOI) technology has provided a marvelous platform for photonics industry because of its advantages in integration capability in CMOS circuit and countless nonlinearity applications in optical signal processing. This thesis is focused on the investigation of planar imaging techniques on SOI platform and potential applications in ultra-fast optical signal processing. In the first part, a general review and background introduction about integrated photonics circuit and planar imaging technique are provided. In chapter 2, planar imaging platform is realized by a silicon photodiode on SOI chip. Silicon photodiode on waveguide provides a high numerical aperture for an imaging transceiver pixel. An erbium doped Y2O3 particle is excited by 1550nm Laser and the fluorescent image is obtained with assistance of the scanning system. Fluorescence image is reconstructed by using image de-convolution technique. Under photovoltaic mode, we use an on-chip photodiode and an external PIN photodiode to realize similar resolution as 5μm. In chapter 3, a time stretching technique is developed to a spatial domain to realize a 2D imaging system as an ultrafast imaging tool. The system is evaluated based on theoretical calculation. The experimental results are shown for a verification of system capability to imaging a micron size particle or a finger print. Meanwhile, dynamic information for a moving object is also achieved by correlation algorithm. In chapter 4, the optical leaky wave antenna based on SOI waveguide has been utilized for imaging applications and extensive numerical studied has been conducted. and the theoretical explanation is supported by leaky wave theory. The highly directive radiation has been obtained from the broadside with 15.7 dB directivity and a 3dB beam width of ΔØ 3dB ≈ 1.65° in free space

  6. Tomographic image via background subtraction using an x-ray projection image and a priori computed tomography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang Jin; Yi Byongyong; Lasio, Giovanni

    Kilovoltage x-ray projection images (kV images for brevity) are increasingly available in image guided radiotherapy (IGRT) for patient positioning. These images are two-dimensional (2D) projections of a three-dimensional (3D) object along the x-ray beam direction. Projecting a 3D object onto a plane may lead to ambiguities in the identification of anatomical structures and to poor contrast in kV images. Therefore, the use of kV images in IGRT is mainly limited to bony landmark alignments. This work proposes a novel subtraction technique that isolates a slice of interest (SOI) from a kV image with the assistance of a priori information frommore » a previous CT scan. The method separates structural information within a preselected SOI by suppressing contributions to the unprocessed projection from out-of-SOI-plane structures. Up to a five-fold increase in the contrast-to-noise ratios (CNRs) was observed in selected regions of the isolated SOI, when compared to the original unprocessed kV image. The tomographic image via background subtraction (TIBS) technique aims to provide a quick snapshot of the slice of interest with greatly enhanced image contrast over conventional kV x-ray projections for fast and accurate image guidance of radiation therapy. With further refinements, TIBS could, in principle, provide real-time tumor localization using gantry-mounted x-ray imaging systems without the need for implanted markers.« less

  7. Reconstructing El Niño Southern Oscillation using data from ships' logbooks, 1815-1854. Part I: methodology and evaluation

    NASA Astrophysics Data System (ADS)

    Barrett, Hannah G.; Jones, Julie M.; Bigg, Grant R.

    2018-02-01

    The meteorological information found within ships' logbooks is a unique and fascinating source of data for historical climatology. This study uses wind observations from logbooks covering the period 1815 to 1854 to reconstruct an index of El Niño Southern Oscillation (ENSO) for boreal winter (DJF). Statistically-based reconstructions of the Southern Oscillation Index (SOI) are obtained using two methods: principal component regression (PCR) and composite-plus-scale (CPS). Calibration and validation are carried out over the modern period 1979-2014, assessing the relationship between re-gridded seasonal ERA-Interim reanalysis wind data and the instrumental SOI. The reconstruction skill of both the PCR and CPS methods is found to be high with reduction of error skill scores of 0.80 and 0.75, respectively. The relationships derived during the fitting period are then applied to the logbook wind data to reconstruct the historical SOI. We develop a new method to assess the sensitivity of the reconstructions to using a limited number of observations per season and find that the CPS method performs better than PCR with a limited number of observations. A difference in the distribution of wind force terms used by British and Dutch ships is found, and its impact on the reconstruction assessed. The logbook reconstructions agree well with a previous SOI reconstructed from Jakarta rain day counts, 1830-1850, adding robustness to our reconstructions. Comparisons to additional documentary and proxy data sources are provided in a companion paper.

  8. High Efficiency Photovoltaic and Plasmonic Devices

    DTIC Science & Technology

    2011-07-01

    on Si or SOI substrate along with its band alignment. This elongated mesa forms a strip channel aveguide……………………………….…4 Figure 3 Radiative and...lattice matched GeSn relaxed buffer on Si or SOI substrate along with its band alignment. This elongated mesa forms a strip channel waveguide...Appl. Phys. Lett. 90, 251105 (2007). 8. R. A. Soref and C. H. Perry, J. Appl. Phys. 69, 539 (1991). 9. H. P. L. de Guevara, A. G. Rodriguez , H

  9. A study of the total atmospheric sulfur dioxide load using ground-based measurements and the satellite derived Sulfur Dioxide Index

    NASA Astrophysics Data System (ADS)

    Georgoulias, A. K.; Balis, D.; Koukouli, M. E.; Meleti, C.; Bais, A.; Zerefos, C.

    We present characteristics of the sulfur dioxide (SO 2) loading over Thessaloniki, Greece, and seven other selected sites around the world using SO 2 total column measurements from Brewer spectrophotometers together with satellite estimates of the Version 8 TOMS Sulfur Dioxide Index (SOI) over the same locations, retrieved from Nimbus 7 TOMS (1979-1993), Earth Probe TOMS (1996-2003) and OMI/Aura (2004-2006). Traditionally, the SOI has been used to quantify the SO 2 quantities emitted during great volcanic eruptions. Here, we investigate whether the SOI can give an indication of the total SO 2 load for areas and periods away from eruptive volcanic activity by studying its relative changes as a correlative measure to the SO 2 total column. We examined time series from Thessaloniki and another seven urban and non-urban stations, five in the European Union (Arosa, De Bilt, Hohenpeissenberg, Madrid, Rome) and two in India (Kodaikanal, New Delhi). Based on the Brewer data, Thessaloniki shows high SO 2 total columns for a European Union city but values are still low if compared to highly affected regions like those in India. For the time period 1983-2006 the SO 2 levels above Thessaloniki have generally decreased with a rate of 0.028 Dobson Units (DU) per annum, presumably due to the European Union's strict sulfur control policies. The seasonal variability of the SO 2 total column exhibits a double peak structure with two maxima, one during winter and the second during summer. The winter peak can be attributed to central heating while the summer peak is due to synoptic transport from sources west of the city and sources in the north of Greece. A moderate correlation was found between the seasonal levels of Brewer total SO 2 and SOI for Thessaloniki, Greece ( R = 0.710-0.763) and Madrid, Spain ( R = 0.691) which shows that under specific conditions the SOI might act as an indicator of the SO 2 total load.

  10. Spin-dependent quantum transport in nanoscaled geometries

    NASA Astrophysics Data System (ADS)

    Heremans, Jean J.

    2011-10-01

    We discuss experiments where the spin degree of freedom leads to quantum interference phenomena in the solid-state. Under spin-orbit interactions (SOI), spin rotation modifies weak-localization to weak anti-localization (WAL). WAL's sensitivity to spin- and phase coherence leads to its use in determining the spin coherence lengths Ls in materials, of importance moreover in spintronics. Using WAL we measure the dependence of Ls on the wire width w in narrow nanolithographic ballistic InSb wires, ballistic InAs wires, and diffusive Bi wires with surface states with Rashba-like SOI. In all three systems we find that Ls increases with decreasing w. While theory predicts the increase for diffusive wires with linear (Rashba) SOI, we experimentally conclude that the increase in Ls under dimensional confinement may be more universal, with consequences for various applications. Further, in mesoscopic ring geometries on an InAs/AlGaSb 2D electron system (2DES) we observe both Aharonov-Bohm oscillations due to spatial quantum interference, and Altshuler-Aronov-Spivak oscillations due to time-reversed paths. A transport formalism describing quantum coherent networks including ballistic transport and SOI allows a comparison of spin- and phase coherence lengths extracted for such spatial- and temporal-loop quantum interference phenomena. We further applied WAL to study the magnetic interactions between a 2DES at the surface of InAs and local magnetic moments on the surface from rare earth (RE) ions (Gd3+, Ho3+, and Sm3+). The magnetic spin-flip rate carries information about magnetic interactions. Results indicate that the heavy RE ions increase the SOI scattering rate and the spin-flip rate, the latter indicating magnetic interactions. Moreover Ho3+ on InAs yields a spin-flip rate with an unusual power 1/2 temperature dependence, possibly characteristic of a Kondo system. We acknowledge funding from DOE (DE-FG02-08ER46532).

  11. Fabrication Methods for Adaptive Deformable Mirrors

    NASA Technical Reports Server (NTRS)

    Toda, Risaku; White, Victor E.; Manohara, Harish; Patterson, Keith D.; Yamamoto, Namiko; Gdoutos, Eleftherios; Steeves, John B.; Daraio, Chiara; Pellegrino, Sergio

    2013-01-01

    Previously, it was difficult to fabricate deformable mirrors made by piezoelectric actuators. This is because numerous actuators need to be precisely assembled to control the surface shape of the mirror. Two approaches have been developed. Both approaches begin by depositing a stack of piezoelectric films and electrodes over a silicon wafer substrate. In the first approach, the silicon wafer is removed initially by plasmabased reactive ion etching (RIE), and non-plasma dry etching with xenon difluoride (XeF2). In the second approach, the actuator film stack is immersed in a liquid such as deionized water. The adhesion between the actuator film stack and the substrate is relatively weak. Simply by seeping liquid between the film and the substrate, the actuator film stack is gently released from the substrate. The deformable mirror contains multiple piezoelectric membrane layers as well as multiple electrode layers (some are patterned and some are unpatterned). At the piezolectric layer, polyvinylidene fluoride (PVDF), or its co-polymer, poly(vinylidene fluoride trifluoroethylene P(VDF-TrFE) is used. The surface of the mirror is coated with a reflective coating. The actuator film stack is fabricated on silicon, or silicon on insulator (SOI) substrate, by repeatedly spin-coating the PVDF or P(VDFTrFE) solution and patterned metal (electrode) deposition. In the first approach, the actuator film stack is prepared on SOI substrate. Then, the thick silicon (typically 500-micron thick and called handle silicon) of the SOI wafer is etched by a deep reactive ion etching process tool (SF6-based plasma etching). This deep RIE stops at the middle SiO2 layer. The middle SiO2 layer is etched by either HF-based wet etching or dry plasma etch. The thin silicon layer (generally called a device layer) of SOI is removed by XeF2 dry etch. This XeF2 etch is very gentle and extremely selective, so the released mirror membrane is not damaged. It is possible to replace SOI with silicon

  12. Self-heating and scaling of thin body transistors

    NASA Astrophysics Data System (ADS)

    Pop, Eric

    The most often cited technological roadblock of nanoscale electronics is the "power problem," i.e. power densities and device temperatures reaching levels that will prevent their reliable operation. Technology roadmap (ITRS) requirements are expected to lead to more heat dissipation problems, especially with the transition towards geometrically confined device geometries (SOI, FinFET, nanowires), and new materials with poor thermal properties. This work examines the physics of heat generation in silicon, and in the context of nanoscale CMOS transistors. A new Monte Carlo code (MONET) is introduced which uses analytic descriptions of both the electron bands and the phonon dispersion. Detailed heat generation statistics are computed in bulk and strained silicon, and within simple device geometries. It is shown that non-stationary transport affects heat generation near strongly peaked electric fields, and that self-heating occurs almost entirely in the drain end of short, quasi-ballistic devices. The dissipated power is spectrally distributed between the (slow) optical and (fast) acoustic phonon modes approximately by a ratio of two to one. In addition, this work explores the limits of device design and scaling from an electrical and thermal point of view. A self-consistent electro-thermal compact model for thin-body (SOI, GOI) devices is introduced for calculating operating temperature, saturation current and intrinsic gate delay. Self-heating is sensitive to several device parameters, such as raised source/drain height and material boundary thermal resistance. An experimental method is developed for extracting via/contact thermal resistance from electrical measurements. The analysis suggests it is possible to optimize device geometry in order to simultaneously minimize operating temperature and intrinsic gate delay. Electro-thermal contact and device design are expected to become more important with continued scaling.

  13. Electronics for Extreme Environments

    NASA Astrophysics Data System (ADS)

    Patel, J. U.; Cressler, J.; Li, Y.; Niu, G.

    2001-01-01

    Most of the NASA missions involve extreme environments comprising radiation and low or high temperatures. Current practice of providing friendly ambient operating environment to electronics costs considerable power and mass (for shielding). Immediate missions such as the Europa orbiter and lander and Mars landers require the electronics to perform reliably in extreme conditions during the most critical part of the mission. Some other missions planned in the future also involve substantial surface activity in terms of measurements, sample collection, penetration through ice and crust and the analysis of samples. Thus it is extremely critical to develop electronics that could reliably operate under extreme space environments. Silicon On Insulator (SOI) technology is an extremely attractive candidate for NASA's future low power and high speed electronic systems because it offers increased transconductance, decreased sub-threshold slope, reduced short channel effects, elimination of kink effect, enhanced low field mobility, and immunity from radiation induced latch-up. A common belief that semiconductor devices function better at low temperatures is generally true for bulk devices but it does not hold true for deep sub-micron SOI CMOS devices with microscopic device features of 0.25 micrometers and smaller. Various temperature sensitive device parameters and device characteristics have recently been reported in the literature. Behavior of state of the art technology devices under such conditions needs to be evaluated in order to determine possible modifications in the device design for better performance and survivability under extreme environments. Here, we present a unique approach of developing electronics for extreme environments to benefit future NASA missions as described above. This will also benefit other long transit/life time missions such as the solar sail and planetary outposts in which electronics is out open in the unshielded space at the ambient space

  14. Intrinsic Gilbert Damping in Metallic Ferromagnets in Ballistic Regime and the Effect of Inelastic Electron Scattering from Magnetic Moments: A Time Dependent Keldysh Green Function Approach

    NASA Astrophysics Data System (ADS)

    Mahfouzi, Farzad; Kioussis, Nicholas

    Gilbert damping in metallic ferromagnets is mainly governed by the exchange coupling between the electrons and the magnetic degree of freedom, where the time dependent evolution of the magnetization leads to the excitation of electrons and loss of energy as a result of flow of spin and charge currents. However, it turns out that when the magnetization evolves slowly in time, in the presence of spin-orbit interaction (SOI), the resonant electronic excitations has a major contribution to the damping which leads to infinite result in ballistic regime. In this work we consider the inelastic spin-flip scattering of electrons from the magnetic moments and show that in the presence of SOI it leads to the relaxation of the excited electrons. We show that in the case of clean crystal systems such scattering leads to a linear dependence of the Gilbert on the SOI strength and in the limit of diffusive systems we get the Gilbert damping expression obtained from Kambersky's Fermi breathing approach. This research was supported by NSF-PREM Grant No. DMR-1205734

  15. Improvements in Fabrication of 3D SU-8 Prisms for Low-Coupling-Loss Interconnections Between Fibers and Waveguides

    NASA Astrophysics Data System (ADS)

    Nguyen, Minh-Hang; Chu, Thi-Xuan; Nguyen, Long; Nguyen, Hai-Binh; Lee, Chun-Wei; Tseng, Fan-Gang; Chen, Te-Chang; Lee, Ming-Chang

    2016-11-01

    Fabrication of three-dimensional (3D) SU-8 (an epoxy-based negative photoresist from MicroChem) prisms as low-loss couplers for interconnection between optical components, particularly optical fibers and silicon-on-isolator waveguides (SOI WGs), which have mismatched mode sizes, has been investigated. With an interfacial structure formed by a 3D SU-8 prism partly overlaying an SOI WG end with a portion of buried oxide (BOX) removed under the interface, low-loss coupling is ensured and the transmission efficiency can reach 70%. To fabricate these 3D SU-8 prisms, a simple method with two photolithography steps was used for SU-8 hinges and CYTOP (an amorphous fluoropolymer from AGC Chemicals) prism windows, with mild soft and hard bakes, to define the prism profiles with diluted SU-8 filled in the CYTOP prism windows. A buffered oxide etchant is used to remove BOX parts under the interfaces. Some of the fabricated structures were tested, demonstrating the contribution of overlaying SU-8 prisms to the transmission efficiency of optical interconnections between fibers and SOI WGs.

  16. Spin precession in spin-orbit coupled weak links: Coulomb repulsion and Pauli quenching

    NASA Astrophysics Data System (ADS)

    Shekhter, R. I.; Entin-Wohlman, O.; Jonson, M.; Aharony, A.

    2017-12-01

    A simple model for the transmission of pairs of electrons through a weak electric link in the form of a nanowire made of a material with strong electron spin-orbit interaction (SOI) is presented, with emphasis on the effects of Coulomb interactions and the Pauli exclusion principle. The constraints due to the Pauli principle are shown to "quench" the coherent SOI-induced precession of the spins when the spatial wave packets of the two electrons overlap significantly. The quenching, which results from the projection of the pair's spin states onto spin-up and spin-down states on the link, breaks up the coherent propagation in the link into a sequence of coherent hops that add incoherently. Applying the model to the transmission of Cooper pairs between two superconductors, we find that in spite of Pauli quenching, the Josephson current oscillates with the strength of the SOI, but may even change its sign (compared to the limit of the Coulomb blockade, when the quenching is absent). Conditions for an experimental detection of these features are discussed.

  17. An integrated optic ethanol vapor sensor based on a silicon-on-insulator microring resonator coated with a porous ZnO film.

    PubMed

    Yebo, Nebiyu A; Lommens, Petra; Hens, Zeger; Baets, Roel

    2010-05-24

    Optical structures fabricated on silicon-on-insulator technology provide a convenient platform for the implementation of highly compact, versatile and low cost devices. In this work, we demonstrate the promise of this technology for integrated low power and low cost optical gas sensing. A room temperature ethanol vapor sensor is demonstrated using a ZnO nanoparticle film as a coating on an SOI micro-ring resonator of 5 microm in radius. The local coating on the ring resonators is prepared from colloidal suspensions of ZnO nanoparticles of around 3 nm diameter. The porous nature of the coating provides a large surface area for gas adsorption. The ZnO refractive index change upon vapor adsorption shifts the microring resonance through evanescent field interaction. Ethanol vapor concentrations down to 100 ppm are detected with this sensing configuration and a detection limit below 25 ppm is estimated.

  18. Sociosexuality in mainland China.

    PubMed

    Zheng, Wei Jun; Zhou, Xu Dong; Wang, Xiao Lei; Hesketh, Therese

    2014-04-01

    The construct of sociosexuality or sociosexual orientation describes the extent to which people will have casual, uncommitted sexual relationships. The Sociosexual Orientation Inventory (SOI) has been used to measure sociosexuality in many countries, but not in China. The aims of this study were to explore sociosexuality in a cross-section of the Chinese adult population, to quantify sex differences in sociosexuality, and to examine the sociodemographic correlates and the impact of the high sex ratio. The study consisted of a cross-sectional survey using a self-completion questionnaire. It was administered to adults of reproductive age in three provinces: Zhejiang, Guizhou, and Yunnan. While questionnaires were received from 7,424 participants, total SOI scores could be computed only for the 4,645 (63 %) who completed all seven items of the SOI. The mean score for men and women combined was 21.0, very low compared with most other countries, indicating restricted sociosexuality. The men (n = 2,048) had a mean of 27, showing more restricted sociosexuality than in all other countries where the SOI has been used. Wealth was the strongest independent correlate of high (unrestricted) sociosexuality in men and women. The effect size for the difference between the sexes was moderate (Cohen's d = .64), and comparable to more developed countries, perhaps reflecting relative gender equality in contemporary China. Despite the very high sex ratio, which is theorized to lead to restricted sexuality, its influence was difficult to determine, since differences in sociosexuality between high and low sex ratio areas within this population were inconsistent.

  19. The influence of the La Niña-El Niño cycle on giant mud crab (Scylla serrata) catches in Northern Australia

    NASA Astrophysics Data System (ADS)

    Meynecke, Jan-Olaf; Grubert, Mark; Arthur, James Michael; Boston, Ray; Lee, Shing Yip

    2012-03-01

    Mud crabs (Scylla spp.) are a high value commodity harvested in the Indo-West Pacific. Scylla species support important artisanal fisheries in south-east Asia and intensive commercial fisheries in Australia where the market demand and catch has increased markedly over the last decade. Over-fishing of Scylla spp. has been observed at varying levels throughout its distribution. Fluctuations in catch rates and abundance are thought to be driven by climate parameters. Here we analyse monthly, seasonal and annual patterns in catch and effort data (from 1990 to 2008) for the commercial giant mud crab (Scylla serrata) fishery in the Northern Territory, Australia, with corresponding climatic data (rainfall, freshwater runoff, sea surface temperature) and the Southern Oscillation Index (SOI) as an indicator of La Niña/El Niño events. Between 30 and 40% of the variation in catch per unit effort can be explained by rainfall and SOI alone. This result was supported by linear mixed models which identified SOI as the main contributor to the model. Spectral analyses showed that catch peaks coincided with a four year La Niña cycle. One- and two-year time lags (consistent with S. Serrata's life cycle) were also significantly correlated to SOI values and rainfall. These outcomes may assist fishery managers in planning fishing exposure period and duration. Furthermore, findings of this study provide information on the vulnerability of S. serrata to fluctuations in environmental conditions and can help to apply protective measures when and where necessary.

  20. Mining spatial information to investigate the evolution of karst rocky desertification and its human driving forces in Changshun, China.

    PubMed

    Xu, Erqi; Zhang, Hongqi; Li, Mengxian

    2013-08-01

    The processes of karst rocky desertification (KRD) have been found to cause the most severe environmental degradation in southwestern China. Understanding the driving forces that cause KRD is essential for managing and restoring the areas that it impacts. Studies of the human driving forces of KRD are limited to the county level, a specific administrative unit in China; census data are acquired at this scale, which can lead to scale biases. Changshun County is studied here as a representative area and anthropogenic influences in the county are accounted for by using Euclidean distances for the proximity to roads and settlements. We propose a standard coefficient of human influence (SOI) that standardizes the Euclidean distances for different KRD transformations to compare the effects of human activities in different areas. In Changshun County, the individual influences of roads and settlements share similar characteristics. The SOIs of improved KRD transformation types are almost negative, but the SOIs of deteriorated types are nearly positive except for one form of KRD turning to the extremely severe KRD. The results indicated that the distribution and evolution of the KRD areas from 2000 to 2010 in Changshun were affected positively by human activities (e.g., KRD restoration projects) and also negatively (e.g., by intense and irrational land use). Our results demonstrate that the spatial techniques and SOI used in this study can effectively incorporate information concerning human influences and internal KRD transformations. This provides a suitable approach for studying the relationships between human activities and KRD processes at fine scales. Copyright © 2013 Elsevier B.V. All rights reserved.

  1. Insulin-like growth factor I gene polymorphism associated with growth and carcass traits in Thai synthetic chickens.

    PubMed

    Promwatee, N; Laopaiboon, B; Vongpralub, T; Phasuk, Y; Kunhareang, S; Boonkum, W; Duangjinda, M

    2013-03-15

    Four Thai synthetic chicken lines (Kaen Thong, Khai Mook Esarn, Soi Nin, and Soi Pet) originated from Thai native and exotic commercial chickens were evaluated for their growth and carcass traits with the purpose of developing a Thai broiler breeding program. Insulin-like growth factor I (IGF-I) gene is known to play an important role in growth, proliferation and differentiation. Consequently, we investigated the possibility of using the IGF-I gene for marker-assisted selection in Thai synthetic chickens. We looked for variations in the IGF-I gene and studied their association with growth and carcass traits; 1046 chickens were genotyped using PCR-RFLP methods. A general linear model was used to analyze associations of the IGF-I polymorphism with growth and carcass traits. Kaen Thong, Khai Mook Esarn, and Soi Nin chickens were found to carry similar frequencies of alleles A and C (0.40-0.60), while Soi Pet chickens had high frequencies of allele C (0.75). The IGF-I gene was significantly associated with some growth traits (body weight at hatching, and at 4, 8, 12, and 14 weeks of age; average daily gain during 0-12 and 0-14 weeks of age) in all synthetic chickens. Carcass traits (the percentage of dressing and pectoralis major) were significantly different only in Khai Mook Esarn chickens. We conclude that IGF-I can be used as a marker gene for the selection of growth and carcass traits of synthetic chickens in a marker-assisted selection program.

  2. High-Q silicon-on-insulator slot photonic crystal cavity infiltrated by a liquid

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Caër, Charles; Le Roux, Xavier; Cassan, Eric, E-mail: eric.cassan@u-psud.fr

    We report the experimental realization of a high-Q slot photonic crystal cavity in Silicon-On-Insulator (SOI) configuration infiltrated by a liquid. Loaded Q-factor of 23 000 is measured at telecom wavelength. The intrinsic quality factor inferred from the transmission spectrum is higher than 200 000, which represents a record value for slot photonic crystal cavities on SOI, whereas the maximum of intensity of the cavity is roughly equal to 20% of the light transmitted in the waveguide. This result makes filled slot photonic crystal cavities very promising for silicon-based light emission and ultrafast nonlinear optics.

  3. Influence of Total Ionizing Dose Irradiation on Low-Frequency Noise Responses in Partially Depleted SOI nMOSFETs

    NASA Astrophysics Data System (ADS)

    Peng, Chao; En, Yun-Fei; Lei, Zhi-Feng; Chen, Yi-Qiang; Liu, Yuan; Li, Bin

    2017-11-01

    Not Available Supported by the National Postdoctoral Program for Innovative Talents under Grant No BX201600037, the Science and Technology Research Project of Guangdong Province under Grant Nos 2015B090901048 and 2015B090912002, and the Distinguished Young Scientist Program of Guangdong Province under Grant No 2015A030306002.

  4. Buried Oxide Densification for Low Power, Low Voltage CMOS Applications

    NASA Technical Reports Server (NTRS)

    Allen, L. P.; Anc, M. J.; Dolan, B.; Jiao, J.; Guss, B.; Seraphin, S.; Liu, S. T.; Jenkins, W.

    1998-01-01

    Special technology and circuit architecture are of growing interest for implementation of circuits which operate at low supply voltages and consume low power levels without sacrificing performance[1]. Use of thin buried oxide SOI substrates is a primary approach to simultaneously achieve these goals. A significant aspect regarding SIMOX SOI for low voltage, low power applications is the reliability and performance of the thin buried oxide. In addition, when subjected to high total dose irradiation, the silicon islands within the BOX layer of SIMOX can store charges and significantly effect the back channel threshold voltages of devices. Thus, elimination of the islands within the buried oxide (BOX) layer is preferred in order to prevent leakage through these conductive islands and charge build-up within the buried oxide layer. A differential (2-step) ramp rate as applied to full and 100 nm BOX SIMOX was previously reported to play a significant role in the stoichiometry and island formation within the buried layer[2]. This paper focus is on the properties of a thin (120nm) buried oxide as a function of the anneal ramp rate and the temperature of anneal. In this research, we have found an improvement in the buried oxide stoichiometry with the use of a slower, singular ramp rate for specified thin buried oxides, with slower ramp rates and higher temperatures of anneal suggested for reducing the presence of Si islands within the BOX layer.

  5. Twenty Years of Rad-Hard K14 SPAD in Space Projects

    PubMed Central

    Michálek, Vojtěch; Procházka, Ivan; Blažej, Josef

    2015-01-01

    During last two decades, several photon counting detectors have been developed in our laboratory. One of the most promising detector coming from our group silicon K14 Single Photon Avalanche Diode (SPAD) is presented with its valuable features and space applications. Based on the control electronics, it can be operated in both gated and non-gated mode. Although it was designed for photon counting detection, it can be employed for multiphoton detection as well. With respect to control electronics employed, the timing jitter can be as low as 20 ps RMS. Detection efficiency is about 40 % in range of 500 nm to 800 nm. The detector including gating and quenching circuitry has outstanding timing stability. Due to its radiation resistivity, the diode withstands 100 krad gamma ray dose without parameters degradation. Single photon detectors based on K14 SPAD were used for planetary altimeter and atmospheric lidar in MARS92/96 and Mars Surveyor ’98 space projects, respectively. Recent space applications of K14 SPAD comprises LIDAR and mainly time transfer between ground stations and artificial satellites. These include Laser Time Transfer, Time Transfer by Laser Link, and European Laser Timing projects. PMID:26213945

  6. Rad-hard Dual-threshold High-count-rate Silicon Pixel-array Detector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Adam

    In this program, a Voxtel-led team demonstrates a full-format (192 x 192, 100-µm pitch, VX-810) high-dynamic-range x-ray photon-counting sensor—the Dual Photon Resolved Energy Acquisition (DUPREA) sensor. Within the Phase II program the following tasks were completed: 1) system analysis and definition of the DUPREA sensor requirements; 2) design, simulation, and fabrication of the full-format VX-810 ROIC design; 3) design, optimization, and fabrication of thick, fully depleted silicon photodiodes optimized for x-ray photon collection; 4) hybridization of the VX-810 ROIC to the photodiode array in the creation of the optically sensitive focal-plane array; 5) development of an evaluation camera; and 6)more » electrical and optical characterization of the sensor.« less

  7. Philosophy of Technology Assumptions in Educational Technology Leadership: Questioning Technological Determinism

    ERIC Educational Resources Information Center

    Webster, Mark David

    2013-01-01

    Scholars have emphasized that decisions about technology can be influenced by philosophy of technology assumptions, and have argued for research that critically questions technological determinist assumptions. Empirical studies of technology management in fields other than K-12 education provided evidence that philosophy of technology assumptions,…

  8. The SpaceCube Family of Hybrid On-Board Science Data Processors: An Update

    NASA Astrophysics Data System (ADS)

    Flatley, T.

    2012-12-01

    SpaceCube is an FPGA based on-board hybrid science data processing system developed at the NASA Goddard Space Flight Center (GSFC). The goal of the SpaceCube program is to provide 10x to 100x improvements in on-board computing power while lowering relative power consumption and cost. The SpaceCube design strategy incorporates commercial rad-tolerant FPGA technology and couples it with an upset mitigation software architecture to provide "order of magnitude" improvements in computing power over traditional rad-hard flight systems. Many of the missions proposed in the Earth Science Decadal Survey (ESDS) will require "next generation" on-board processing capabilities to meet their specified mission goals. Advanced laser altimeter, radar, lidar and hyper-spectral instruments are proposed for at least ten of the ESDS missions, and all of these instrument systems will require advanced on-board processing capabilities to facilitate the timely conversion of Earth Science data into Earth Science information. Both an "order of magnitude" increase in processing power and the ability to "reconfigure on the fly" are required to implement algorithms that detect and react to events, to produce data products on-board for applications such as direct downlink, quick look, and "first responder" real-time awareness, to enable "sensor web" multi-platform collaboration, and to perform on-board "lossless" data reduction by migrating typical ground-based processing functions on-board, thus reducing on-board storage and downlink requirements. This presentation will highlight a number of SpaceCube technology developments to date and describe current and future efforts, including the collaboration with the U.S. Department of Defense - Space Test Program (DoD/STP) on the STP-H4 ISS experiment pallet (launch June 2013) that will demonstrate SpaceCube 2.0 technology on-orbit.; ;

  9. Anodic bonding using SOI wafer for fabrication of capacitive micromachined ultrasonic transducers

    NASA Astrophysics Data System (ADS)

    Bellaredj, M.; Bourbon, G.; Walter, V.; Le Moal, P.; Berthillier, M.

    2014-02-01

    In medical ultrasound imaging, mostly piezoelectric crystals are used as ultrasonic transducers. Capacitive micromachined ultrasonic transducers (CMUTs) introduced around 1994 have been shown to be a good alternative to conventional piezoelectric transducers in various aspects, such as sensitivity, transduction efficiency or bandwidth. This paper focuses on a fabrication process for CMUTs using anodic bonding of a silicon on insulator wafer on a glass wafer. The processing steps are described leading to a good control of the mechanical response of the membrane. This technology makes possible the fabrication of large membranes and can extend the frequency range of CMUTs to lower frequencies of operation. Silicon membranes having radii of 50, 70, 100 and 150 µm and a 1.5 µm thickness are fabricated and electromechanically characterized using an auto-balanced bridge impedance analyzer. Resonant frequencies from 0.6 to 2.3 MHz and an electromechanical coupling coefficient around 55% are reported. The effects of residual stress in the membranes and uncontrolled clamping conditions are clearly responsible for the discrepancies between experimental and theoretical values of the first resonance frequency. The residual stress in the membranes is determined to be between 90 and 110 MPa. The actual boundary conditions are between the clamped condition and the simply supported condition and can be modeled with a torsional stiffness of 2.10-7 Nm rad-1 in the numerical model.

  10. Infrasonic detection performance in presence of nuisance signal

    NASA Astrophysics Data System (ADS)

    Charbit, Maurice; Arrowsmith, Stephen; Che, Il-young; Le Pichon, Alexis; Nouvellet, Adrien; Park, Junghyun; Roueff, Francois

    2014-05-01

    The infrasound network of the International Monitoring System (IMS) consists of sixty stations deployed all over the World by the Comprehensive Nuclear-Test-Ban Treaty Organization (CTBTO). The IMS has been designed to reliably detect, at least by two stations, an explosion greater than 1 kiloton located anywhere on the Earth [1]. Each station is an array of at least four microbarometers with an aperture of 1 to 3 km. The first important issue is to detect the presence of the signal of interest (SOI) embedded in noise. The detector is commonly based on the property that the SOI provides coherent observations on the sensors but not the noise. The statistic of test, called F-stat [2], [5], [6] , calculated in a time cell a few seconds, is commonly used for this purpose. In this paper, we assume that a coherent source is permanently present arriving from an unknown direction of arrivals (DOA). The typical case is the presence of microbaroms or the presence of wind. This source is seen as a nuisance signal (NS). In [4], [3] authors assume that a time cell without the SOI (CH0) is available, whereas a following time cell is considered as the cell under test (CUT). Therefore the DOA and the SNR of the NS can be estimated. If the signal-to-noise ration SNR of the NS is large enough, the distribution of the F-stat under the absence of SOI is known to be a non central Fisher. It follows that the threshold can be performed from a given value of the FAR. The major drawback to keep the NS is that the NS could hide the SOI, this phenomena is similar to the leakage which is a well-known phenomena in the Fourier analysis. An other approach consists to use the DOA estimate of the NS to mitigate the NS by spatial notch filter in the frequency domain. On this approach a new algorithm is provided. To illustrate, numerical results on synthetical and real data are presented, in term of Receiver Operating Characteristic ROC curves. REFERENCES [1] Christie D.R. and Campus P., The IMS

  11. Technology CAD for integrated circuit fabrication technology development and technology transfer

    NASA Astrophysics Data System (ADS)

    Saha, Samar

    2003-07-01

    In this paper systematic simulation-based methodologies for integrated circuit (IC) manufacturing technology development and technology transfer are presented. In technology development, technology computer-aided design (TCAD) tools are used to optimize the device and process parameters to develop a new generation of IC manufacturing technology by reverse engineering from the target product specifications. While in technology transfer to manufacturing co-location, TCAD is used for process centering with respect to high-volume manufacturing equipment of the target manufacturing equipment of the target manufacturing facility. A quantitative model is developed to demonstrate the potential benefits of the simulation-based methodology in reducing the cycle time and cost of typical technology development and technology transfer projects over the traditional practices. The strategy for predictive simulation to improve the effectiveness of a TCAD-based project, is also discussed.

  12. Fabricating with crystalline Si to improve superconducting detector performance

    NASA Astrophysics Data System (ADS)

    Beyer, A. D.; Hollister, M. I.; Sayers, J.; Frez, C. F.; Day, P. K.; Golwala, S. R.

    2017-05-01

    We built and measured radio-frequency (RF) loss tangent, tan δ, evaluation structures using float-zone quality silicon-on-insulator (SOI) wafers with 5 μm thick device layers. Superconducting Nb components were fabricated on both sides of the SOI Si device layer. Our main goals were to develop a robust fabrication for using crystalline Si (c-Si) dielectric layers with superconducting Nb components in a wafer bonding process and to confirm that tan δ with c-Si dielectric layers was reduced at RF frequencies compared to devices fabricated with amorphous dielectrics, such as SiO2 and SixNy, where tan δ ∼ 10-3. Our primary test structure used a Nb coplanar waveguide (CPW) readout structure capacitively coupled to LC resonators, where the capacitors were defined as parallel-plate capacitors on both sides of a c-Si device layer using a wafer bonding process with benzocyclobutene (BCB) wafer bonding adhesive. Our control experiment, to determine the intrinsic tan δ in the SOI device layer without wafer bonding, also used Nb CPW readout coupled to LC resonators; however, the parallel-plate capacitors were fabricated on both sides of the Si device layer using a deep reactive ion etch (DRIE) to access the c-Si underside through the buried oxide and handle Si layers in the SOI wafers. We found that our wafer bonded devices demonstrated F· δ = (8 ± 2) × 10-5, where F is the filling fraction of two-level states (TLS). For the control experiment, F· δ = (2.0 ± 0.6) × 10-5, and we discuss what may be degrading the performance in the wafer bonded devices as compared to the control devices.

  13. Optics Communications: Special issue on Polymer Photonics and Its Applications

    NASA Astrophysics Data System (ADS)

    Zhang, Ziyang; Pitwon, Richard C. A.; Feng, Jing

    2016-03-01

    In the last decade polymer photonics has witnessed a tremendous boost in research efforts and practical applications. Polymer materials can be engineered to exhibit unique optical and electrical properties. Extremely transparent and reliable passive optical polymers have been made commercially available and paved the ground for the development of various waveguide components. Advancement in the research activities regarding the synthesis of active polymers has enabled devices such as ultra-fast electro-optic modulators, efficient white light emitting diodes, broadband solar cells, flexible displays, and so on. The fabrication technology is not only fast and cost-effective, but also provides flexibility and broad compatibility with other semiconductor processing technologies. Reports show that polymers have been integrated in photonic platforms such as silicon-on-insulator (SOI), III-V semiconductors, and silica PLCs, and vice versa, photonic components made from a multitude of materials have been integrated, in a heterogeneous/hybrid manner, in polymer photonic platforms.

  14. Sociosexual orientation and 2D:4D ratios in women: Relationship to men's desirability ratings as a long-term pair bond.

    PubMed

    DeLecce, Tara L; Polheber, John P; Matchock, Robert L

    2014-02-01

    The current study examined whether men's ratings of women's desirability as a long-term pairbond, based on static photographs, were related to the women's second-to-fourth digit (2D:4D) ratio and their sexual attitudes and behavior. The 2D:4D ratio was measured in 164 women and facial photographs were taken of 55 of these women. All women completed the Sociosexual Orientation Inventory (SOI). Male participants (n = 89), masked to this information, rated the 55 female participants on their desirability as a long-term sexual partner, specifically along dimensions of faithfulness, youthfulness, and attractiveness. Ten independent judges rated women's photographed faces on masculinity. Results indicated a significant negative relationship between women's SOI scores and men's faithfulness ratings (more unrestricted sociosexuality was associated with lower faithfulness ratings). There was also a significant positive relationship between right (but not left) 2D:4D ratio and faithfulness ratings (women with female-like ratios were rated as being more faithful). The SOI scores of the women were not related to 2D:4D ratios. These results suggest that the potential for sexual infidelity can be gleaned from static facial cues.

  15. Coupled resonator optical waveguides based on silicon-on-insulator photonic wires

    NASA Astrophysics Data System (ADS)

    Xia, Fengnian; Sekaric, Lidija; O'Boyle, Martin; Vlasov, Yurii

    2006-07-01

    Coupled resonator optical waveguides (CROWs) comprised of up to 16 racetrack resonators based on silicon-on-insulator (SOI) photonic wires were fabricated and characterized. The optical properties of the CROWs were simulated using measured single resonator parameters based on a matrix approach. The group delay property of CROWs was also analyzed. The SOI based CROWs consisting of multiple resonators have extremely small footprints and can find applications in optical filtering, dispersion compensation, and optical buffering. Moreover, such CROW structure is a promising candidate for exploration of low light level nonlinear optics due to its resonant nature and compact mode size (˜0.1μm2) in photonic wire.

  16. Optical properties of new wide heterogeneous waveguides with thermo optical shifters.

    PubMed

    De Leonardis, Francesco; Tsarev, Andrei V; Passaro, Vittorio M

    2008-12-22

    We present analysis and simulation of novel silicon-on-insulator (SOI) heterogeneous waveguides with thermo-optic phase shifters. New structure design contains a p-n junction on both sides of SOI ridge waveguide with 220 nm x 35 microm silicon core. Strongly mode-dependent optical losses (by additional free charge absorption) provide quasi-singe-mode behavior of wide waveguide with mode size approximately 10 microm. Local heater produces an efficient phase shifting by small temperature increase (DeltaT approximately 2K), switching power (< 40 mW) and switching time (< 10 micros). Mode optical losses are significantly decreased at high heating (DeltaT approximately 120 K).

  17. Unified random access memory (URAM) by integration of a nanocrystal floating gate for nonvolatile memory and a partially depleted floating body for capacitorless 1T-DRAM

    NASA Astrophysics Data System (ADS)

    Ryu, Seong-Wan; Han, Jin-Woo; Kim, Chung-Jin; Kim, Sungho; Choi, Yang-Kyu

    2009-03-01

    This paper describes a unified memory (URAM) that utilizes a nanocrystal SOI MOSFET for multi-functional applications of both nonvolatile memory (NVM) and capacitorless 1T-DRAM. By using a discrete storage node (Ag nanocrystal) as the floating gate of the NVM, high defect immunity and 2-bit/cell operation were achieved. The embedded nanocrystal NVM also showed 1T-DRAM operation (program/erase time = 100 ns) characteristics, which were realized by storing holes in the floating body of the SOI MOSFET, without requiring an external capacitor. Three-bit/cell operation was accomplished for different applications - 2-bits for nonvolatility and 1-bit for fast operation.

  18. SUPERFUND INNOVATIVE TECHNOLOGY EVALUATION - TECHNOLOGY PROFILES

    EPA Science Inventory

    This document is intended as a reference guide for EPA Regional decision makers and others interested in technologies in the SITE Demonstration and Emerging Technologies programs. The Technologies are described in technology profiles, presented in alphabetical order by developer ...

  19. VHF NEMS-CMOS piezoresistive resonators for advanced sensing applications

    NASA Astrophysics Data System (ADS)

    Arcamone, Julien; Dupré, Cécilia; Arndt, Grégory; Colinet, Eric; Hentz, Sébastien; Ollier, Eric; Duraffourg, Laurent

    2014-10-01

    This work reports on top-down nanoelectromechanical resonators, which are among the smallest resonators listed in the literature. To overcome the fact that their electromechanical transduction is intrinsically very challenging due to their very high frequency (100 MHz) and ultimate size (each resonator is a 1.2 μm long, 100 nm wide, 20 nm thick silicon beam with 100 nm long and 30 nm wide piezoresistive lateral nanowire gauges), they have been monolithically integrated with an advanced fully depleted SOI CMOS technology. By advantageously combining the unique benefits of nanomechanics and nanoelectronics, this hybrid NEMS-CMOS device paves the way for novel breakthrough applications, such as NEMS-based mass spectrometry or hybrid NEMS/CMOS logic, which cannot be fully implemented without this association.

  20. Vertical-cavity surface-emitting lasers: the applications

    NASA Astrophysics Data System (ADS)

    Morgan, Robert A.; Lehman, John A.; Hibbs-Brenner, Mary K.; Liu, Yue; Bristow, Julian P. G.

    1997-05-01

    In this paper, we focus on how vertical-cavity surface- emitting lasers (VCSELs) and arrays have led to many feasible advanced technological applications. Their intrinsic characteristics, performance, and producibility offer substantial advantages over alternative sources. Demonstrated performance of `commercial-grade' VCSELs include low operating powers (< 2 V, mAs), high speeds (3 dB BWs > 15 GHz), and high temperature operating ranges (10 K to 400 K and -55 degree(s)C to 125 degree(s)C, and T > 200 degree(s)C). Moreover, their robustness is manifest by high reliability in excess of 107 hours mean time between failures at room temperature and tenfold improvement over existing rad-hard LEDs. Hence, even these `commercial-grade' VCSELs offer potential within cryogenic and avionics/military or space environments. We have also demonstrated submilliamp ITH, stable, single-mode VCSELs utilized within bias-free 1-Gbit/s data links. These low- power VCSELs may also serve in applications from printers to low-cost atomic clocks. The greatest near-term VCSEL applications are upgrades to low-cost LEDs and high-grade copper wire in data links and sensors. Exploiting their surface-emitting geometry, VCSELs are also compatible with established multichip module packaging. Hence VCSELs and VCSEL arrays are ideal components for interconnect-intensive processing applications between and within computing systems.

  1. NASA Tech Briefs, October 2011

    NASA Technical Reports Server (NTRS)

    2011-01-01

    Topics covered include: Laser Truss Sensor for Segmented Telescope Phasing; Qualifications of Bonding Process of Temperature Sensors to Deep-Space Missions; Optical Sensors for Monitoring Gamma and Neutron Radiation; Compliant Tactile Sensors; Cytometer on a Chip; Measuring Input Thresholds on an Existing Board; Scanning and Defocusing Properties of Microstrip Reflectarray Antennas; Cable Tester Box; Programmable Oscillator; Fault-Tolerant, Radiation-Hard DSP; Sub-Shot Noise Power Source for Microelectronics; Asynchronous Message Service Reference Implementation; Zero-Copy Objects System; Delay and Disruption Tolerant Networking MACHETE Model; Contact Graph Routing; Parallel Eclipse Project Checkout; Technique for Configuring an Actively Cooled Thermal Shield in a Flight System; Use of Additives to Improve Performance of Methyl Butyrate-Based Lithium-Ion Electrolytes; Li-Ion Cells Employing Electrolytes with Methyl Propionate and Ethyl Butyrate Co-Solvents; Improved Devices for Collecting Sweat for Chemical Analysis; Tissue Photolithography; Method for Impeding Degradation of Porous Silicon Structures; External Cooling Coupled to Reduced Extremity Pressure Device; A Zero-Gravity Cup for Drinking Beverages in Microgravity; Co-Flow Hollow Cathode Technology; Programmable Aperture with MEMS Microshutter Arrays; Polished Panel Optical Receiver for Simultaneous RF/Optical Telemetry with Large DSN Antennas; Adaptive System Modeling for Spacecraft Simulation; Lidar-Based Navigation Algorithm for Safe Lunar Landing; Tracking Object Existence From an Autonomous Patrol Vehicle; Rad-Hard, Miniaturized, Scalable, High-Voltage Switching Module for Power Applications; and Architecture for a 1-GHz Digital RADAR.

  2. How Technology Teachers Understand Technological Knowledge

    ERIC Educational Resources Information Center

    Norström, Per

    2014-01-01

    Swedish technology teachers' views of technological knowledge are examined through a written survey and a series of interviews. The study indicates that technology teachers' understandings of what constitutes technological knowledge and how it is justified vary considerably. The philosophical discussions on the topic are unknown to them. This lack…

  3. Cryogenic Evaluation of an Advanced DC/DC Converter Module for Deep Space Applications

    NASA Technical Reports Server (NTRS)

    Elbuluk, Malik E.; Hammoud, Ahmad; Gerber, Scott S.; Patterson, Richard

    2003-01-01

    DC/DC converters are widely used in power management, conditioning, and control of space power systems. Deep space applications require electronics that withstand cryogenic temperature and meet a stringent radiation tolerance. In this work, the performance of an advanced, radiation-hardened (rad-hard) commercial DC/DC converter module was investigated at cryogenic temperatures. The converter was investigated in terms of its steady state and dynamic operations. The output voltage regulation, efficiency, terminal current ripple characteristics, and output voltage response to load changes were determined in the temperature range of 20 to -140 C. These parameters were obtained at various load levels and at different input voltages. The experimental procedures along with the results obtained on the investigated converter are presented and discussed.

  4. Appropriate Technology as Indian Technology.

    ERIC Educational Resources Information Center

    Barry, Tom

    1979-01-01

    Describes the mounting enthusiasm of Indian communities for appropriate technology as an inexpensive means of providing much needed energy and job opportunities. Describes the development of several appropriate technology projects, and the goals and activities of groups involved in utilizing low scale solar technology for economic development on…

  5. Advanced Refrigerator/Freezer Technology Development. Technology Assessment

    NASA Technical Reports Server (NTRS)

    Gaseor, Thomas; Hunter, Rick; Hamill, Doris

    1996-01-01

    The NASA Lewis Research Center, through contract with Oceaneering Space Systems, is engaged in a project to develop advanced refrigerator/freezer (R/F) technologies for future Life and Biomedical Sciences space flight missions. The first phase of this project, a technology assessment, has been completed to identify the advanced R/F technologies needed and best suited to meet the requirements for the five R/F classifications specified by Life and Biomedical Science researchers. Additional objectives of the technology assessment were to rank those technologies based on benefit and risk, and to recommend technology development activities that can be accomplished within this project. This report presents the basis, the methodology, and results of the R/F technology assessment, along with technology development recommendations.

  6. Design of a switch matrix gate/bulk driver controller for thin film lithium microbatteries using microwave SOI technology

    NASA Technical Reports Server (NTRS)

    Whitacre, J.; West, W. C.; Mojarradi, M.; Sukumar, V.; Hess, H.; Li, H.; Buck, K.; Cox, D.; Alahmad, M.; Zghoul, F. N.; hide

    2003-01-01

    This paper presents a design approach to help attain any random grouping pattern between the microbatteries. In this case, the result is an ability to charge microbatteries in parallel and to discharge microbatteries in parallel or pairs of microbatteries in series.

  7. Climatic forcing and larval dispersal capabilities shape the replenishment of fishes and their habitat-forming biota on a tropical coral reef.

    PubMed

    Wilson, Shaun K; Depcyznski, Martial; Fisher, Rebecca; Holmes, Thomas H; Noble, Mae M; Radford, Ben T; Rule, Michael; Shedrawi, George; Tinkler, Paul; Fulton, Christopher J

    2018-02-01

    Fluctuations in marine populations often relate to the supply of recruits by oceanic currents. Variation in these currents is typically driven by large-scale changes in climate, in particular ENSO (El Nino Southern Oscillation). The dependence on large-scale climatic changes may, however, be modified by early life history traits of marine taxa. Based on eight years of annual surveys, along 150 km of coastline, we examined how ENSO influenced abundance of juvenile fish, coral spat, and canopy-forming macroalgae. We then investigated what traits make populations of some fish families more reliant on the ENSO relationship than others. Abundance of juvenile fish and coral recruits was generally positively correlated with the Southern Oscillation Index (SOI), higher densities recorded during La Niña years, when the ENSO-influenced Leeuwin Current is stronger and sea surface temperature higher. The relationship is typically positive and stronger among fish families with shorter pelagic larval durations and stronger swimming abilities. The relationship is also stronger at sites on the coral back reef, although the strongest of all relationships were among the lethrinids ( r  = .9), siganids ( r  = .9), and mullids ( r  = .8), which recruit to macroalgal meadows in the lagoon. ENSO effects on habitat seem to moderate SOI-juvenile abundance relationship. Macroalgal canopies are higher during La Niña years, providing more favorable habitat for juvenile fish and strengthening the SOI effect on juvenile abundance. Conversely, loss of coral following a La Niña-related heat wave may have compromised postsettlement survival of coral dependent species, weakening the influence of SOI on their abundance. This assessment of ENSO effects on tropical fish and habitat-forming biota and how it is mediated by functional ecology improves our ability to predict and manage changes in the replenishment of marine populations.

  8. Thromboembolic Prophylaxis with Heparin in Patients with Blunt Solid Organ Injuries Undergoing Non-operative Treatment.

    PubMed

    Khatsilouskaya, Tatsiana; Haltmeier, Tobias; Cathomas, Marionna; Eberle, Barbara; Candinas, Daniel; Schnüriger, Beat

    2017-05-01

    Patients with blunt solid organ injuries (SOI) are at risk for venous thromboembolism (VTE), and VTE prophylaxis is crucial. However, little is known about the safety of early prophylactic administration of heparin in these patients. This is a retrospective study including adult trauma patients with SOI (liver, spleen, kidney) undergoing non-operative management (NOM) from 01/01/2009 to 31/12/2014. Three groups were distinguished: prophylactic heparin (low molecular weight heparin or low-dose unfractionated heparin) ≤72 h after admission ('early heparin group'), >72 h after admission ('late heparin group'), and no heparin ('no heparin group'). Patient and injury characteristics, transfusion requirements, and outcomes (failed NOM, VTE, and mortality) were compared between the three groups. Overall, 179 patients were included; 44.7% in the 'early heparin group,' 34.6% in the 'late heparin group,' and 20.8% in the 'no heparin group.' In the 'late heparin group,' the ISS was significantly higher than in the 'early' and 'no heparin groups' (median 29.0 vs. 17.0 vs. 19.0; p < 0.001). The overall NOM failure rate was 3.9%. Failed NOM was significantly more frequent in the 'no heparin group' compared to the 'early' and 'late heparin groups' (10.8 vs. 3.2 vs. 1.3%; p = 0.043). In the 'early heparin group' 27.5% patients suffered from a high-grade SOI; none of these patients failed NOM. Mortality did not differ significantly. Although not statistically significant, VTE were more frequent in the 'no heparin group' compared to the 'early' and 'late heparin groups' (10.8 vs. 4.8 vs. 1.3%; p = 0.066). In patients with SOI, heparin was administered early in a high percentage of patients and was not associated with an increased NOM failure rate or higher in-hospital mortality.

  9. Making Wide-IF SIS Mixers with Suspended Metal-Beam Leads

    NASA Technical Reports Server (NTRS)

    Kaul, Anupama; Bumble, Bruce; Lee, Karen; LeDuc, Henry; Rice, Frank; Zmuidzinas, Jonas

    2005-01-01

    A process that employs silicon-on-insulator (SOI) substrates and silicon (Si) micromachining has been devised for fabricating wide-intermediate-frequency-band (wide-IF) superconductor/insulator/superconductor (SIS) mixer devices that result in suspended gold beam leads used for radio-frequency grounding. The mixers are formed on 25- m-thick silicon membranes. They are designed to operate in the 200 to 300 GHz frequency band, wherein wide-IF receivers for tropospheric- chemistry and astrophysical investigations are necessary. The fabrication process can be divided into three sections: 1. The front-side process, in which SIS devices with beam leads are formed on a SOI wafer; 2. The backside process, in which the SOI wafer is wax-mounted onto a carrier wafer, then thinned, then partitioned into individual devices; and 3. The release process, in which the individual devices are separated using a lithographic dicing technique. The total thickness of the starting 4-in. (10.16-cm)-diameter SOI wafer includes 25 m for the Si device layer, 0.5 m for the buried oxide (BOX) layer, and 350 m the for Si-handle layer. The front-side process begins with deposition of an etch-stop layer of SiO2 or AlN(x), followed by deposition of a Nb/Al- AlN(x) /Nb trilayer in a load-locked DC magnetron sputtering system. The lithography for four of a total of five layers is performed in a commercial wafer-stepping apparatus. Diagnostic test dies are patterned concurrently at certain locations on the wafer, alongside the mixer devices, using a different mask set. The conventional, self-aligned lift-off process is used to pattern the SIS devices up to the wire level.

  10. Growth of carbon nanotubes on fully processed silicon-on-insulator CMOS substrates.

    PubMed

    Haque, M Samiul; Ali, S Zeeshan; Guha, P K; Oei, S P; Park, J; Maeng, S; Teo, K B K; Udrea, F; Milne, W I

    2008-11-01

    This paper describes the growth of Carbon Nanotubes (CNTs) both aligned and non-aligned on fully processed CMOS substrates containing high temperature tungsten metallization. While the growth method has been demonstrated in fabricating CNT gas sensitive layers for high temperatures SOI CMOS sensors, it can be employed in a variety of applications which require the use of CNTs or other nanomaterials with CMOS electronics. In our experiments we have grown CNTs both on SOI CMOS substrates and SOI CMOS microhotplates (suspended on membranes formed by post-CMOS deep RIE etching). The fully processed SOI substrates contain CMOS devices and circuits and additionally, some wafers contained high current LDMOSFETs and bipolar structures such as Lateral Insulated Gate Bipolar Transistors. All these devices were used as test structures to investigate the effect of additional post-CMOS processing such as CNT growth, membrane formation, high temperature annealing, etc. Electrical characterisation of the devices with CNTs were performed along with SEM and Raman spectroscopy. The CNTs were grown both at low and high temperatures, the former being compatible with Aluminium metallization while the latter being possible through the use of the high temperature CMOS metallization (Tungsten). In both cases we have found that there is no change in the electrical behaviour of the CMOS devices, circuits or the high current devices. A slight degradation of the thermal performance of the CMOS microhotplates was observed due to the extra heat dissipation path created by the CNT layers, but this is expected as CNTs exhibit a high thermal conductance. In addition we also observed that in the case of high temperature CNT growth a slight degradation in the manufacturing yield was observed. This is especially the case where large area membranes with a diameter in excess of 500 microns are used.

  11. Customization of a Severity of Illness Score Using Local Electronic Medical Record Data.

    PubMed

    Lee, Joon; Maslove, David M

    2017-01-01

    Severity of illness (SOI) scores are traditionally based on archival data collected from a wide range of clinical settings. Mortality prediction using SOI scores tends to underperform when applied to contemporary cases or those that differ from the case-mix of the original derivation cohorts. We investigated the use of local clinical data captured from hospital electronic medical records (EMRs) to improve the predictive performance of traditional severity of illness scoring. We conducted a retrospective analysis using data from the Multiparameter Intelligent Monitoring in Intensive Care II (MIMIC-II) database, which contains clinical data from the Beth Israel Deaconess Medical Center in Boston, Massachusetts. A total of 17 490 intensive care unit (ICU) admissions with complete data were included, from 4 different service types: medical ICU, surgical ICU, coronary care unit, and cardiac surgery recovery unit. We developed customized SOI scores trained on data from each service type, using the clinical variables employed in the Simplified Acute Physiology Score (SAPS). In-hospital, 30-day, and 2-year mortality predictions were compared with those obtained from using the original SAPS using the area under the receiver-operating characteristics curve (AUROC) as well as the area under the precision-recall curve (AUPRC). Test performance in different cohorts stratified by severity of organ injury was also evaluated. Most customized scores (30 of 39) significantly outperformed SAPS with respect to both AUROC and AUPRC. Enhancements over SAPS were greatest for patients undergoing cardiovascular surgery and for prediction of 2-year mortality. Custom models based on ICU-specific data provided better mortality prediction than traditional SAPS scoring using the same predictor variables. Our local data approach demonstrates the value of electronic data capture in the ICU, of secondary uses of EMR data, and of local customization of SOI scoring. © The Author(s) 2015.

  12. Be the Technology: Redefining Technology Integration in Classrooms.

    ERIC Educational Resources Information Center

    Mills, Steven C.; Tincher, Robert C.

    A technology professional development initiative was launched in a school district with the goal of extending technology use in the classroom. For teachers to teach expertly, the aim was for them to "be the technology" by modeling technology use in the classroom, applying technology across the curriculum, applying technology to…

  13. Technology and Economics, Inc. Technology Application Team

    NASA Technical Reports Server (NTRS)

    Ballard, T.; Macfadyen, D. J.

    1981-01-01

    Technology + Economics, Inc. (T+E), under contract to the NASA Headquarters Technology Transfer Division, operates a Technology Applications Team (TATeam) to assist in the transfer of NASA-developed aerospace technology. T+E's specific areas of interest are selected urban needs at the local, county, and state levels. T+E contacts users and user agencies at the local, state, and county levels to assist in identifying significant urban needs amenable to potential applications of aerospace technology. Once viable urban needs have been identified in this manner, or through independent research, T+E searches the NASA technology database for technology and/or expertise applicable to the problem. Activities currently under way concerning potential aerospace applications are discussed.

  14. Realization of back-side heterogeneous hybrid III-V/Si DBR lasers for silicon photonics

    NASA Astrophysics Data System (ADS)

    Durel, Jocelyn; Ferrotti, Thomas; Chantre, Alain; Cremer, Sébastien; Harduin, Julie; Bernabé, Stéphane; Kopp, Christophe; Boeuf, Frédéric; Ben Bakir, Badhise; Broquin, Jean-Emmanuel

    2016-02-01

    In this paper, the simulation, design and fabrication of a back-side coupling (BSC) concept for silicon photonics, which targets heterogeneous hybrid III-V/Si laser integration is presented. Though various demonstrations of a complete SOI integration of passive and active photonic devices have been made, they all feature multi-level planar metal interconnects, and a lack of integrated light sources. This is mainly due to the conflict between the need of planar surfaces for III-V/Si bonding and multiple levels of metallization. The proposed BSC solution to this topographical problem consists in fabricating lasers on the back-side of the Si waveguides using a new process sequence. The devices are based on a hybrid structure composed of an InGaAsP MQW active area and a Si-based DBR cavity. The emitted light wavelength is accordable within a range of 20 nm around 1.31μm thanks to thermal heaters and the laser output is fiber coupled through a Grating Coupler (GC). From a manufacturing point of view, the BSC approach provides not only the advantages of allowing the use of a thin-BOX SOI instead of a thick one; but it also shifts the laser processing steps and their materials unfriendly to CMOS process to the far back-end areas of fabrication lines. Moreover, aside from solving technological integration issues, the BSC concept offers several new design opportunities for active and passive devices (heat sink, Bragg gratings, grating couplers enhanced with integrated metallic mirrors, tapers…). These building boxes are explored here theoretically and experimentally.

  15. MEMS for vibration energy harvesting

    NASA Astrophysics Data System (ADS)

    Li, Lin; Zhang, Yangjian; San, Haisheng; Guo, Yinbiao; Chen, Xuyuan

    2008-03-01

    In this paper, a capacitive vibration-to-electrical energy harvester was designed. An integrated process flow for fabricating the designed capacitive harvester is presented. For overcoming the disadvantage of depending on external power source in capacitive energy harvester, two parallel electrodes with different work functions are used as the two electrodes of the capacitor to generate a build-in voltage for initially charging the capacitor. The device is a sandwich structure of silicon layer in two glass layers with area of about 1 cm2. The silicon structure is fabricated by using silicon-on-insulator (SOI) wafer. The glass wafers are anodic bonded on to both sides of the SOI wafer to create a vacuum sealed package.

  16. A grating coupler with a trapezoidal hole array for perfectly vertical light coupling between optical fibers and waveguides

    NASA Astrophysics Data System (ADS)

    Mizutani, Akio; Eto, Yohei; Kikuta, Hisao

    2017-12-01

    A grating coupler with a trapezoidal hole array was designed and fabricated for perfectly vertical light coupling between a single-mode optical fiber and a silicon waveguide on a silicon-on-insulator (SOI) substrate. The grating coupler with an efficiency of 53% was computationally designed at a 1.1-µm-thick buried oxide (BOX) layer. The grating coupler and silicon waveguide were fabricated on the SOI substrate with a 3.0-µm-thick BOX layer by a single full-etch process. The measured coupling efficiency was 24% for TE-polarized light at 1528 nm wavelength, which was 0.69 times of the calculated coupling efficiency for the 3.0-µm-thick BOX layer.

  17. Living technology: exploiting life's principles in technology.

    PubMed

    Bedau, Mark A; McCaskill, John S; Packard, Norman H; Rasmussen, Steen

    2010-01-01

    The concept of living technology-that is, technology that is based on the powerful core features of life-is explained and illustrated with examples from artificial life software, reconfigurable and evolvable hardware, autonomously self-reproducing robots, chemical protocells, and hybrid electronic-chemical systems. We define primary (secondary) living technology according as key material components and core systems are not (are) derived from living organisms. Primary living technology is currently emerging, distinctive, and potentially powerful, motivating this review. We trace living technology's connections with artificial life (soft, hard, and wet), synthetic biology (top-down and bottom-up), and the convergence of nano-, bio-, information, and cognitive (NBIC) technologies. We end with a brief look at the social and ethical questions generated by the prospect of living technology.

  18. Digital SPC switching technology: Foreign technology assessment

    NASA Astrophysics Data System (ADS)

    Fischman, Kurt; Jorstad, Norman D.

    1990-12-01

    This paper provides a foreign technology assessment of digital switching technology. Leading suppliers of digital switching technology are identified; although the United States holds a large part of the market, major companies in France, Sweden, Japan, the U.K., and Germany are also important. These countries, along with Belgium and Canada, are the most innovative and technically advanced. A listing is provided of transfers of digital switching technology to non-COCOM countries through licensing and joint ventures which reflects the widespread dissemination of this technology. Detailed technical specifications are provided for selected digital switching systems worldwide. The report concludes that considering the degree to which the technology is in place, that control of digital switching technology may not be feasible.

  19. Basis for the development of sustainable optimisation indicators for activated sludge wastewater treatment plants in the Republic of Ireland.

    PubMed

    Gordon, G T; McCann, B P

    2015-01-01

    This paper describes the basis of a stakeholder-based sustainable optimisation indicator (SOI) system to be developed for small-to-medium sized activated sludge (AS) wastewater treatment plants (WwTPs) in the Republic of Ireland (ROI). Key technical publications relating to best practice plant operation, performance audits and optimisation, and indicator and benchmarking systems for wastewater services are identified. Optimisation studies were developed at a number of Irish AS WwTPs and key findings are presented. A national AS WwTP manager/operator survey was carried out to verify the applied operational findings and identify the key operator stakeholder requirements for this proposed SOI system. It was found that most plants require more consistent operational data-based decision-making, monitoring and communication structures to facilitate optimised, sustainable and continuous performance improvement. The applied optimisation and stakeholder consultation phases form the basis of the proposed stakeholder-based SOI system. This system will allow for continuous monitoring and rating of plant performance, facilitate optimised operation and encourage the prioritisation of performance improvement through tracking key operational metrics. Plant optimisation has become a major focus due to the transfer of all ROI water services to a national water utility from individual local authorities and the implementation of the EU Water Framework Directive.

  20. A proposed experimental diagnosing of specular Andreev reflection using the spin orbit interaction

    PubMed Central

    Yang, Yanling; Zhao, Bing; Zhang, Ziyu; Bai, Chunxu; Xu, Xiaoguang; Jiang, Yong

    2016-01-01

    Based on the Dirac-Bogoliubov-de Gennes equation, we theoretically investigate the chirality-resolved transport properties through a superconducting heterojunction in the presence of both the Rashba spin orbit interaction (RSOI) and the Dresselhaus spin orbit interaction (DSOI). Our results show that, if only the RSOI is present, the chirality-resolved Andreev tunneling conductance can be enhanced in the superconducting gap, while it always shows a suppression effect for the case of the DSOI alone. In contrast to the similar dependence of the specular Andreev zero bias tunneling conductance on the SOI, the retro-Andreev zero bias tunneling conductance exhibit the distinct dependence on the RSOI and the DSOI. Moreover, the zero-bias tunneling conductances for the retro-Andreev reflection (RAR) and the specular Andreev reflection (SAR) also show a qualitative difference with respect to the barrier parameters. When the RSOI and the DSOI are finite, three orders of magnitude enhancement of specular Andreev tunneling conductance is revealed. Furthermore, by analyzing the balanced SOI case, we find that the RAR is in favor of a parabolic dispersion, but a linear dispersion is highly desired for the SAR. These results shed light on the diagnosing of the SAR in graphene when subjected to both kinds of SOI. PMID:27388426

  1. Styrene Oxide Isomerase of Rhodococcus opacus 1CP, a Highly Stable and Considerably Active Enzyme

    PubMed Central

    Gröning, Janosch A. D.; Tischler, Dirk; Kaschabek, Stefan R.; Schlömann, Michael

    2012-01-01

    Styrene oxide isomerase (SOI) is involved in peripheral styrene catabolism of bacteria and converts styrene oxide to phenylacetaldehyde. Here, we report on the identification, enrichment, and biochemical characterization of a novel representative from the actinobacterium Rhodococcus opacus 1CP. The enzyme, which is strongly induced during growth on styrene, was shown to be membrane integrated, and a convenient procedure was developed to highly enrich the protein in active form from the wild-type host. A specific activity of about 370 U mg−1 represents the highest activity reported for this enzyme class so far. This, in combination with a wide pH and temperature tolerance, the independence from cofactors, and the ability to convert a spectrum of substituted styrene oxides, makes a biocatalytic application imaginable. First, semipreparative conversions were performed from which up to 760 μmol of the pure phenylacetaldehyde could be obtained from 130 U of enriched SOI. Product concentrations of up to 76 mM were achieved. However, due to the high chemical reactivity of the aldehyde function, SOI was shown to be the subject of an irreversible product inhibition. A half-life of 15 min was determined at a phenylacetaldehyde concentration of about 55 mM, indicating substantial limitations of applicability and the need to modify the process. PMID:22504818

  2. Hot temperatures during the dry season reduce survival of a resident tropical bird.

    PubMed

    Woodworth, Bradley K; Norris, D Ryan; Graham, Brendan A; Kahn, Zachary A; Mennill, Daniel J

    2018-05-16

    Understanding how climate change will shape species distributions in the future requires a functional understanding of the demographic responses of animals to their environment. For birds, most of our knowledge of how climate influences population vital rates stems from research in temperate environments, even though most of Earth's avian diversity is concentrated in the tropics. We evaluated effects of Southern Oscillation Index (SOI) and local temperature and rainfall at multiple temporal scales on sex-specific survival of a resident tropical bird, the rufous-and-white wren Thryophilus rufalbus , studied over 15 years in the dry forests of northwestern Costa Rica. We found that annual apparent survival of males was 8% higher than females, more variable over time, and responded more strongly to environmental variation than female survival, which did not vary strongly with SOI or local weather. For males, mean and maximum local temperatures were better predictors of survival than either rainfall or SOI, with high temperatures during the dry season and early wet season negatively influencing survival. These results suggest that, even for species adapted to hot environments, further temperature increases may threaten the persistence of local populations in the absence of distributional shifts. © 2018 The Author(s).

  3. Technology Transfer: Marketing Tomorrow's Technology

    NASA Technical Reports Server (NTRS)

    Tcheng, Erene

    1995-01-01

    The globalization of the economy and the end of the Cold War have triggered many changes in the traditional practices of U.S. industry. To effectively apply the resources available to the United States, the federal government has firmly advocated a policy of technology transfer between private industry and government labs, in this case the National Aeronautics and Space Administration (NASA). NASA Administrator Daniel Goldin is a strong proponent of this policy and has organized technology transfer or commercialization programs at each of the NASA field centers. Here at Langley Research Center, the Technology Applications Group (TAG) is responsible for facilitating the transfer of Langley developed research and technology to U.S. industry. Entering the program, I had many objectives for my summer research with TAG. Certainly, I wanted to gain a more thorough understanding of the concept of technology transfer and Langley's implementation of a system to promote it to both the Langley community and the community at large. Also, I hoped to become more familiar with Langley's research capabilities and technology inventory available to the public. More specifically, I wanted to learn about the technology transfer process at Langley. Because my mentor is a member of Materials and Manufacturing marketing sector of the Technology Transfer Team, another overriding objective for my research was to take advantage of his work and experience in materials research to learn about the Advanced Materials Research agency wide and help market these developments to private industry. Through the various projects I have been assigned to work on in TAG, I have successfully satisfied the majority of these objectives. Work on the Problem Statement Process for TAG as well as the development of the Advanced Materials Research Brochure have provided me with the opportunity to learn about the technology transfer process from the outside looking in and the inside looking out. Because TAG covers

  4. Applications of aerospace technology in industry. A technology transfer profile: Food technology

    NASA Technical Reports Server (NTRS)

    Murray, D. M.

    1971-01-01

    Food processing and preservation technologies are reviewed, expected technological advances are considered including processing and market factors. NASA contributions to food technology and nutrition are presented with examples of transfer from NASA to industry.

  5. Older Adults Talk Technology: Technology Usage and Attitudes.

    PubMed

    Mitzner, Tracy L; Boron, Julie B; Fausset, Cara Bailey; Adams, Anne E; Charness, Neil; Czaja, Sara J; Dijkstra, Katinka; Fisk, Arthur D; Rogers, Wendy A; Sharit, Joseph

    2010-11-01

    Older adults (n = 113) participated in focus groups discussing their use of and attitudes about technology in the context of their home, work, and healthcare. Participants reported using a wide variety of technology items, particularly in their homes. Positive attitudes (i.e., likes) outnumbered negative attitudes (i.e., dislikes), suggesting that older adults perceive the benefits of technology use to outweigh the costs of such use. Positive attitudes were most frequently related to how the technology supported activities, enhanced convenience, and contained useful features. Negative attitudes were most frequently associated with technology creating inconveniences, unhelpful features, as well as security and reliability concerns. Given that older adults reported more positive than negative attitudes about the technologies they use, these results contradict stereotypes that older adults are afraid or unwilling to use technology. These findings also highlight the importance of perceived benefits of use and ease of use for models of technology acceptance. Emphasizing the benefits of technology in education and training programs may increase future technology adoption.

  6. Subwavelength engineered fiber-to-chip silicon-on-sapphire interconnects for mid-infrared applications (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Alonso-Ramos, Carlos; Han, Zhaohong; Le Roux, Xavier; Lin, Hongtao; Singh, Vivek; Lin, Pao Tai; Tan, Dawn; Cassan, Eric; Marris-Morini, Delphine; Vivien, Laurent; Wada, Kazumi; Hu, Juejun; Agarwal, Anuradha; Kimerling, Lionel C.

    2016-05-01

    The mid-Infrared wavelength range (2-20 µm), so-called fingerprint region, contains the very sharp vibrational and rotational resonances of many chemical and biological substances. Thereby, on-chip absorption-spectrometry-based sensors operating in the mid-Infrared (mid-IR) have the potential to perform high-precision, label-free, real-time detection of multiple target molecules within a single sensor, which makes them an ideal technology for the implementation of lab-on-a-chip devices. Benefiting from the great development realized in the telecom field, silicon photonics is poised to deliver ultra-compact efficient and cost-effective devices fabricated at mass scale. In addition, Si is transparent up to 8 µm wavelength, making it an ideal material for the implementation of high-performance mid-IR photonic circuits. The silicon-on-insulator (SOI) technology, typically used in telecom applications, relies on silicon dioxide as bottom insulator. Unfortunately, silicon dioxide absorbs light beyond 3.6 µm, limiting the usability range of the SOI platform for the mid-IR. Silicon-on-sapphire (SOS) has been proposed as an alternative solution that extends the operability region up to 6 µm (sapphire absorption), while providing a high-index contrast. In this context, surface grating couplers have been proved as an efficient means of injecting and extracting light from mid-IR SOS circuits that obviate the need of cleaving sapphire. However, grating couplers typically have a reduced bandwidth, compared with facet coupling solutions such as inverse or sub-wavelength tapers. This feature limits their feasibility for absorption spectroscopy applications that may require monitoring wide wavelength ranges. Interestingly, sub-wavelength engineering can be used to substantially improve grating coupler bandwidth, as demonstrated in devices operating at telecom wavelengths. Here, we report on the development of fiber-to-chip interconnects to ZrF4 optical fibers and integrated SOS

  7. Integrated Metamaterials and Nanophotonics in CMOS-Compatible Materials

    NASA Astrophysics Data System (ADS)

    Reshef, Orad

    This thesis explores scalable nanophotonic devices in integrated, CMOS-compatible platforms. Our investigation focuses on two main projects: studying the material properties of integrated titanium dioxide (TiO2), and studying integrated metamaterials in silicon-on-insulator (SOI) technologies. We first describe the nanofabrication process for TiO2 photonic integrated circuits. We use this procedure to demonstrate polycrystalline anatase TiO2 ring resonators with high quality factors. We measure the thermo-optic coefficient of TiO2 and determine that it is negative, a unique property among CMOS-compatible dielectric photonic platforms. We also derive a transfer function for ring resonators in the presence of reflections and demonstrate using full-wave simulations that these reflections produce asymmetries in the resonances. For the second half of the dissertation, we design and demonstrate an SOI-based photonic-Dirac-cone metamaterial. Using a prism composed of this metamaterial, we measure its index of refraction and unambiguously determine that it is zero. Next, we take a single channel of this metamaterial to form a waveguide. Using interferometry, we independently confirm that the waveguide in this configuration preserves the dispersion profile of the aggregate medium, with a zero phase advance. We also characterize the waveguide, determining its propagation loss. Finally, we perform simulations to study nonlinear optical phenomena in zero-index media. We find that an isotropic refractive index near zero relaxes certain phase-matching constraints, allowing for more flexible configurations of nonlinear devices with dramatically reduced footprints. The outcomes of this work enable higher quality fabrication of scalable nanophotonic devices for use in nonlinear applications with passive temperature compensation. These devices are CMOS-compatible and can be integrated vertically for compact, device-dense industrial applications. It also provides access to a

  8. Space Transportation Technology Workshop: Propulsion Research and Technology

    NASA Technical Reports Server (NTRS)

    2000-01-01

    This viewgraph presentation gives an overview of the Space Transportation Technology Workshop topics, including Propulsion Research and Technology (PR&T) project level organization, FY 2001 - 2006 project roadmap, points of contact, foundation technologies, auxiliary propulsion technology, PR&T Low Cost Turbo Rocket, and PR&T advanced reusable technologies RBCC test bed.

  9. Prioritizing Information Technology Investments: Assessing the Correlations among Technological Readiness, Information Technology Flexibility, and Information Technology Effectiveness

    ERIC Educational Resources Information Center

    Walter, John T.

    2010-01-01

    Management's dilemma, when allocating financial resources towards the improvement of technological readiness and IT flexibility within their organizations, is to control financial risk and maximize IT effectiveness. Technological readiness is people's propensity to embrace and use technology. Its drivers are optimism, innovativeness, discomfort,…

  10. The ESA RADGLASS activity: a radiation study of non rad-hard glasses

    NASA Astrophysics Data System (ADS)

    Manolis, Ilias; Bézy, Jean-Loup; Costantino, Alessandra; Vink, Ramon; Deep, Atul; Ahmad, Munadi; Amorim, Emmanuel; Miranda, Micael D.; Meynart, Roland

    2015-10-01

    Only a small set of radiation hardened optical glasses are currently offered in the market, thus drastically limiting the optical design choices available to the engineers at the early phases of an instrument development. Furthermore, availability of those glasses cannot be easily guaranteed for the long term horizon of future space instrument developments. Radiation tests on conventional glasses on the other hand have shown significant sensitivity to high radiation levels but such levels are not necessarily representative of typical low Earth (LEO) orbits. We have conducted irradiation campaigns on several different types of conventional, non-radiation hard glasses, selected from the wider pool of the Schott "new" arsenic and lead free series (N-*) and characterized their spectral transmission properties before and after ionizing dose deposition. We report our first findings here.

  11. Older Adults Talk Technology: Technology Usage and Attitudes

    PubMed Central

    Mitzner, Tracy L.; Boron, Julie B.; Fausset, Cara Bailey; Adams, Anne E.; Charness, Neil; Czaja, Sara J.; Dijkstra, Katinka; Fisk, Arthur D.; Rogers, Wendy A.; Sharit, Joseph

    2010-01-01

    Older adults (n = 113) participated in focus groups discussing their use of and attitudes about technology in the context of their home, work, and healthcare. Participants reported using a wide variety of technology items, particularly in their homes. Positive attitudes (i.e., likes) outnumbered negative attitudes (i.e., dislikes), suggesting that older adults perceive the benefits of technology use to outweigh the costs of such use. Positive attitudes were most frequently related to how the technology supported activities, enhanced convenience, and contained useful features. Negative attitudes were most frequently associated with technology creating inconveniences, unhelpful features, as well as security and reliability concerns. Given that older adults reported more positive than negative attitudes about the technologies they use, these results contradict stereotypes that older adults are afraid or unwilling to use technology. These findings also highlight the importance of perceived benefits of use and ease of use for models of technology acceptance. Emphasizing the benefits of technology in education and training programs may increase future technology adoption. PMID:20967133

  12. Emerging environmental technologies and environmental technology policy

    NASA Astrophysics Data System (ADS)

    Clarke, Leon Edward

    This dissertation explores the role and design of environmental technology policy when environmental innovation is embodied in emerging environmental technologies such as photovoltaic cells or fuel cells. The dissertation consists of three individual studies, all of which use a simplified, general model industry between an emerging environmental technology and an entrenched, more-polluting technology. It clarifies the situations in which environmental technology policy can achieve high welfare and those in which it cannot; and it separates the possible situations an emerging environmental technology might face into four scenarios, each with its own technology policy recommendations. The second study attempts to clarify which of two factors is having a larger limiting effect on private investment in photovoltaics: the failure to internalize the environmental costs of fossil fuel electricity generation or a broad set of innovation market failures that apply to innovation irrespective of environmental concerns. The study indicates that innovation market failures are probably having a significantly larger impact than incomplete internalization. The third study explores the effectiveness of adoption subsidies at encouraging private-sector innovation. The conclusion is that adoption subsidies probably have only a limited effect on long-term, private-sector research. Two important general conclusions of the dissertation are (1) that optimal technology policy should begin with technology-push measures and end with demand-pull measures; and (2) that the technological response to internalization instruments, such as emissions taxes, may be highly nonlinear.

  13. Radiation testing campaign results for understanding the suitability of FPGAs in detector electronics

    DOE PAGES

    Citterio, M.; Camplani, A.; Cannon, M.; ...

    2015-11-19

    SRAM based Field Programmable Gate Arrays (FPGAs) have been rarely used in High Energy Physics (HEP) due to their sensitivity to radiation. The last generation of commercial FPGAs based on 28 nm feature size and on Silicon On Insulator (SOI) technologies are more tolerant to radiation to the level that their use in front-end electronics is now feasible. FPGAs provide re-programmability, high-speed computation and fast data transmission through the embedded serial transceivers. They could replace custom application specific integrated circuits in front end electronics in locations with moderate radiation field. Finally, the use of a FPGA in HEP experiments ismore » only limited by our ability to mitigate single event effects induced by the high energy hadrons present in the radiation field.« less

  14. A High-Voltage Integrated Circuit Engine for a Dielectrophoresis-based Programmable Micro-Fluidic Processor

    PubMed Central

    Current, K. Wayne; Yuk, Kelvin; McConaghy, Charles; Gascoyne, Peter R. C.; Schwartz, Jon A.; Vykoukal, Jody V.; Andrews, Craig

    2010-01-01

    A high-voltage (HV) integrated circuit has been demonstrated to transport droplets on programmable paths across its coated surface. This chip is the engine for a dielectrophoresis (DEP)-based micro-fluidic lab-on-a-chip system. This chip creates DEP forces that move and help inject droplets. Electrode excitation voltage and frequency are variable. With the electrodes driven with a 100V peak-to-peak periodic waveform, the maximum high-voltage electrode waveform frequency is about 200Hz. Data communication rate is variable up to 250kHz. This demonstration chip has a 32×32 array of nominally 100V electrode drivers. It is fabricated in a 130V SOI CMOS fabrication technology, dissipates a maximum of 1.87W, and is about 10.4 mm × 8.2 mm. PMID:23989241

  15. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Citterio, M.; Camplani, A.; Cannon, M.

    SRAM based Field Programmable Gate Arrays (FPGAs) have been rarely used in High Energy Physics (HEP) due to their sensitivity to radiation. The last generation of commercial FPGAs based on 28 nm feature size and on Silicon On Insulator (SOI) technologies are more tolerant to radiation to the level that their use in front-end electronics is now feasible. FPGAs provide re-programmability, high-speed computation and fast data transmission through the embedded serial transceivers. They could replace custom application specific integrated circuits in front end electronics in locations with moderate radiation field. Finally, the use of a FPGA in HEP experiments ismore » only limited by our ability to mitigate single event effects induced by the high energy hadrons present in the radiation field.« less

  16. Technology Area Roadmap for In Space Propulsion Technologies

    NASA Technical Reports Server (NTRS)

    Johnson, Les; Meyer, Mike; Coote, David; Goebel, Dan; Palaszewski, Bryan; White, Sonny

    2010-01-01

    This slide presentation reviews the technology area (TA) roadmap to develop propulsion technologies that will be used to enable further exploration of the solar system, and beyond. It is hoped that development of the technologies within this TA will result in technical solutions that will improve thrust levels, specific impulse, power, specific mass, volume, system mass, system complexity, operational complexity, commonality with other spacecraft systems, manufacturability and durability. Some of the propulsion technologies that are reviewed include: chemical and non-chemical propulsion, and advanced propulsion (i.e., those with a Technology Readiness level of less than 3). Examples of these advanced technologies include: Beamed Energy, Electric Sail, Fusion, High Energy Density Materials, Antimatter, Advanced Fission and Breakthrough propulsion technologies. Timeframes for development of some of these propulsion technologies are reviewed, and top technical challenges are reviewed. This roadmap describes a portfolio of in-space propulsion technologies that can meet future space science and exploration needs.

  17. Influence of ENSO events on the freshwater discharge pattern at Patos Lagoon, Rio Grande do Sul, Brazil

    NASA Astrophysics Data System (ADS)

    Barros, G. P.; Marques, W. C.

    2013-05-01

    The aim of this study is to investigate the influence and importance of ENSO events on the control of the freshwater discharge pattern at Patos Lagoon, in timescales longer than one year. For this study it was used freshwater discharge, water levels and South Oscillation Index (SOI) data sets. The Southern Oscillation Index, or SOI, gives an indication of the development and intensity of El Niño or La Niña events in the Pacific Ocean. Sustained negative values of the SOI greater than -8 often indicate El Niño episodes. Sustained positive values of the SOI greater than +8 are typical of a La Niña episode. Cross wavelet technique is applied to examine the coherence and phase between interannual time-series (South Oscillation Index, freshwater discharge and water levels). Over synoptic time scales, wind action is the most effective forcing in Patos Lagoon's circulation. However, at longer time scales (over one year), freshwater discharge becomes the most important forcing, controling the water levels, circulation and other processes. At longer time scales, South America is affected by ENSO's influence. El Niño is the South Oscillation phase where the trade winds are weak, the pressure is low over the eastern Tropical Pacific and high on the west side. The south region of Brazil shows precipitation anomalies associated with the ENSO occurrence. The most significant ENSO events show a high temporal variability, which may occur in near biannual scales (1.5 - 3 years) or in lower frequencies (3 years - 7 years). The freshwater discharge of the main tributaries and water levels in Patos Lagoon are influenced by ENSO on interannual scales (cycles between 3.8 and 6 years). Therefore, El Niño events are associated with high mean values of freshwater discharge and water levels above the mean. On the other hand, La Niña events are associated with low mean values of freshwater discharge and water levels below the mean. These results are consistent with analysis related to

  18. Mediated Effects of Technology Competencies and Experiences on Relations among Attitudes Towards Technology Use, Technology Ownership, and Self Efficacy about Technological Pedagogical Content Knowledge

    NASA Astrophysics Data System (ADS)

    Yerdelen-Damar, Sevda; Boz, Yezdan; Aydın-Günbatar, Sevgi

    2017-08-01

    This study examined the relations of preservice science teachers' attitudes towards technology use, technology ownership, technology competencies, and experiences to their self-efficacy beliefs about technological pedagogical content knowledge (TPACK). The present study also investigated interrelations among preservice teachers' attitudes towards technology use, technology ownership, technology competencies, and experiences. The participants of study were 665 elementary preservice science teachers (467 females, 198 males) from 7 colleges in Turkey. The proposed model based on educational technology literature was tested using structural equation modeling. The model testing results revealed that preservice teachers' technology competencies and experiences mediated the relation of technology ownership to their TPACK self efficacy beliefs. The direct relation of their possession of technology to their TPACK self efficacy beliefs was insignificant while the indirect relation through their technology competencies and experiences was significant. The results also indicated there were significant direct effects of preservice teachers' attitudes towards technology use, technology competencies, and experiences on their TPACK self efficacy beliefs.

  19. Technology transfer of remote sensing technology

    NASA Technical Reports Server (NTRS)

    Smith, A. D.

    1980-01-01

    The basic philosophy and some current activities of MSFC Technology Transfer with regard to remote sensing technology are briefly reviewed. Among the problems that may be alleviated through such technology transfer are the scarcity of energy and mineral resources, the alteration of the environment by man, unpredictable natural disasters, and the effect of unanticipated climatic change on agricultural productivity.

  20. Science-Technology-Society or Technology-Society-Science? Insights from an Ancient Technology

    ERIC Educational Resources Information Center

    Lee, Yeung Chung

    2010-01-01

    Current approaches to science-technology-society (STS) education focus primarily on the controversial socio-scientific issues that arise from the application of science in modern technology. This paper argues for an interdisciplinary approach to STS education that embraces science, technology, history, and social and cultural studies. By employing…

  1. Center for development technology and program in technology and human affairs. [emphasizing technology-based networks

    NASA Technical Reports Server (NTRS)

    Wong, M. D.

    1974-01-01

    The role of technology in nontraditional higher education with particular emphasis on technology-based networks is analyzed nontraditional programs, institutions, and consortia are briefly reviewed. Nontraditional programs which utilize technology are studied. Technology-based networks are surveyed and analyzed with regard to kinds of students, learning locations, technology utilization, interinstitutional relationships, cost aspects, problems, and future outlook.

  2. Absence of spontaneous magnetic order of lattice spins coupled to itinerant interacting electrons in one and two dimensions.

    PubMed

    Loss, Daniel; Pedrocchi, Fabio L; Leggett, Anthony J

    2011-09-02

    We extend the Mermin-Wagner theorem to a system of lattice spins which are spin coupled to itinerant and interacting charge carriers. We use the Bogoliubov inequality to rigorously prove that neither (anti-) ferromagnetic nor helical long-range order is possible in one and two dimensions at any finite temperature. Our proof applies to a wide class of models including any form of electron-electron and single-electron interactions that are independent of spin. In the presence of Rashba or Dresselhaus spin-orbit interactions (SOI) magnetic order is not excluded and intimately connected to equilibrium spin currents. However, in the special case when Rashba and Dresselhaus SOIs are tuned to be equal, magnetic order is excluded again. This opens up a new possibility to control magnetism electrically.

  3. Philosophy of Technology Assumptions in Educational Technology Leadership

    ERIC Educational Resources Information Center

    Webster, Mark David

    2017-01-01

    A qualitative study using grounded theory methods was conducted to (a) examine what philosophy of technology assumptions are present in the thinking of K-12 technology leaders, (b) investigate how the assumptions may influence technology decision making, and (c) explore whether technological determinist assumptions are present. Subjects involved…

  4. The Model Technology School: Toward Literacy through Technology. Technology.

    ERIC Educational Resources Information Center

    Schneider, Raymond J.

    This paper describes one Florida school's experience with the Model Technology Schools (MTS) pilot program, and proposes a poetry curriculum for K-12 education that incorporates laserdisc technology for student presentations. Webster Elementary School in St. Augustine was the smallest of five schools chosen for the MTS program to demonstrate the…

  5. Technology Games: Using Wittgenstein for Understanding and Evaluating Technology.

    PubMed

    Coeckelbergh, Mark

    2017-08-15

    In the philosophy of technology after the empirical turn, little attention has been paid to language and its relation to technology. In this programmatic and explorative paper, it is proposed to use the later Wittgenstein, not only to pay more attention to language use in philosophy of technology, but also to rethink technology itself-at least technology in its aspect of tool, technology-in-use. This is done by outlining a working account of Wittgenstein's view of language (as articulated mainly in the Investigations) and by then applying that account to technology-turning around Wittgenstein's metaphor of the toolbox. Using Wittgenstein's concepts of language games and form of life and coining the term 'technology games', the paper proposes and argues for a use-oriented, holistic, transcendental, social, and historical approach to technology which is empirically but also normatively sensitive, and which takes into account implicit knowledge and know-how. It gives examples of interaction with social robots to support the relevance of this project for understanding and evaluating today's technologies, makes comparisons with authors in philosophy of technology such as Winner and Ihde, and sketches the contours of a phenomenology and hermeneutics of technology use that may help us to understand but also to gain a more critical relation to specific uses of concrete technologies in everyday contexts. Ultimately, given the holism argued for, it also promises a more critical relation to the games and forms of life technologies are embedded in-to the ways we do things.

  6. Subjective Technology Adaptivity Predicts Technology Use in Old Age.

    PubMed

    Kamin, Stefan T; Lang, Frieder R; Beyer, Anja

    2017-01-01

    To date, not much is known about the psychological and motivational factors underlying technology use in late life. What are the interindividual determinants that lead older adults to invest in using technological innovations despite the age-related physiological changes that impose challenges on behavioral plasticity in everyday life? This research explores interindividual differences in subjective technology adaptivity - a general technology-related motivational resource that accounts for technology use in late life. More specifically, we investigate the influence of this factor relative to demographic characteristics, personality traits, and functional limitations in a longitudinal sample of community-dwelling older adults. We report results from a paper-and-pencil survey with 136 older adults between 59 and 92 years of age (mean = 71.4, SD = 7.4). Of those participants, 77 participated in a 2-year follow-up. We assessed self-reports of technology use, subjective technology adaptivity, functional limitations, and the personality traits openness to new experiences and neuroticism. Higher levels of subjective technology adaptivity were associated with technology use at the first measurement as well as increased use over the course of 2 years. Subjective technology adaptivity is a significant predictor of technology use in old age. Our findings contribute to improving the understanding of interindividual differences when using technological innovation in late life. Moreover, our findings have implications in the context of user involvement and may contribute to the successful development of innovative technology for older adults. © 2017 S. Karger AG, Basel.

  7. Technology assessment or technology harassment: The attacks on science and technology

    NASA Technical Reports Server (NTRS)

    Green, L., Jr.

    1972-01-01

    The manner in which technology is being assessed by various groups and individuals is discussed. Attacks on science and technology (specifically military uses and funding), and the disillusionment of the public with the lack of relevance of science to the public interest and with the infallible wisdom of scientists are described. The effects of the fear of environmental pollution are emphasized. It is concluded that the important issues of science, technology, and public policy will require a pluralistic definition of the public interest by open adversary proceedings. It is also felt that the danger is not that new technology will receive inadequate assessment for possible deleterious secondary effects, but that harassment by an overemotional political process may prevent its coming to fruition.

  8. Excitation of the Earth's Chandler wobble by southern oscillation/El Nino, 1900-1979

    NASA Technical Reports Server (NTRS)

    Chao, B. F.

    1985-01-01

    The southern oscillation/El Nino (ENSO) is the single most prominent interannual signal in global atmospheric/oceanic fluctuations. The following question is addressed: how important is the angular momentum carried by ENSO in exciting the Earth's Chandler wobble? The question is attacked through a statistical analysis of the coherence spectra (correlation as a function of frequency) between two data sets spanning 1900 to 1979-the southern oscillation index (SOI) time series and the excitation function psi (with x-component psi sub x and y-component psi sub y) of the Chandler wobble derived from the homogeneous ILS (International Latitude Service) polar motion data. The coherence power and phase in the Chandler frequency band (approx. 0.79 to 0.89 cpy) are studied. It is found that, during 1900 to 1979 the coherence between SOI and psi sub x is significant well over the 95% confidence threshold whereas that between SOI and psi sub y is practically nil. Quantitatively, the coherence study shows that ENSO provides some 20% of the observed Chandler wobble excitation power. Since earlier investigations have shown that the total atmospheric/oceanic variation can account for the Chandler wobble excitation at about 20% level, the implication is that ENSO maybe an important (interannual) part of the atmospheric/oceanic variation that is responsible for the Chandler wobble excitation during 1900 to 1979.

  9. Emergency pediatric surgery: Comparing the economic burden in specialized versus nonspecialized children's centers.

    PubMed

    Kvasnovsky, Charlotte L; Lumpkins, Kimberly; Diaz, Jose J; Chun, Jeannie Y

    2018-05-01

    The American College of Surgeons has developed a verification program for children's surgery centers. Highly specialized hospitals may be verified as Level I, while those with fewer dedicated resources as Level II or Level III, respectively. We hypothesized that more specialized children's centers would utilize more resources. We performed a retrospective study of the Maryland Health Services Cost Review Commission (HSCRC) database from 2009 to 2013. We assessed total charge, length of stay (LOS), and charge per day for all inpatients with an emergency pediatric surgery diagnosis, controlling for severity of illness (SOI). Using published resources, we assigned theoretical level designations to each hospital. Two hospitals would qualify as Level 1 hospitals, with 4593 total emergency pediatric surgery admissions (38.5%) over the five-year study period. Charges were significantly higher for children treated at Level I hospitals (all P<0.0001). Across all SOI, children at Level I hospitals had significantly longer LOS (all P<0.0001). Hospitals defined as Level II and Level III provided the majority of care and were able to do so with shorter hospitalizations and lower charges, regardless of SOI. As care shifts towards specialized centers, this charge differential may have significant impact on future health care costs. Level III Cost Effectiveness Study. Copyright © 2018 Elsevier Inc. All rights reserved.

  10. Mask-less deposition of Au-SnO2 nanocomposites on CMOS MEMS platform for ethanol detection.

    PubMed

    Santra, S; Sinha, A K; De Luca, A; Ali, S Z; Udrea, F; Guha, P K; Ray, S K; Gardner, J W

    2016-03-29

    Here we report on the mask-less deposition of Au-SnO2 nanocomposites with a silicon-on-insulator (SOI) complementary metal oxide semiconductor (CMOS) micro electro mechanical system (MEMS) platform through the use of dip pen nanolithography (DPN) to create a low-cost ethanol sensor. MEMS technology is used in order to achieve low power consumption, by the employment of a membrane structure formed using deep reactive ion etching technique. The device consists of an embedded tungsten micro-heater with gold interdigitated electrodes on top of the SOI membrane. The tungsten micro-heater is used to raise the membrane temperature up to its operating temperature and the electrodes are used to measure the resistance of the nanocomposite sensing layer. The CMOS MEMS devices have high electro-thermal efficiency, with 8.2 °C temperature increase per mW power of consumption. The sensing material (Au-SnO2 nanocomposite) was synthesised starting from SnO nanoplates, then Au nanoparticles were attached chemically to the surface of SnO nanoplates, finally the mixture was heated at 700 °C in an oven in air for 4 h. This composite material was sonicated for 2 h in terpineol to make a viscous homogeneous slurry and then 'written' directly across the electrode area using the DPN technique without any mask. The devices were characterised by exposure to ethanol vapour in humid air in the concentration range of 100-1000 ppm. The sensitivity varied from 1.2 to 0.27 ppm(-1) for 100-1000 ppm of ethanol at 10% relative humid air. Selectivity measurements showed that the sensors were selective towards ethanol when they were exposed to acetone and toluene.

  11. Mask-less deposition of Au-SnO2 nanocomposites on CMOS MEMS platform for ethanol detection

    NASA Astrophysics Data System (ADS)

    Santra, S.; Sinha, A. K.; De Luca, A.; Ali, S. Z.; Udrea, F.; Guha, P. K.; Ray, S. K.; Gardner, J. W.

    2016-03-01

    Here we report on the mask-less deposition of Au-SnO2 nanocomposites with a silicon-on-insulator (SOI) complementary metal oxide semiconductor (CMOS) micro electro mechanical system (MEMS) platform through the use of dip pen nanolithography (DPN) to create a low-cost ethanol sensor. MEMS technology is used in order to achieve low power consumption, by the employment of a membrane structure formed using deep reactive ion etching technique. The device consists of an embedded tungsten micro-heater with gold interdigitated electrodes on top of the SOI membrane. The tungsten micro-heater is used to raise the membrane temperature up to its operating temperature and the electrodes are used to measure the resistance of the nanocomposite sensing layer. The CMOS MEMS devices have high electro-thermal efficiency, with 8.2 °C temperature increase per mW power of consumption. The sensing material (Au-SnO2 nanocomposite) was synthesised starting from SnO nanoplates, then Au nanoparticles were attached chemically to the surface of SnO nanoplates, finally the mixture was heated at 700 °C in an oven in air for 4 h. This composite material was sonicated for 2 h in terpineol to make a viscous homogeneous slurry and then ‘written’ directly across the electrode area using the DPN technique without any mask. The devices were characterised by exposure to ethanol vapour in humid air in the concentration range of 100-1000 ppm. The sensitivity varied from 1.2 to 0.27 ppm-1 for 100-1000 ppm of ethanol at 10% relative humid air. Selectivity measurements showed that the sensors were selective towards ethanol when they were exposed to acetone and toluene.

  12. Technology coordination

    NASA Technical Reports Server (NTRS)

    Hartman, Steven

    1992-01-01

    Viewgraphs on technology coordination are provided. Topics covered include: technology coordination process to date; goals; how the Office of Aeronautics and Space Technology (OAST) can support the Office of Space Science and Applications (OSSA); how OSSA can support OAST; steps to technology transfer; and recommendations.

  13. Guerilla Technology.

    ERIC Educational Resources Information Center

    Van Horn, Royal

    1999-01-01

    Staff at disadvantaged schools lacking sufficient technology must take matters into their own hands. Guerilla technology tactics include finding all the hidden technology on campus, scanning the school budget carefully, helping others spend their technology money, and scrounging free computers at universities and local businesses. (MLH)

  14. A New Holocene Lake Sediment Archive from Samoa (Tropical South Pacific) Reveals Millennial Scale Changes in Hydroclimate.

    NASA Astrophysics Data System (ADS)

    Sear, D. A.; Hassall, J. D.; Langdon, P. G.; Croudace, I. W. C.; Maloney, A. E.; Sachs, J. P.

    2015-12-01

    El Niño-Southern Oscillation (ENSO) is the strongest source of interannual climate variability on the planet. Its behaviour leads to major hydro-climate impacts around the world, including flooding, drought, and altering cyclone frequency. Simulating ENSO behaviour is difficult using climate models, as it is a complex non-linear system, and hence predicting its future variability under changing climate is challenging. Using palaeoclimate data thus allows an insight into long-term ENSO behaviour against a range of different forcings throughout the Holocene. To date long, coherent, high resolution records from lake sediment archives have been limited to the Pacific Rim. We present new data from the closed crater Lake Lanoto'o, on Upolu Island, Samoa, located within the tropical South Pacific. The lake sediment record extends back into the early Holocene with an average sedimentation rate 0.4mm a-1. We demonstrate a strong correspondence between precipitation at the study site and measures of the Southern Oscillation Index (SOI)1. We compare geochemical proxies of precipitation to a long-term reconstruction of the SOI2. The resulting proxy SOI record extends over the last 9000 years, revealing scales of change in ENSO that match those recorded from sites located on the Pacific rim3,4. A major period of La-Nina dominance occurs around 4.5ka BP before abruptly switching to El-Nino dominance around 3.2ka. Thereafter, phases of El-Nino - La Nina dominance, alternate every c. 400yrs. The results point to prolonged phases of enhanced or reduced precipitation - conditions that may influence future population resilience to climate change, and may also have been triggers for the colonisation of more remote eastern Polynesia. 1. http://www.cgd.ucar.edu/cas/catalog/climind/SOI.signal.annstd.ascii. 2. Yan, H. et al. (2011) Nature Geoscience, 4, p.611. 3. Conroy J. L. et al. (2008) Quaternary Science Reviews, 27, p.1166 4. Moy, C. M. et al. (2002) Nature, 420, p.162

  15. Wafer-Level Membrane-Transfer Process for Fabricating MEMS

    NASA Technical Reports Server (NTRS)

    Yang, Eui-Hyeok; Wiberg, Dean

    2003-01-01

    A process for transferring an entire wafer-level micromachined silicon structure for mating with and bonding to another such structure has been devised. This process is intended especially for use in wafer-level integration of microelectromechanical systems (MEMS) that have been fabricated on dissimilar substrates. Unlike in some older membrane-transfer processes, there is no use of wax or epoxy during transfer. In this process, the substrate of a wafer-level structure to be transferred serves as a carrier, and is etched away once the transfer has been completed. Another important feature of this process is that two electrodes constitutes an electrostatic actuator array. An SOI wafer and a silicon wafer (see Figure 1) are used as the carrier and electrode wafers, respectively. After oxidation, both wafers are patterned and etched to define a corrugation profile and electrode array, respectively. The polysilicon layer is deposited on the SOI wafer. The carrier wafer is bonded to the electrode wafer by using evaporated indium bumps. The piston pressure of 4 kPa is applied at 156 C in a vacuum chamber to provide hermetic sealing. The substrate of the SOI wafer is etched in a 25 weight percent TMAH bath at 80 C. The exposed buried oxide is then removed by using 49 percent HF droplets after an oxygen plasma ashing. The SOI top silicon layer is etched away by using an SF6 plasma to define the corrugation profile, followed by the HF droplet etching of the remaining oxide. The SF6 plasma with a shadow mask selectively etches the polysilicon membrane, if the transferred membrane structure needs to be patterned. Electrostatic actuators with various electrode gaps have been fabricated by this transfer technique. The gap between the transferred membrane and electrode substrate is very uniform ( 0.1 m across a wafer diameter of 100 mm, provided by optimizing the bonding control). Figure 2 depicts the finished product.

  16. FY04 Engineering Technology Reports Technology Base

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharpe, R M

    2005-01-27

    Lawrence Livermore National Laboratory's Engineering Directorate has two primary discretionary avenues for its investment in technologies: the Laboratory Directed Research and Development (LDRD) program and the ''Tech Base'' program. This volume summarizes progress on the projects funded for technology-base efforts in FY2004. The Engineering Technical Reports exemplify Engineering's more than 50-year history of researching and developing (LDRD), and reducing to practice (technology-base) the engineering technologies needed to support the Laboratory's missions. Engineering has been a partner in every major program and project at the Laboratory throughout its existence, and has prepared for this role with a skilled workforce and technicalmore » resources. This accomplishment is well summarized by Engineering's mission: ''Enable program success today and ensure the Laboratory's vitality tomorrow''. LDRD is the vehicle for creating those technologies and competencies that are cutting edge. These require a significant level of research or contain some unknown that needs to be fully understood. Tech Base is used to apply those technologies, or adapt them to a Laboratory need. The term commonly used for Tech Base projects is ''reduction to practice''. Tech Base projects effect the natural transition to reduction-to-practice of scientific or engineering methods that are well understood and established. They represent discipline-oriented, core competency activities that are multi-programmatic in application, nature, and scope. The objectives of technology-base funding include: (1) the development and enhancement of tools and processes to provide Engineering support capability, such as code maintenance and improved fabrication methods; (2) support of Engineering science and technology infrastructure, such as the installation or integration of a new capability; (3) support for technical and administrative leadership through our technology Centers; and (4) the initial

  17. Technology in the Classroom: Teachers and Technology--A Technological Divide

    ERIC Educational Resources Information Center

    Clarke, Gregory, Sr.; Zagarell, Jesse

    2012-01-01

    The education system in the United States continues to grapple with adapting to change, especially when it comes to integrating technology in the curriculum. The United States needs to use its resources to stay competitive in the increasingly technological world, particularly in the classroom. Lefebvre, Deaudelin, and Loiselle (2006) posit that…

  18. Educational technologies in health sciences libraries: teaching technology skills.

    PubMed

    Hurst, Emily J

    2014-01-01

    As technology rapidly changes, libraries remain go-to points for education and technology skill development. In academic health sciences libraries, trends suggest librarians provide more training on technology topics than ever before. While education and training have always been roles for librarians, providing technology training on new mobile devices and emerging systems requires class creation and training capabilities that are new to many librarians. To appeal to their users, many health sciences librarians are interested in developing technology-based classes. This column explores the question: what skills are necessary for developing and teaching technology in an academic health sciences library setting?

  19. Educational Technologies in Health Science Libraries: Teaching Technology Skills

    PubMed Central

    Hurst, Emily J.

    2014-01-01

    As technology rapidly changes, libraries remain go-to points for education and technology skill development. In academic health sciences libraries, trends suggest librarians provide more training on technology topics than ever before. While education and training have always been roles for librarians, providing technology training on new mobile devices and emerging systems requires class creation and training capabilities that are new to many. To appeal to their users, many health sciences librarians are interested in developing technology-based classes. This column explores the question: what skills are necessary for developing and teaching technology in an academic health sciences library setting? PMID:24528269

  20. CCSDS Time-Critical Onboard Networking Service

    NASA Technical Reports Server (NTRS)

    Parkes, Steve; Schnurr, Rick; Marquart, Jane; Menke, Greg; Ciccone, Massimiliano

    2006-01-01

    The Consultative Committee for Space Data Systems (CCSDS) is developing recommendations for communication services onboard spacecraft. Today many different communication buses are used on spacecraft requiring software with the same basic functionality to be rewritten for each type of bus. This impacts on the application software resulting in custom software for almost every new mission. The Spacecraft Onboard Interface Services (SOIS) working group aims to provide a consistent interface to various onboard buses and sub-networks, enabling a common interface to the application software. The eventual goal is reusable software that can be easily ported to new missions and run on a range of onboard buses without substantial modification. The system engineer will then be able to select a bus based on its performance, power, etc and be confident that a particular choice of bus will not place excessive demands on software development. This paper describes the SOIS Intra-Networking Service which is designed to enable data transfer and multiplexing of a variety of internetworking protocols with a range of quality of service support, over underlying heterogeneous data links. The Intra-network service interface provides users with a common Quality of Service interface when transporting data across a variety of underlying data links. Supported Quality of Service (QoS) elements include: Priority, Resource Reservation and Retry/Redundancy. These three QoS elements combine and map into four TCONS services for onboard data communications: Best Effort, Assured, Reserved, and Guaranteed. Data to be transported is passed to the Intra-network service with a requested QoS. The requested QoS includes the type of service, priority and where appropriate, a channel identifier. The data is de-multiplexed, prioritized, and the required resources for transport are allocated. The data is then passed to the appropriate data link for transfer across the bus. The SOIS supported data links may