Sample records for radiation hard semiconductor

  1. Room Temperature Hard Radiation Detectors Based on Solid State Compound Semiconductors: An Overview

    NASA Astrophysics Data System (ADS)

    Mirzaei, Ali; Huh, Jeung-Soo; Kim, Sang Sub; Kim, Hyoun Woo

    2018-05-01

    Si and Ge single crystals are the most common semiconductor radiation detectors. However, they need to work at cryogenic temperatures to decrease their noise levels. In contrast, compound semiconductors can be operated at room temperature due to their ability to grow compound materials with tunable densities, band gaps and atomic numbers. Highly efficient room temperature hard radiation detectors can be utilized in biomedical diagnostics, nuclear safety and homeland security applications. In this review, we discuss room temperature compound semiconductors. Since the field of radiation detection is broad and a discussion of all compound materials for radiation sensing is impossible, we discuss the most important materials for the detection of hard radiation with a focus on binary heavy metal semiconductors and ternary and quaternary chalcogenide compounds.

  2. Strategies for Radiation Hardness Testing of Power Semiconductor Devices

    NASA Technical Reports Server (NTRS)

    Soltis, James V. (Technical Monitor); Patton, Martin O.; Harris, Richard D.; Rohal, Robert G.; Blue, Thomas E.; Kauffman, Andrew C.; Frasca, Albert J.

    2005-01-01

    Plans on the drawing board for future space missions call for much larger power systems than have been flown in the past. These systems would employ much higher voltages and currents to enable more powerful electric propulsion engines and other improvements on what will also be much larger spacecraft. Long term human outposts on the moon and planets would also require high voltage, high current and long life power sources. Only hundreds of watts are produced and controlled on a typical robotic exploration spacecraft today. Megawatt systems are required for tomorrow. Semiconductor devices used to control and convert electrical energy in large space power systems will be exposed to electromagnetic and particle radiation of many types, depending on the trajectory and duration of the mission and on the power source. It is necessary to understand the often very different effects of the radiations on the control and conversion systems. Power semiconductor test strategies that we have developed and employed will be presented, along with selected results. The early results that we have obtained in testing large power semiconductor devices give a good indication of the degradation in electrical performance that can be expected in response to a given dose. We are also able to highlight differences in radiation hardness that may be device or material specific.

  3. Radiation hardness of β-Ga2O3 metal-oxide-semiconductor field-effect transistors against gamma-ray irradiation

    NASA Astrophysics Data System (ADS)

    Wong, Man Hoi; Takeyama, Akinori; Makino, Takahiro; Ohshima, Takeshi; Sasaki, Kohei; Kuramata, Akito; Yamakoshi, Shigenobu; Higashiwaki, Masataka

    2018-01-01

    The effects of ionizing radiation on β-Ga2O3 metal-oxide-semiconductor field-effect transistors (MOSFETs) were investigated. A gamma-ray tolerance as high as 1.6 MGy(SiO2) was demonstrated for the bulk Ga2O3 channel by virtue of weak radiation effects on the MOSFETs' output current and threshold voltage. The MOSFETs remained functional with insignificant hysteresis in their transfer characteristics after exposure to the maximum cumulative dose. Despite the intrinsic radiation hardness of Ga2O3, radiation-induced gate leakage and drain current dispersion ascribed respectively to dielectric damage and interface charge trapping were found to limit the overall radiation hardness of these devices.

  4. Improvement of hot-carrier and radiation hardnesses in metal-oxide-nitride-oxide semiconductor devices by irradiation-then-anneal treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang-Liao, K.S.; Hwu, J.G.

    The hardnesses of hot-carrier and radiation of metal-oxide nitride-oxide semiconductor (MONOS) devices can be improved by the irradiation-then-anneal (ITA) treatments. Each treatment includes an irradiation of Co-60 with a total dose of 1M rads(SiO[sub 2]) and an anneal in N[sub 2] at 400 C for 10 min successively. This improvement can be explained by the release of SiO[sub 2]/Si interfacial strain.

  5. Radiation Hardness Assurance for Space Systems

    NASA Technical Reports Server (NTRS)

    Poivey, Christian; Day, John H. (Technical Monitor)

    2002-01-01

    The space radiation environment can lead to extremely harsh operating conditions for on-board electronic box and systems. The characteristics of the radiation environment are highly dependent on the type of mission (date, duration and orbit). Radiation accelerates the aging of the electronic parts and material and can lead to a degradation of electrical performance; it can also create transient phenomena on parts. Such damage at the part level can induce damage or functional failure at electronic box, subsystem, and system levels. A rigorous methodology is needed to ensure that the radiation environment does not compromise the functionality and performance of the electronics during the system life. This methodology is called hardness assurance. It consists of those activities undertaken to ensure that the electronic piece parts placed in the space system perform to their design specifications after exposure to the space environment. It deals with system requirements, environmental definitions, part selection, part testing, shielding and radiation tolerant design. All these elements should play together in order to produce a system tolerant to.the radiation environment. An overview of the different steps of a space system hardness assurance program is given in section 2. In order to define the mission radiation specifications and compare these requirements to radiation test data, a detailed knowledge of the space environment and the corresponding electronic device failure mechanisms is required. The presentation by J. Mazur deals with the Earth space radiation environment as well as the internal environment of a spacecraft. The presentation by J. Schwank deals with ionization effects, and the presentation by T. Weatherford deals with Single particle Event Phenomena (SEP) in semiconductor devices and microcircuits. These three presentations provide more detailed background to complement the sections 3 and 4. Part selection and categorization are discussed in section

  6. GaN-Based High Temperature and Radiation-Hard Electronics for Harsh Environments

    NASA Technical Reports Server (NTRS)

    Son, Kyung-ah; Liao, Anna; Lung, Gerald; Gallegos, Manuel; Hatakeh, Toshiro; Harris, Richard D.; Scheick, Leif Z.; Smythe, William D.

    2010-01-01

    We develop novel GaN-based high temperature and radiation-hard electronics to realize data acquisition electronics and transmitters suitable for operations in harsh planetary environments. In this paper, we discuss our research on metal-oxide-semiconductor (MOS) transistors that are targeted for 500 (sup o)C operation and >2 Mrad radiation hardness. For the target device performance, we develop Schottky-free AlGaN/GaN MOS transistors, where a gate electrode is processed in a MOS layout using an Al2O3 gate dielectric layer....

  7. Wafer-fused semiconductor radiation detector

    DOEpatents

    Lee, Edwin Y.; James, Ralph B.

    2002-01-01

    Wafer-fused semiconductor radiation detector useful for gamma-ray and x-ray spectrometers and imaging systems. The detector is fabricated using wafer fusion to insert an electrically conductive grid, typically comprising a metal, between two solid semiconductor pieces, one having a cathode (negative electrode) and the other having an anode (positive electrode). The wafer fused semiconductor radiation detector functions like the commonly used Frisch grid radiation detector, in which an electrically conductive grid is inserted in high vacuum between the cathode and the anode. The wafer-fused semiconductor radiation detector can be fabricated using the same or two different semiconductor materials of different sizes and of the same or different thicknesses; and it may utilize a wide range of metals, or other electrically conducting materials, to form the grid, to optimize the detector performance, without being constrained by structural dissimilarity of the individual parts. The wafer-fused detector is basically formed, for example, by etching spaced grooves across one end of one of two pieces of semiconductor materials, partially filling the grooves with a selected electrical conductor which forms a grid electrode, and then fusing the grooved end of the one semiconductor piece to an end of the other semiconductor piece with a cathode and an anode being formed on opposite ends of the semiconductor pieces.

  8. Mercury Chalcohalide Semiconductor Hg 3Se 2Br 2 for Hard Radiation Detection

    DOE PAGES

    Li, Hao; Meng, Fang; Malliakas, Christos D.; ...

    2016-09-28

    We present Hg 3Se 2Br 2 that has a wide band gap semiconductor (2.22 eV) with high density (7.598 g/cm 3) and crystallizes in the monoclinic space group C2/m with cell parameters of a = 17.496 (4) Å, b = 9.3991 (19) Å, c = 9.776(2) Å, β = 90.46(3)°, V = 1607.6(6) Å 3. It melts congruently at a low temperature, 566°C, which allows for an easy single crystal growth directly from the stoichiometric melt. Single crystals of Hg 3Se 2Br 2 up to 1 cm long have been grown using the Bridgman method. Hg 3Se 2Br 2 singlemore » crystals exhibit a strong photocurrent response when exposed to Ag X-ray and blue diode laser. The resistivity of Hg 3Se 2Br 2 measured by the two probe method is on the order of 10 11 Ω·cm, and the mobility-lifetime product (μτ) of the electron and hole carriers estimated from the energy spectroscopy under Ag X-ray radiation are (μτ) e ≈ 1.4 × 10 –4cm 2/V and (μτ) h ≈ 9.2 × 10 –5cm 2/V. Electronic structure calculations at the density functional theory level indicate a direct band gap and a relatively small effective mass for carriers. Lastly, on the basis of the photoconductivity and hard X-ray spectrum, Hg 3Se 2Br 2 is a promising candidate for X-ray and γ-ray radiation detection at room temperature.« less

  9. Electron gas grid semiconductor radiation detectors

    DOEpatents

    Lee, Edwin Y.; James, Ralph B.

    2002-01-01

    An electron gas grid semiconductor radiation detector (EGGSRAD) useful for gamma-ray and x-ray spectrometers and imaging systems is described. The radiation detector employs doping of the semiconductor and variation of the semiconductor detector material to form a two-dimensional electron gas, and to allow transistor action within the detector. This radiation detector provides superior energy resolution and radiation detection sensitivity over the conventional semiconductor radiation detector and the "electron-only" semiconductor radiation detectors which utilize a grid electrode near the anode. In a first embodiment, the EGGSRAD incorporates delta-doped layers adjacent the anode which produce an internal free electron grid well to which an external grid electrode can be attached. In a second embodiment, a quantum well is formed between two of the delta-doped layers, and the quantum well forms the internal free electron gas grid to which an external grid electrode can be attached. Two other embodiments which are similar to the first and second embodiment involve a graded bandgap formed by changing the composition of the semiconductor material near the first and last of the delta-doped layers to increase or decrease the conduction band energy adjacent to the delta-doped layers.

  10. Extreme Radiation Hardness and Space Qualification of AlGaN Optoelectronic Devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Ke-Xun; Balakrishnan, Kathik; Hultgren, Eric

    2010-09-21

    Unprecedented radiation hardness and environment robustness are required in the new generation of high energy density physics (HEDP) experiments and deep space exploration. National Ignition Facility (NIF) break-even shots will have a neutron yield of 1015 or higher. The Europa Jupiter System Mission (EJSM) mission instruments will be irradiated with a total fluence of 1012 protons/cm2 during the space journey. In addition, large temperature variations and mechanical shocks are expected in these applications under extreme conditions. Hefty radiation and thermal shields are required for Si and GaAs based electronics and optoelectronics devices. However, for direct illumination and imaging applications, shieldingmore » is not a viable option. It is an urgent task to search for new semiconductor technologies and to develop radiation hard and environmentally robust optoelectronic devices. We will report on our latest systematic experimental studies on radiation hardness and space qualifications of AlGaN optoelectronic devices: Deep UV Light Emitting Diodes (DUV LEDs) and solarblind UV Photodiodes (PDs). For custom designed AlGaN DUV LEDs with a central emission wavelength of 255 nm, we have demonstrated its extreme radiation hardness up to 2x1012 protons/cm2 with 63.9 MeV proton beams. We have demonstrated an operation lifetime of over 26,000 hours in a nitrogen rich environment, and 23,000 hours of operation in vacuum without significant power drop and spectral shift. The DUV LEDs with multiple packaging styles have passed stringent space qualifications with 14 g random vibrations, and 21 cycles of 100K temperature cycles. The driving voltage, current, emission spectra and optical power (V-I-P) operation characteristics exhibited no significant changes after the space environmental tests. The DUV LEDs will be used for photoelectric charge management in space flights. For custom designed AlGaN UV photodiodes with a central response wavelength of 255 nm, we have

  11. Semiconductor radiation detector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patt, Bradley E.; Iwanczyk, Jan S.; Tull, Carolyn R.

    A semiconductor radiation detector is provided to detect x-ray and light photons. The entrance electrode is segmented by using variable doping concentrations. Further, the entrance electrode is physically segmented by inserting n+ regions between p+ regions. The p+ regions and the n+ regions are individually biased. The detector elements can be used in an array, and the p+ regions and the n+ regions can be biased by applying potential at a single point. The back side of the semiconductor radiation detector has an n+ anode for collecting created charges and a number of p+ cathodes. Biased n+ inserts can bemore » placed between the p+ cathodes, and an internal resistor divider can be used to bias the n+ inserts as well as the p+ cathodes. A polysilicon spiral guard can be implemented surrounding the active area of the entrance electrode or surrounding an array of entrance electrodes.« less

  12. Quantized conductance doubling and hard gap in a two-dimensional semiconductor-superconductor heterostructure.

    PubMed

    Kjaergaard, M; Nichele, F; Suominen, H J; Nowak, M P; Wimmer, M; Akhmerov, A R; Folk, J A; Flensberg, K; Shabani, J; Palmstrøm, C J; Marcus, C M

    2016-09-29

    Coupling a two-dimensional (2D) semiconductor heterostructure to a superconductor opens new research and technology opportunities, including fundamental problems in mesoscopic superconductivity, scalable superconducting electronics, and new topological states of matter. One route towards topological matter is by coupling a 2D electron gas with strong spin-orbit interaction to an s-wave superconductor. Previous efforts along these lines have been adversely affected by interface disorder and unstable gating. Here we show measurements on a gateable InGaAs/InAs 2DEG with patterned epitaxial Al, yielding devices with atomically pristine interfaces between semiconductor and superconductor. Using surface gates to form a quantum point contact (QPC), we find a hard superconducting gap in the tunnelling regime. When the QPC is in the open regime, we observe a first conductance plateau at 4e 2 /h, consistent with theory. The hard-gap semiconductor-superconductor system demonstrated here is amenable to top-down processing and provides a new avenue towards low-dissipation electronics and topological quantum systems.

  13. A novel radiation hard pixel design for space applications

    NASA Astrophysics Data System (ADS)

    Aurora, A. M.; Marochkin, V. V.; Tuuva, T.

    2017-11-01

    We have developed a novel radiation hard photon detector concept based on Modified Internal Gate Field Effect Transistor (MIGFET) wherein a buried Modified Internal Gate (MIG) is implanted underneath a channel of a FET. In between the MIG and the channel of the FET there is depleted semiconductor material forming a potential barrier between charges in the channel and similar type signal charges located in the MIG. The signal charges in the MIG have a measurable effect on the conductance of the channel. In this paper a radiation hard double MIGFET pixel is investigated comprising two MIGFETs. By transferring the signal charges between the two MIGs Non-Destructive Correlated Double Sampling Readout (NDCDSR) is enabled. The radiation hardness of the proposed double MIGFET structure stems from the fact that interface related issues can be considerably mitigated. The reason for this is, first of all, that interface generated dark noise can be completely avoided and secondly, that interface generated 1/f noise can be considerably reduced due to a deep buried channel readout configuration. Electrical parameters of the double MIGFET pixel have been evaluated by 3D TCAD simulation study. Simulation results show the absence of interface generated dark noise, significantly reduced interface generated 1/f noise, well performing NDCDSR operation, and blooming protection due to an inherent vertical anti-blooming structure. In addition, the backside illuminated thick fully depleted pixel design results in low crosstalk due to lack of diffusion and good quantum efficiency from visible to Near Infra-Red (NIR) light. These facts result in excellent Signal-to-Noise Ratio (SNR) and very low crosstalk enabling thus excellent image quality. The simulation demonstrates the charge to current conversion gain for source current read-out to be 1.4 nA/e.

  14. Hybrid anode for semiconductor radiation detectors

    DOEpatents

    Yang, Ge; Bolotnikov, Aleksey E; Camarda, Guiseppe; Cui, Yonggang; Hossain, Anwar; Kim, Ki Hyun; James, Ralph B

    2013-11-19

    The present invention relates to a novel hybrid anode configuration for a radiation detector that effectively reduces the edge effect of surface defects on the internal electric field in compound semiconductor detectors by focusing the internal electric field of the detector and redirecting drifting carriers away from the side surfaces of the semiconductor toward the collection electrode(s).

  15. Studying radiation hardness of a cadmium tungstate crystal based radiation detector

    NASA Astrophysics Data System (ADS)

    Shtein, M. M.; Smekalin, L. F.; Stepanov, S. A.; Zatonov, I. A.; Tkacheva, T. V.; Usachev, E. Yu

    2016-06-01

    The given article considers radiation hardness of an X-ray detector used in production of non-destructive testing instruments and inspection systems. In the course of research, experiments were carried out to estimate radiation hardness of a detector based on cadmium tungstate crystal and its structural components individually. The article describes a layout of an experimental facility that was used for measurements of radiation hardness. The radiation dose dependence of the photodiode current is presented, when it is excited by a light flux of a scintillator or by an external light source. Experiments were carried out to estimate radiation hardness of two types of optical glue used in detector production; they are based on silicon rubber and epoxy. With the help of a spectrophotometer and cobalt gun, each of the glue samples was measured for a relative light transmission factor with different wavelengths, depending on the radiation dose. The obtained data are presented in a comprehensive analysis of the results. It was determined, which of the glue samples is most suitable for production of detectors working under exposure to strong radiation.

  16. Radiation-Hard Complementary Integrated Circuits Based on Semiconducting Single-Walled Carbon Nanotubes.

    PubMed

    McMorrow, Julian J; Cress, Cory D; Gaviria Rojas, William A; Geier, Michael L; Marks, Tobin J; Hersam, Mark C

    2017-03-28

    Increasingly complex demonstrations of integrated circuit elements based on semiconducting single-walled carbon nanotubes (SWCNTs) mark the maturation of this technology for use in next-generation electronics. In particular, organic materials have recently been leveraged as dopant and encapsulation layers to enable stable SWCNT-based rail-to-rail, low-power complementary metal-oxide-semiconductor (CMOS) logic circuits. To explore the limits of this technology in extreme environments, here we study total ionizing dose (TID) effects in enhancement-mode SWCNT-CMOS inverters that employ organic doping and encapsulation layers. Details of the evolution of the device transport properties are revealed by in situ and in operando measurements, identifying n-type transistors as the more TID-sensitive component of the CMOS system with over an order of magnitude larger degradation of the static power dissipation. To further improve device stability, radiation-hardening approaches are explored, resulting in the observation that SWNCT-CMOS circuits are TID-hard under dynamic bias operation. Overall, this work reveals conditions under which SWCNTs can be employed for radiation-hard integrated circuits, thus presenting significant potential for next-generation satellite and space applications.

  17. Semiconductor radiation detector

    DOEpatents

    Bell, Zane W.; Burger, Arnold

    2010-03-30

    A semiconductor detector for ionizing electromagnetic radiation, neutrons, and energetic charged particles. The detecting element is comprised of a compound having the composition I-III-VI.sub.2 or II-IV-V.sub.2 where the "I" component is from column 1A or 1B of the periodic table, the "II" component is from column 2B, the "III" component is from column 3A, the "IV" component is from column 4A, the "V" component is from column 5A, and the "VI" component is from column 6A. The detecting element detects ionizing radiation by generating a signal proportional to the energy deposited in the element, and detects neutrons by virtue of the ionizing radiation emitted by one or more of the constituent materials subsequent to capture. The detector may contain more than one neutron-sensitive component.

  18. A hard oxide semiconductor with a direct and narrow bandgap and switchable p-n electrical conduction.

    PubMed

    Ovsyannikov, Sergey V; Karkin, Alexander E; Morozova, Natalia V; Shchennikov, Vladimir V; Bykova, Elena; Abakumov, Artem M; Tsirlin, Alexander A; Glazyrin, Konstantin V; Dubrovinsky, Leonid

    2014-12-23

    An oxide semiconductor (perovskite-type Mn2 O3 ) is reported which has a narrow and direct bandgap of 0.45 eV and a high Vickers hardness of 15 GPa. All the known materials with similar electronic band structures (e.g., InSb, PbTe, PbSe, PbS, and InAs) play crucial roles in the semiconductor industry. The perovskite-type Mn2 O3 described is much stronger than the above semiconductors and may find useful applications in different semiconductor devices, e.g., in IR detectors. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. GaTe semiconductor for radiation detection

    DOEpatents

    Payne, Stephen A [Castro Valley, CA; Burger, Arnold [Nashville, TN; Mandal, Krishna C [Ashland, MA

    2009-06-23

    GaTe semiconductor is used as a room-temperature radiation detector. GaTe has useful properties for radiation detectors: ideal bandgap, favorable mobilities, low melting point (no evaporation), non-hygroscopic nature, and availability of high-purity starting materials. The detector can be used, e.g., for detection of illicit nuclear weapons and radiological dispersed devices at ports of entry, in cities, and off shore and for determination of medical isotopes present in a patient.

  20. Radiation hardening of metal-oxide semi-conductor (MOS) devices by boron

    NASA Technical Reports Server (NTRS)

    Danchenko, V.

    1974-01-01

    Technique using boron effectively protects metal-oxide semiconductor devices from ionizing radiation without using shielding materials. Boron is introduced into insulating gate oxide layer at semiconductor-insulator interface.

  1. Radiation and Temperature Hard Multi-Pixel Avalanche Photodiodes

    NASA Technical Reports Server (NTRS)

    Bensaoula, Abdelhak (Inventor); Starikov, David (Inventor); Pillai, Rajeev (Inventor)

    2017-01-01

    The structure and method of fabricating a radiation and temperature hard avalanche photodiode with integrated radiation and temperature hard readout circuit, comprising a substrate, an avalanche region, an absorption region, and a plurality of Ohmic contacts are presented. The present disclosure provides for tuning of spectral sensitivity and high device efficiency, resulting in photon counting capability with decreased crosstalk and reduced dark current.

  2. Radiation hardness of lead glasses TF1 and TF101

    NASA Astrophysics Data System (ADS)

    Kobayashi, Masaaki; Prokoshkin, Yuri; Singovsky, Alexandre; Takamatsu, Kunio

    1994-06-01

    We have measured the radiation hardness of two types of lead glasses, TF1 and TF101, for low energy γ-rays from 60Co. TF101 containing cerium is a few tens times radiation harder than TF1 which contains no cerium. The radiation hardness, or the tolerable accumulated dose, of TF101 is 2 × 10 3 rad when the degradation of the transmittance is required to be less than 1% for the unit radiation length X0 = 2.8 cm. When the present result is compared with the work of Inyakin et al., the radiation hardness of TF101 glass should be similar for both γ-rays and for high energy hadrons.

  3. Integrated semiconductor-magnetic random access memory system

    NASA Technical Reports Server (NTRS)

    Katti, Romney R. (Inventor); Blaes, Brent R. (Inventor)

    2001-01-01

    The present disclosure describes a non-volatile magnetic random access memory (RAM) system having a semiconductor control circuit and a magnetic array element. The integrated magnetic RAM system uses CMOS control circuit to read and write data magnetoresistively. The system provides a fast access, non-volatile, radiation hard, high density RAM for high speed computing.

  4. Radiation-Hard SpaceWire/Gigabit Ethernet-Compatible Transponder

    NASA Technical Reports Server (NTRS)

    Katzman, Vladimir

    2012-01-01

    A radiation-hard transponder was developed utilizing submicron/nanotechnology from IBM. The device consumes low power and has a low fabrication cost. This device utilizes a Plug-and-Play concept, and can be integrated into intra-satellite networks, supporting SpaceWire and Gigabit Ethernet I/O. A space-qualified, 100-pin package also was developed, allowing space-qualified (class K) transponders to be delivered within a six-month time frame. The novel, optical, radiation-tolerant transponder was implemented as a standalone board, containing the transponder ASIC (application specific integrated circuit) and optical module, with an FPGA (field-programmable gate array) friendly parallel interface. It features improved radiation tolerance; high-data-rate, low-power consumption; and advanced functionality. The transponder utilizes a patented current mode logic library of radiation-hardened-by-architecture cells. The transponder was developed, fabricated, and radhard tested up to 1 MRad. It was fabricated using 90-nm CMOS (complementary metal oxide semiconductor) 9 SF process from IBM, and incorporates full BIT circuitry, allowing a loop back test. The low-speed parallel LVCMOS (lowvoltage complementary metal oxide semiconductor) bus is compatible with Actel FPGA. The output LVDS (low-voltage differential signaling) interface operates up to 1.5 Gb/s. Built-in CDR (clock-data recovery) circuitry provides robust synchronization and incorporates two alarm signals such as synch loss and signal loss. The ultra-linear peak detector scheme allows on-line control of the amplitude of the input signal. Power consumption is less than 300 mW. The developed transponder with a 1.25 Gb/s serial data rate incorporates a 10-to-1 serializer with an internal clock multiplication unit and a 10-1 deserializer with internal clock and data recovery block, which can operate with 8B10B encoded signals. Three loop-back test modes are provided to facilitate the built-in-test functionality. The

  5. Radiation Hard 0.13 Micron CMOS Library at IHP

    NASA Astrophysics Data System (ADS)

    Jagdhold, U.

    2013-08-01

    To support space applications we have developed an 0.13 micron CMOS library which should be radiation hard up to 200 krad. The article describes the concept to come to a radiation hard digital circuit and was introduces in 2010 [1]. By introducing new radiation hard design rules we will minimize IC-level leakage and single event latch-up (SEL). To reduce single event upset (SEU) we add two p-MOS transistors to all flip flops. For reliability reasons we use double contacts in all library elements. The additional rules and the library elements are integrated in our Cadence mixed signal design kit, “Virtuoso” IC6.1 [2]. A test chip is produced with our in house 0.13 micron BiCMOS technology, see Ref. [3]. As next step we will doing radiation tests according the european space agency (ESA) specifications, see Ref. [4], [5].

  6. A semiconductor radiation imaging pixel detector for space radiation dosimetry

    NASA Astrophysics Data System (ADS)

    Kroupa, Martin; Bahadori, Amir; Campbell-Ricketts, Thomas; Empl, Anton; Hoang, Son Minh; Idarraga-Munoz, John; Rios, Ryan; Semones, Edward; Stoffle, Nicholas; Tlustos, Lukas; Turecek, Daniel; Pinsky, Lawrence

    2015-07-01

    Progress in the development of high-performance semiconductor radiation imaging pixel detectors based on technologies developed for use in high-energy physics applications has enabled the development of a completely new generation of compact low-power active dosimeters and area monitors for use in space radiation environments. Such detectors can provide real-time information concerning radiation exposure, along with detailed analysis of the individual particles incident on the active medium. Recent results from the deployment of detectors based on the Timepix from the CERN-based Medipix2 Collaboration on the International Space Station (ISS) are reviewed, along with a glimpse of developments to come. Preliminary results from Orion MPCV Exploration Flight Test 1 are also presented.

  7. Total-dose radiation effects data for semiconductor devices, volume 1. [radiation resistance of components for the Galileo Project

    NASA Technical Reports Server (NTRS)

    Price, W. E.; Martin, K. E.; Nichols, D. K.; Gauthier, M. K.; Brown, S. F.

    1981-01-01

    Steady-state, total-dose radiation test data are provided in graphic format, for use by electronic designers and other personnel using semiconductor devices in a radiation environment. Data are presented by JPL for various NASA space programs on diodes, bipolar transistors, field effect transistors, silicon-controlled rectifiers, and optical devices. A vendor identification code list is included along with semiconductor device electrical parameter symbols and abbreviations.

  8. Total-dose radiation effects data for semiconductor devices (1989 supplement)

    NASA Technical Reports Server (NTRS)

    Martin, Keith E.; Coss, James R.; Goben, Charles A.; Shaw, David C.; Farmanesh, Sam; Davarpanah, Michael M.; Craft, Leroy H.; Price, William E.

    1990-01-01

    Steady state, total dose radiation test data are provided for electronic designers and other personnel using semiconductor devices in a radiation environment. The data are presented in graphic and narrative formats. Two primary radiation source types were used: Cobalt-60 gamma rays and a Dynamitron electron accelerator capable of delivering 2.5 MeV electrons at a steady rate.

  9. Radiation hardness studies of CdTe thin films for clinical high-energy photon beam detectors

    NASA Astrophysics Data System (ADS)

    Shvydka, Diana; Parsai, E. I.; Kang, J.

    2008-02-01

    In radiation oncology applications, the need for higher-quality images has been driven by recent advances in radiation delivery systems that require online imaging. The existing electronic imaging devices commonly used to acquire portal images implement amorphous silicon (a-Si) detector, which exhibits poor image quality. Efforts for improvement have mostly been in the areas of noise and scatter reduction through software. This has not been successful due to inherent shortcomings of a-Si material. Cadmium telluride (CdTe) semiconductor has long been recognized as highly suitable for use in X-ray detectors in both spectroscopic and imaging applications. Development of such systems has mostly concentrated on single crystal CdTe. Recent advances in thin-film deposition technology suggest replacement of crystalline material with its polycrystalline counterpart, offering ease of large-area device fabrication and achievement of higher resolution as well as a favorable cost difference. While bulk CdTe material was found to have superior radiation hardness, thin films have not been evaluated from that prospective, in particular under high-energy photon beam typical of radiation treatment applications. We assess the performance of thin-film CdTe devices utilizing 6 MeV photon beam and find no consistent trend for material degradation under doses far exceeding the typical radiation therapy detector lifetime dose.

  10. Total-dose radiation effects data for semiconductor devices: 1985 supplement, volume 1

    NASA Technical Reports Server (NTRS)

    Martin, K. E.; Gauthier, M. K.; Coss, J. R.; Dantas, A. R. V.; Price, W. E.

    1985-01-01

    Steady-state, total-dose radiation test data are provided, in graphic format, for use by electronic designers and other personnel using semiconductor devices in a radiation environment. The data were generated by JPL for various NASA space programs. The document is in two volumes: Volume 1 provides data on diodes, bipolar transistors, field effect transistors, and miscellaneous semiconductor types, and Volume 2 provides total-dose radiation test data on integrated circuits. Volume 1 of this 1985 Supplement contains new total-dose radiation test data generated since the August 1, 1981 release date of the original Volume 1. Publication of Volume 2 of the 1985 Supplement will follow that of Volume 1 by approximately three months.

  11. Stable room-temperature thallium bromide semiconductor radiation detectors

    NASA Astrophysics Data System (ADS)

    Datta, A.; Fiala, J.; Becla, P.; Motakef, Shariar

    2017-10-01

    Thallium bromide (TlBr) is a highly efficient ionic semiconductor with excellent radiation detection properties. However, at room temperature, TlBr devices polarize under an applied electric field. This phenomenon not only degrades the charge collection efficiency of the detectors but also promotes chemical reaction of the metal electrodes with bromine, resulting in an unstable electric field and premature failure of the device. This drawback has been crippling the TlBr semiconductor radiation detector technology over the past few decades. In this exhaustive study, this polarization phenomenon has been counteracted using innovative bias polarity switching schemes. Here the highly mobile Br- species, with an estimated electro-diffusion velocity of 10-8 cm/s, face opposing electro-migration forces during every polarity switch. This minimizes the device polarization and availability of Br- ions near the metal electrode. Our results indicate that it is possible to achieve longer device lifetimes spanning more than 17 000 h (five years of 8 × 7 operation) for planar and pixelated radiation detectors using this technique. On the other hand, at constant bias, 2500 h is the longest reported lifetime with most devices less than 1000 h. After testing several biasing switching schemes, it is concluded that the critical bias switching frequency at an applied bias of 1000 V/cm is about 17 μHz. Using this groundbreaking result, it will now be possible to deploy this highly efficient room temperature semiconductor material for field applications in homeland security, medical imaging, and physics research.

  12. Process dependency of radiation hardness of rapid thermal reoxidized nitrided gate oxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weishin Lu; Kuanchin Lin; Jenngwo Hwu

    The radiation hardness of MOS capacitors with various reoxidized nitrided oxide (RNO) structures is studied by changing the durations of rapid thermal processes during sample preparation and by applying irradiation-then-anneal (ITA) treatments on samples after preparation. It is found that the initial flatband voltage and midgap interface trap density of MOS capacitors exhibit turnaround'' dependency on the total time of nitridation and reoxidation processes. For samples with nitrided oxide (NO) structures, the radiation-induced variations of above parameters are also turnaround''-dependent on nitridation time. However, when the reoxidation process is performed, the radiation hardness for all samples will be gradually improvedmore » with increasing reoxidation time no matter what the nitridation time is. The most radiation-hard process for RNO structures is suggested. Finally, it is found that when ITA treatments are applied on samples after preparation, their radiation hardness is much improved.« less

  13. Plasmonically Enhanced Reflectance of Heat Radiation from Low-Bandgap Semiconductor Microinclusions.

    PubMed

    Tang, Janika; Thakore, Vaibhav; Ala-Nissila, Tapio

    2017-07-18

    Increased reflectance from the inclusion of highly scattering particles at low volume fractions in an insulating dielectric offers a promising way to reduce radiative thermal losses at high temperatures. Here, we investigate plasmonic resonance driven enhanced scattering from microinclusions of low-bandgap semiconductors (InP, Si, Ge, PbS, InAs and Te) in an insulating composite to tailor its infrared reflectance for minimizing thermal losses from radiative transfer. To this end, we compute the spectral properties of the microcomposites using Monte Carlo modeling and compare them with results from Fresnel equations. The role of particle size-dependent Mie scattering and absorption efficiencies, and, scattering anisotropy are studied to identify the optimal microinclusion size and material parameters for maximizing the reflectance of the thermal radiation. For composites with Si and Ge microinclusions we obtain reflectance efficiencies of 57-65% for the incident blackbody radiation from sources at temperatures in the range 400-1600 °C. Furthermore, we observe a broadbanding of the reflectance spectra from the plasmonic resonances due to charge carriers generated from defect states within the semiconductor bandgap. Our results thus open up the possibility of developing efficient high-temperature thermal insulators through use of the low-bandgap semiconductor microinclusions in insulating dielectrics.

  14. A semiconductor radiation imaging pixel detector for space radiation dosimetry.

    PubMed

    Kroupa, Martin; Bahadori, Amir; Campbell-Ricketts, Thomas; Empl, Anton; Hoang, Son Minh; Idarraga-Munoz, John; Rios, Ryan; Semones, Edward; Stoffle, Nicholas; Tlustos, Lukas; Turecek, Daniel; Pinsky, Lawrence

    2015-07-01

    Progress in the development of high-performance semiconductor radiation imaging pixel detectors based on technologies developed for use in high-energy physics applications has enabled the development of a completely new generation of compact low-power active dosimeters and area monitors for use in space radiation environments. Such detectors can provide real-time information concerning radiation exposure, along with detailed analysis of the individual particles incident on the active medium. Recent results from the deployment of detectors based on the Timepix from the CERN-based Medipix2 Collaboration on the International Space Station (ISS) are reviewed, along with a glimpse of developments to come. Preliminary results from Orion MPCV Exploration Flight Test 1 are also presented. Copyright © 2015 The Committee on Space Research (COSPAR). All rights reserved.

  15. Low-Dimensional Nanomaterials and Molecular Dielectrics for Radiation-Hard Electronics

    NASA Astrophysics Data System (ADS)

    McMorrow, Julian

    memory (SRAM) cells, an accomplishment that illustrates the technological relevance of this work by implementing a highly utilized component of modern day computing. Next, these SRAM devices demonstrate functionality as true random number generators (TRNGs), which are critical components in cryptography and encryption. The randomness of these SWCNT TRNGs is verified by a suite of statistical tests. This achievement has implications for securing data and communication in future solution-processed, large-area, flexible electronics. The unprecedented integration achieved by the underlying SWCNT doping and encapsulation motivates the study of this technology in a radiation environment. Doing so results in an understanding of the fundamental charge trapping mechanisms responsible for the radiation response in this system. The integrated nature of these devices enables, for the first time, the observation of system-level effects in a SWCNT integrated circuit technology. This technology is found to be total ionizing dose-hard, a promising result for the adoption of SWCNTs in future space-bound applications. Compared to SWCNTs, the field of MoS2 electronics is relatively nascent. As a result, studies of radiation effects in MoS2 devices focus on the fundamental mechanisms at play in the materials system. Here, we reveal the critical role of atmospheric adsorbates in the radiation effects of MoS2 transistors by measuring their response to vacuum ultraviolet radiation. These results highlight the importance of controlling the atmosphere of MoS2 devices during irradiation. Furthermore, we make recommendations for radiation-hard MoS2-based devices in the future as the technology continues to mature. One such recommendation is the incorporation of specialized dielectrics with proven radiation hardness. To this end, we address the materials integration challenge of incorporating SAND gate dielectrics on arbitrary substrates. We explore a novel approach for preparing metal substrates

  16. Silicon carbide semiconductor technology for high temperature and radiation environments

    NASA Technical Reports Server (NTRS)

    Matus, Lawrence G.

    1993-01-01

    Viewgraphs on silicon carbide semiconductor technology and its potential for enabling electronic devices to function in high temperature and high radiation environments are presented. Topics covered include silicon carbide; sublimation growth of 6H-SiC boules; SiC chemical vapor deposition reaction system; 6H silicon carbide p-n junction diode; silicon carbide MOSFET; and silicon carbide JFET radiation response.

  17. Statistical Modeling for Radiation Hardness Assurance: Toward Bigger Data

    NASA Technical Reports Server (NTRS)

    Ladbury, R.; Campola, M. J.

    2015-01-01

    New approaches to statistical modeling in radiation hardness assurance are discussed. These approaches yield quantitative bounds on flight-part radiation performance even in the absence of conventional data sources. This allows the analyst to bound radiation risk at all stages and for all decisions in the RHA process. It also allows optimization of RHA procedures for the project's risk tolerance.

  18. Improving the radiation hardness of graphene field effect transistors

    DOE PAGES

    Alexandrou, Konstantinos; Masurkar, Amrita; Edrees, Hassan; ...

    2016-10-11

    Ionizing radiation poses a significant challenge to the operation and reliability of conventional silicon-based devices. In this paper, we report the effects of gamma radiation on graphene field-effect transistors (GFETs), along with a method to mitigate those effects by developing a radiation-hardened version of our back-gated GFETs. We demonstrate that activated atmospheric oxygen from the gamma ray interaction with air damages the semiconductor device, and damage to the substrate contributes additional threshold voltage instability. Our radiation-hardened devices, which have protection against these two effects, exhibit minimal performance degradation, improved stability, and significantly reduced hysteresis after prolonged gamma radiation exposure. Finally,more » we believe this work provides an insight into graphene's interactions with ionizing radiation that could enable future graphene-based electronic devices to be used for space, military, and other radiation-sensitive applications.« less

  19. Improving the radiation hardness of graphene field effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alexandrou, Konstantinos; Masurkar, Amrita; Edrees, Hassan

    Ionizing radiation poses a significant challenge to the operation and reliability of conventional silicon-based devices. In this paper, we report the effects of gamma radiation on graphene field-effect transistors (GFETs), along with a method to mitigate those effects by developing a radiation-hardened version of our back-gated GFETs. We demonstrate that activated atmospheric oxygen from the gamma ray interaction with air damages the semiconductor device, and damage to the substrate contributes additional threshold voltage instability. Our radiation-hardened devices, which have protection against these two effects, exhibit minimal performance degradation, improved stability, and significantly reduced hysteresis after prolonged gamma radiation exposure. Finally,more » we believe this work provides an insight into graphene's interactions with ionizing radiation that could enable future graphene-based electronic devices to be used for space, military, and other radiation-sensitive applications.« less

  20. The Si/CdTe semiconductor camera of the ASTRO-H Hard X-ray Imager (HXI)

    NASA Astrophysics Data System (ADS)

    Sato, Goro; Hagino, Kouichi; Watanabe, Shin; Genba, Kei; Harayama, Atsushi; Kanematsu, Hironori; Kataoka, Jun; Katsuragawa, Miho; Kawaharada, Madoka; Kobayashi, Shogo; Kokubun, Motohide; Kuroda, Yoshikatsu; Makishima, Kazuo; Masukawa, Kazunori; Mimura, Taketo; Miyake, Katsuma; Murakami, Hiroaki; Nakano, Toshio; Nakazawa, Kazuhiro; Noda, Hirofumi; Odaka, Hirokazu; Onishi, Mitsunobu; Saito, Shinya; Sato, Rie; Sato, Tamotsu; Tajima, Hiroyasu; Takahashi, Hiromitsu; Takahashi, Tadayuki; Takeda, Shin`ichiro; Yuasa, Takayuki

    2016-09-01

    The Hard X-ray Imager (HXI) is one of the instruments onboard the ASTRO-H mission [1-4] to be launched in early 2016. The HXI is the focal plane detector of the hard X-ray reflecting telescope that covers an energy range from 5 to 80 keV. It will execute observations of astronomical objects with a sensitivity for point sources as faint as 1/100,000 of the Crab nebula at > 10 keV. The HXI camera - the imaging part of the HXI - is realized by a hybrid semiconductor detector system that consists of silicon (Si) and cadmium telluride (CdTe) semiconductor detectors. Here, we present the final design of the HXI camera and report on the development of the flight model. The camera is composed of four layers of Double-sided Silicon Strip Detectors (DSSDs) and one layer of CdTe Double-sided Strip Detector (CdTe-DSD), each with an imaging area of 32 mm×32 mm. The strip pitch of the Si and CdTe sensors is 250 μm, and the signals from all 1280 strips are processed by 40 Application Specified Integrated Circuits (ASICs) developed for the HXI. The five layers of sensors are vertically stacked with a 4 mm spacing to increase the detection efficiency. The thickness of the sensors is 0.5 mm for the Si, and 0.75 mm for the CdTe. In this configuration, soft X-ray photons will be absorbed in the Si part, while hard X-ray photons will go through the Si part and will be detected in the CdTe part. The design of the sensor trays, peripheral circuits, power connections, and readout schemes are also described. The flight models of the HXI camera have been manufactured, tested and installed in the HXI instrument and then on the satellite.

  1. Radiation induced failures of complementary metal oxide semiconductor containing pacemakers: a potentially lethal complication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lewin, A.A.; Serago, C.F.; Schwade, J.G.

    1984-10-01

    New multi-programmable pacemakers frequently employ complementary metal oxide semiconductors (CMOS). This circuitry appears more sensitive to the effects of ionizing radiation when compared to the semiconductor circuits used in older pacemakers. A case of radiation induced runaway pacemaker in a CMOS device is described. Because of this and other recent reports of radiation therapy-induced CMOS type pacemaker failure, these pacemakers should not be irradiated. If necessary, the pacemaker can be shielded or moved to a site which can be shielded before institution of radiation therapy. This is done to prevent damage to the CMOS circuit and the life threatening arrythmiasmore » which may result from such damage.« less

  2. Influence of design variables on radiation hardness of silicon MINP solar cells

    NASA Technical Reports Server (NTRS)

    Anderson, W. A.; Solaun, S.; Rao, B. B.; Banerjee, S.

    1985-01-01

    Metal-insulator-N/P silicon (MINP) solar cells were fabricated using different substrate resistivity values, different N-layer designs, and different I-layer designs. A shallow junction into an 0.3 ohm-cm substrate gave best efficiency whereas a deeper junction into a 1 to 4 ohm-cm substrate gave improved radiation hardness. I-layer design variation did little to influence radiation hardness.

  3. Radiation Hardness Assurance (RHA) Guideline

    NASA Technical Reports Server (NTRS)

    Campola, Michael J.

    2016-01-01

    Radiation Hardness Assurance (RHA) consists of all activities undertaken to ensure that the electronics and materials of a space system perform to their design specifications after exposure to the mission space environment. The subset of interests for NEPP and the REAG, are EEE parts. It is important to register that all of these undertakings are in a feedback loop and require constant iteration and updating throughout the mission life. More detail can be found in the reference materials on applicable test data for usage on parts.

  4. Development of a radiation-hard CMOS process

    NASA Technical Reports Server (NTRS)

    Power, W. L.

    1983-01-01

    It is recommended that various techniques be investigated which appear to have the potential for improving the radiation hardness of CMOS devices for prolonged space flight mission. The three key recommended processing techniques are: (1) making the gate oxide thin. It has been shown that radiation degradation is proportional to the cube of oxide thickness so that a relatively small reduction in thickness can greatly improve radiation resistance; (2) cleanliness and contamination control; and (3) to investigate different oxide growth (low temperature dry, TCE and HCL). All three produce high quality clean oxides, which are more radiation tolerant. Technique 2 addresses the reduction of metallic contamination. Technique 3 will produce a higher quality oxide by using slow growth rate conditions, and will minimize the effects of any residual sodium contamination through the introduction of hydrogen and chlorine into the oxide during growth.

  5. Radiation Hardness Assurance (RHA) for Space Systems

    NASA Technical Reports Server (NTRS)

    Poivey, Christian; Buchner, Stephen

    2007-01-01

    This presentation discusses radiation hardness assurance (RHA) for space systems, providing both the programmatic aspects of RHA and the RHA procedure. RHA consists of all activities undertaken to ensure that the electronics and materials of a space system perform to their design specifications after exposure to the space radiation environment. RHA also pertains to environment definition, part selection, part testing, spacecraft layout, radiation tolerant design, and mission/system/subsystems requirements. RHA procedure consists of establishing mission requirements, defining and evaluating the radiation hazard, selecting and categorizing the appropriate parts, and evaluating circuit response to hazard. The RHA approach is based on risk management and is confined only to parts, it includes spacecraft layout, system/subsystem/circuit design, and system requirements and system operations. RHA should be taken into account in the early phases of a program including the proposal and feasibility analysis phases.

  6. National Radiation Hardness Assurance (RHA) Planning For NASA Missions: Updated Guidance

    NASA Technical Reports Server (NTRS)

    LaBel, Kenneth A.; Pellish, Jonathan Allen

    2014-01-01

    Radiation Hardness Assurance (RHA) is the process of ensuring space system performance in the presence of a space radiation environment. Herein, we present an updated NASA methodology for RHA focusing on content, deliverables and timeframes.

  7. Notional Radiation Hardness Assurance (RHA) Planning For NASA Missions: Updated Guidance

    NASA Technical Reports Server (NTRS)

    LaBel, Kenneth A.; Pellish, Jonathan A.

    2014-01-01

    Radiation Hardness Assurance (RHA) is the process of ensuring space system performance in the presence of a space radiation environment. Herein, we present an updated NASA methodology for RHA focusing on content, deliverables and timeframes.

  8. Electromagnetic radiation screening of semiconductor devices for long life applications

    NASA Technical Reports Server (NTRS)

    Hall, T. C.; Brammer, W. G.

    1972-01-01

    A review is presented of the mechanism of interaction of electromagnetic radiation in various spectral ranges, with various semiconductor device defects. Previous work conducted in this area was analyzed as to its pertinence to the current problem. The task was studied of implementing electromagnetic screening methods in the wavelength region determined to be most effective. Both scanning and flooding type stimulation techniques are discussed. While the scanning technique offers a considerably higher yield of useful information, a preliminary investigation utilizing the flooding approach is first recommended because of the ease of implementation, lower cost and ability to provide go-no-go information in semiconductor screening.

  9. Total-dose radiation effects data for semiconductor devices. 1985 Supplement. Volume 2, part B

    NASA Technical Reports Server (NTRS)

    Martin, K. E.; Gauthier, M. K.; Coss, J. R.; Dantas, A. R. V.; Price, W. E.

    1986-01-01

    Steady-state, total-dose radiation test data are provided in graphic format, for use by electronic designers and other personnel using semiconductor devices in a radiation environment. The data were generated by JPL for various NASA space programs. The document is in two volumes: Volume 1 provides data on diodes, bipolar transistors, field effect transistors, and miscellaneous semiconductor types, and Volume 2 (Parts A and B) provides data on integrated circuits. The data are presented in graphic, tabular, and/or narrative format, depending on the complexity of the integrated circuit. Most tests were done steady-state 2.5-MeV electron beam. However, some radiation exposures were made with a Cobalt-60 gamma ray source, the results of which should be regarded as only an approximate measure of the radiation damage that would be incurred by an equivalent electron dose. All data were generated in support of NASA space programs by the JPL Radiation Effects and Testing Group (514).

  10. Nonlinear optical transmittance of semiconductors in the presence of high-intensity radiation fields

    NASA Astrophysics Data System (ADS)

    Dong, H. M.; Han, F. W.; Duan, Y. F.; Huang, F.; Liu, J. L.

    2018-04-01

    We developed a systematic theoretical study of nonlinear optical properties of semiconductors. The eight-band kṡp model and the energy-balance equation are employed to calculate the transmission and optical absorption coefficients in the presence of both the linear one-photon absorption and the nonlinear two-photon absorption (TPA) processes. A substantial reduction of the optical transmittance far below the band-gap can be observed under relatively high-intensity radiation fields due to the nonlinear TPA. The TPA-induced optical transmittance decreases with increasing intensity of the radiation fields. Our theoretical results are in line with those observed experimentally. The theoretical approach can be applied to understand the nonlinear optical properties of semiconductors under high-field conditions.

  11. Semiconductor photoelectrochemistry

    NASA Technical Reports Server (NTRS)

    Buoncristiani, A. M.; Byvik, C. E.

    1983-01-01

    Semiconductor photoelectrochemical reactions are investigated. A model of the charge transport processes in the semiconductor, based on semiconductor device theory, is presented. It incorporates the nonlinear processes characterizing the diffusion and reaction of charge carriers in the semiconductor. The model is used to study conditions limiting useful energy conversion, specifically the saturation of current flow due to high light intensity. Numerical results describing charge distributions in the semiconductor and its effects on the electrolyte are obtained. Experimental results include: an estimate rate at which a semiconductor photoelectrode is capable of converting electromagnetic energy into chemical energy; the effect of cell temperature on the efficiency; a method for determining the point of zero zeta potential for macroscopic semiconductor samples; a technique using platinized titanium dioxide powders and ultraviolet radiation to produce chlorine, bromine, and iodine from solutions containing their respective ions; the photoelectrochemical properties of a class of layered compounds called transition metal thiophosphates; and a technique used to produce high conversion efficiency from laser radiation to chemical energy.

  12. Study on Effects of Gamma-Ray Irradiation on TlBr Semiconductor Detectors

    NASA Astrophysics Data System (ADS)

    Matsumura, Motohiro; Watanabe, Kenichi; Yamazaki, Atsushi; Uritani, Akira; Kimura, Norihisa; Nagano, Nobumichi; Hitomi, Keitaro

    Radiation hardness of thallium bromide (TlBr) semiconductor detectors to 60Co gamma-ray irradiation was evaluated. The energy spectra and μτ products of electrons were measured to evaluate the irradiation effects. No significant degradation of spectroscopic performance of the TlBr detector for 137Cs gamma-rays was observed up to 45 kGy irradiation. Although the μτ products of electrons in the TlBr detector slightly decreased, position of the photo-peak was stable without significant degradation after the gamma-ray irradiation. We confirmed that the TlBr semiconductor detector has a high tolerance for gamma-ray irradiation at least up to 45 kGy.

  13. Properties of nanocones formed on a surface of semiconductors by laser radiation: quantum confinement effect of electrons, phonons, and excitons

    PubMed Central

    2011-01-01

    On the basis of the analysis of experimental results, a two-stage mechanism of nanocones formation on the irradiated surface of semiconductors by Nd:YAG laser is proposed for elementary semiconductors and solid solutions, such as Si, Ge, SiGe, and CdZnTe. Properties observed are explained in the frame of quantum confinement effect. The first stage of the mechanism is characterized by the formation of a thin strained top layer, due to redistribution of point defects in temperature-gradient field induced by laser radiation. The second stage is characterized by mechanical plastic deformation of the stained top layer leading to arising of nanocones, due to selective laser absorption of the top layer. The nanocones formed on the irradiated surface of semiconductors by Nd:YAG laser possessing the properties of 1D graded bandgap have been found for Si, Ge, and SiGe as well, however QD structure in CdTe was observed. The model is confirmed by "blue shift" of bands in photoluminescence spectrum, "red shift" of longitudinal optical line in Raman back scattering spectrum of Ge crystal, appearance of Ge phase in SiGe solid solution after irradiation by the laser at intensity 20 MW/cm2, and non-monotonous dependence of Si crystal micro-hardness as function of the laser intensity. PMID:22060172

  14. Influence of the distribution of PWO crystal radiation hardness on electromagnetic calorimeter performance

    NASA Astrophysics Data System (ADS)

    Drobychev, Gleb Yu.; Borisevich, Andrei E.; Korjik, Mikhail V.; Lecoq, Paul; Moroz, Valeri I.; Peigneux, Jean-Pierre

    2002-06-01

    The distribution of about 5000 mass-produced PWO crystals by their light yield and radiation hardness is analysed. The correlation between results of radiation hardness measurements at low and saturating dose rates is refined. A method for the evaluation of the energy resolution of the electromagnetic calorimeter accounting for a distribution of individual PWO crystal characteristics is proposed. A preliminary analysis of the PWO crystal recovery kinetics is also performed.

  15. Radiation Hard Active Media R&D for CMS Hadron Endcap Calorimetry

    NASA Astrophysics Data System (ADS)

    Tiras, Emrah; CMS-HCAL Collaboration

    2015-04-01

    The High Luminosity LHC era imposes unprecedented radiation conditions on the CMS detectors targeting a factor of 5-10 higher than the LHC design luminosity. The CMS detectors will need to be upgraded in order to withstand these conditions yet maintain/improve the physics measurement capabilities. One of the upgrade options is reconstructing the CMS Endcap Calorimeters with a shashlik design electromagnetic section and replacing active media of the hadronic section with radiation-hard scintillation materials. In this context, we have studied various radiation-hard materials such as Polyethylene Naphthalate (PEN), Polyethylene Terephthalate (PET), HEM and quartz plates coated with various organic materials such as p-Terphenyl (pTp), Gallium doped Zinc Oxide (ZnO:Ga) and Anthracene. Here we discuss the related test beam activities, laboratory measurements and recent developments.

  16. Radiation detection system using semiconductor detector with differential carrier trapping and mobility

    DOEpatents

    Whited, Richard C.

    1981-01-01

    A system for obtaining improved resolution in relatively thick semiconductor radiation detectors, such as HgI.sub.2, which exhibit significant hole trapping. Two amplifiers are used: the first measures the charge collected and the second the contribution of the electrons to the charge collected. The outputs of the two amplifiers are utilized to unfold the total charge generated within the detector in response to a radiation event.

  17. Creation of a Radiation Hard 0.13 Micron CMOS Library at IHP

    NASA Astrophysics Data System (ADS)

    Jagdhold, U.

    2010-08-01

    To support space applications we will develop an 0.13 micron CMOS library which should be radiation hard up to 200 krad. By introducing new radiation hard design rules we will minimize IC-level leakage and single event latchup (SEL). To reduce single event upset (SEU) we will add two p-MOS transistors to all flip flops. For reliability reasons we will use double contacts in all library elements. The additional rules and the library elements will then be integrated in our Cadence mixed signal designkit, Virtuoso IC6.1 [1]. A test chip will be produced with our in house 0.13 micron BiCMOS technology, see Ref. [2].Thereafter we will doing radiation tests according the ESA specifications, see Ref. [3], [4].

  18. Fault-Tolerant, Radiation-Hard DSP

    NASA Technical Reports Server (NTRS)

    Czajkowski, David

    2011-01-01

    Commercial digital signal processors (DSPs) for use in high-speed satellite computers are challenged by the damaging effects of space radiation, mainly single event upsets (SEUs) and single event functional interrupts (SEFIs). Innovations have been developed for mitigating the effects of SEUs and SEFIs, enabling the use of very-highspeed commercial DSPs with improved SEU tolerances. Time-triple modular redundancy (TTMR) is a method of applying traditional triple modular redundancy on a single processor, exploiting the VLIW (very long instruction word) class of parallel processors. TTMR improves SEU rates substantially. SEFIs are solved by a SEFI-hardened core circuit, external to the microprocessor. It monitors the health of the processor, and if a SEFI occurs, forces the processor to return to performance through a series of escalating events. TTMR and hardened-core solutions were developed for both DSPs and reconfigurable field-programmable gate arrays (FPGAs). This includes advancement of TTMR algorithms for DSPs and reconfigurable FPGAs, plus a rad-hard, hardened-core integrated circuit that services both the DSP and FPGA. Additionally, a combined DSP and FPGA board architecture was fully developed into a rad-hard engineering product. This technology enables use of commercial off-the-shelf (COTS) DSPs in computers for satellite and other space applications, allowing rapid deployment at a much lower cost. Traditional rad-hard space computers are very expensive and typically have long lead times. These computers are either based on traditional rad-hard processors, which have extremely low computational performance, or triple modular redundant (TMR) FPGA arrays, which suffer from power and complexity issues. Even more frustrating is that the TMR arrays of FPGAs require a fixed, external rad-hard voting element, thereby causing them to lose much of their reconfiguration capability and in some cases significant speed reduction. The benefits of COTS high

  19. Method and apparatus for electron-only radiation detectors from semiconductor materials

    DOEpatents

    Lund, James C.

    2000-01-01

    A system for obtaining improved resolution in room temperature semiconductor radiation detectors such as CdZnTe and Hgl.sub.2, which exhibit significant hole-trapping. A electrical reference plane is established about the perimeter of a semiconductor crystal and disposed intermediately between two oppositely biased end electrodes. The intermediate reference plane comprises a narrow strip of wire in electrical contact with the surface of the crystal, biased at a potential between the end electrode potentials and serving as an auxiliary electrical reference for a chosen electrode--typically the collector electrode for the more mobile charge carrier. This arrangement eliminates the interfering effects of the less mobile carriers as these are gathered by their electrode collector.

  20. Development of high temperature, high radiation resistant silicon semiconductors

    NASA Technical Reports Server (NTRS)

    Whorl, C. A.; Evans, A. W.

    1972-01-01

    The development of a hardened silicon power transistor for operation in severe nuclear radiation environments at high temperature was studied. Device hardness and diffusion techniques are discussed along with the geometries of hardened power transistor chips. Engineering drawings of 100 amp and 5 amp silicon devices are included.

  1. Inclusion of Radiation Environment Variability in Total Dose Hardness Assurance Methodology

    PubMed Central

    Xapsos, M.A.; Stauffer, C.; Phan, A.; McClure, S.S.; Ladbury, R.L.; Pellish, J.A.; Campola, M.J.; LaBel, K.A.

    2017-01-01

    Variability of the space radiation environment is investigated with regard to parts categorization for total dose hardness assurance methods. It is shown that it can have a significant impact. A modified approach is developed that uses current environment models more consistently and replaces the radiation design margin concept with one of failure probability during a mission. PMID:28804156

  2. Inclusion of Radiation Environment Variability in Total Dose Hardness Assurance Methodology

    NASA Technical Reports Server (NTRS)

    Xapsos, M. A.; Stauffer, C.; Phan, A.; McClure, S. S.; Ladbury, R. L.; Pellish, J. A.; Campola, M. J.; LaBel, K. A.

    2016-01-01

    Variability of the space radiation environment is investigated with regard to parts categorization for total dose hardness assurance methods. It is shown that it can have a significant impact. A modified approach is developed that uses current environment models more consistently and replaces the radiation design margin concept with one of failure probability during a mission.

  3. Characterization and modeling of radiation effects NASA/MSFC semiconductor devices

    NASA Technical Reports Server (NTRS)

    Kerns, D. V., Jr.; Cook, K. B., Jr.

    1978-01-01

    A literature review of the near-Earth trapped radiation of the Van Allen Belts, the radiation within the solar system resulting from the solar wind, and the cosmic radiation levels of deep space showed that a reasonable simulation of space radiation, particularly the Earth orbital environment, could be simulated in the laboratory by proton bombardment. A 3 MeV proton accelerator was used to irradiate CMOS integrated circuits fabricated from three different processes. The drain current and output voltage for three inverters was recorded as the input voltage was swept from zero to ten volts after each successive irradiation. Device parameters were extracted. Possible damage mechanisms are discussed and recommendations for improved radiation hardness are suggested.

  4. The optical effect of a semiconductor laser on protecting wheat from UV-B radiation damage.

    PubMed

    Qiu, Zong-Bo; Zhu, Xin-Jun; Li, Fang-Min; Liu, Xiao; Yue, Ming

    2007-07-01

    Lasers have been widely used in the field of biology along with the development of laser technology, but the mechanism of the bio-effect of lasers is not explicit. The objective of this paper was to test the optical effect of a laser on protecting wheat from UV-B damage. A patent instrument was employed to emit semiconductor laser (wavelength 650 nm) and incoherent red light, which was transformed from the semiconductor laser. The wavelength, power and lightfleck diameter of the incoherent red light are the same as those of the semiconductor laser. The semiconductor laser (wavelength 650 nm, power density 3.97 mW mm(-2)) and incoherent red light (wavelength 650 nm, power density 3.97 mW mm(-2)) directly irradiated the embryo of wheat seeds for 3 min respectively, and when the seedlings were 12-day-old they were irradiated by UV-B radiation (10.08 kJ m(-2)) for 12 h in the dark. Changes in the concentration of malondialdehyde (MDA), hydrogen peroxide (H(2)O(2)), glutathione (GSH), ascorbate (AsA), carotenoids (CAR), the production rate of superoxide radical (O(2)(-)), the activities of peroxidase (POD), catalase (CAT), superoxide dismutase (SOD) and the growth parameters of seedlings (plant height, leaf area and fresh weight) were measured to test the optical effect of the laser. The results showed that the incoherent red light treatment could not enhance the activities of SOD, POD and CAT and the concentration of AsA and CAR. When the plant cells were irradiated by UV-B, the incoherent red light treatment could not eliminate active oxygen and prevent lipid peroxidation in wheat. The results also clearly demonstrate that the plant DNA was damaged by UV-B radiation and semiconductor laser irradiance had the capability to protect plants from UV-B-induced DNA damage, while the incoherent red light could not. This is the first investigation reporting the optical effect of a semiconductor laser on protecting wheat from UV-B radiation damage.

  5. Analysis of space radiation data of semiconductor memories

    NASA Technical Reports Server (NTRS)

    Stassinopoulos, E. G.; Brucker, G. J.; Stauffer, C. A.

    1996-01-01

    This article presents an analysis of radiation effects for several select device types and technologies aboard the Combined Release and Radiation Effects Satellite (CRRES) satellite. These space-flight measurements covered a period of about 14 months of mission lifetime. Single Event Upset (SEU) data of the investigated devices from the Microelectronics Package (MEP) were processed and analyzed. Valid upset measurements were determined by correcting for invalid readings, hard failures, missing data tapes (thus voids in data), and periods over which devices were disabled from interrogation. The basic resolution time of the measurement system was confirmed to be 2 s. Lessons learned, important findings, and recommendations are presented.

  6. An unusual crystal growth method of the chalcohalide semiconductor, β-Hg 3S 2Cl 2: A new candidate for hard radiation detection

    DOE PAGES

    Wibowo, Arief C.; Malliakas, Christos D.; Li, Hao; ...

    2016-03-16

    Here, we assess the mercury chalcohalide compound, β-Hg 3S 2Cl 2, as a potential semiconductor material for X-ray and γ-ray detection. It has a high density (6.80 g/cm 3) and wide band gap (2.56 eV) and crystallizes in the cubic Pm4more » $$\\bar{3}$$n space group with a three-dimensional structure comprised of [Hg 12S 8] cubes with Cl atoms located within and between the cubes, featuring a trigonal pyramidal SHg3 as the main building block. First-principle electronic structure calculations at the density functional theory level predict that the compound has closely lying indirect and direct band gaps. We have successfully grown transparent, single crystals of β-Hg 3S 2Cl 2 up to 7 mm diameter and 1 cm long using a new approach by the partial decomposition of the quaternary Hg 3Bi 2S 2Cl 8 compound followed by the formation of β-Hg 3S 2Cl 2 and an impermeable top layer, all happening in situ during vertical Bridgman growth. The decomposition process was optimized by varying peak temperatures and temperature gradients using a 2 mm/h translation rate of the Bridgman technique. Formation of the quaternary Hg 3Bi 2S 2Cl 8 followed by its partial decomposition into β-Hg 3S 2Cl 2 was confirmed by in situ temperature-dependent synchrotron powder diffraction studies. The single crystal samples obtained had resistivity of 10 10 Ω·cm and mobility-lifetime products of electron and hole carriers of 1.4(4) × 10 –4 cm 2/V and 7.5(3) × 10 –5 cm 2/V, respectively. Further, an appreciable Ag X-ray photoconductivity response was observed showing the potential of β-Hg 3S 2Cl 2 as a hard radiation detector material.« less

  7. Combined soft and hard X-ray ambient pressure photoelectron spectroscopy studies of semiconductor/electrolyte interfaces

    DOE PAGES

    Starr, David E.; Favaro, Marco; Abdi, Fatwa F.; ...

    2017-05-18

    The development of solar fuel generating materials would greatly benefit from a molecular level understanding of the semiconductor/electrolyte interface and changes in the interface induced by an applied potential and illumination by solar light. Ambient pressure photoelectron spectroscopy techniques with both soft and hard X-rays, AP-XPS and AP-HAXPES respectively, have the potential to markedly contribute to this understanding. In this paper we initially provide two examples of current challenges in solar fuels material development that AP-XPS and AP-HAXPES can directly a ddress. This will be followed by a brief description of the distinguishing and complementary characteristics of soft and hardmore » X-ray AP-XPS and AP-HAXPES and best approaches to achieving monolayer sensitivity in solid/aqueous electrolyte studies. In particular we focus on the detection of surface adsorbed hydroxyl groups in the presence of aqueous hydroxide anions in the electrolyte, a common situation when investigating photoanodes for solar fuel generating applications. Finally, the article concludes by providing an example of a combined AP-XPS and AP-HAXPES study of a semiconductor/aqueous electrolyte interface currently used in water splitting devices specifically the BiVO 4/aqueous potassium phosphate electrolyte interface.« less

  8. Photoconductivity in the chalcohalide semiconductor, SbSeI: a new candidate for hard radiation detection.

    PubMed

    Wibowo, Arief C; Malliakas, Christos D; Liu, Zhifu; Peters, John A; Sebastian, Maria; Chung, Duck Young; Wessels, Bruce W; Kanatzidis, Mercouri G

    2013-06-17

    We investigated an antimony chalcohalide compound, SbSeI, as a potential semiconductor material for X-ray and γ-ray detection. SbSeI has a wide band gap of 1.70 eV with a density of 5.80 g/cm(3), and it crystallizes in the orthorhombic Pnma space group with a one-dimensional chain structure comprised of infinite zigzag chains of dimers [Sb2Se4I8]n running along the crystallographic b axis. In this study, we investigate conditions for vertical Bridgman crystal growth using combinations of the peak temperature and temperature gradients as well as translation rate set in a three-zone furnace. SbSeI samples grown at 495 °C peak temperature and 19 °C/cm temperature gradient with 2.5 mm/h translation rate produced a single phase of columnar needlelike crystals aligned along the translational direction of the growth. The ingot sample exhibited an n-type semiconductor with resistivity of ∼10(8) Ω·cm. Photoconductivity measurements on these specimens allowed us to determine mobility-lifetime (μτ) products for electron and hole carriers that were found to be of similar order of magnitude (∼10(-4) cm(2)/V). Further, the SbSeI ingot with well-aligned, one-dimensional columnar needlelike crystals shows an appreciable response of Ag Kα X-ray.

  9. The Hard X-ray Imager (HXI) for the ASTRO-H Mission

    NASA Astrophysics Data System (ADS)

    Sato, Goro; Kokubun, Motohide; Nakazawa, Kazuhiro; Enoto, Teruaki; Fukazawa, Yasushi; Harayama, Atsushi; Hayashi, Katsuhiro; Kataoka, Jun; Katsuta, Junichiro; Kawaharada, Madoka; Laurent, Philippe; Lebrun, François; Limousin, Olivier; Makishima, Kazuo; Mizuno, Tsunefumi; Mori, Kunishiro; Nakamori, Takeshi; Noda, Hirofumi; Odaka, Hirokazu; Ohno, Masanori; Ohta, Masayuki; Saito, Shinya; Sato, Rie; Tajima, Hiroyasu; Takahashi, Hiromitsu; Takahashi, Tadayuki; Takeda, Shinichiro; Terada, Yukikatsu; Uchiyama, Hideki; Uchiyama, Yasunobu; Watanabe, Shin; Yamaoka, Kazutaka; Yatsu, Yoichi; Yuasa, Takayuki

    2014-07-01

    The 6th Japanese X-ray satellite, ASTRO-H, is scheduled for launch in 2015. The hard X-ray focusing imaging system will observe astronomical objects with the sensitivity for detecting point sources with a brightness of 1/100,000 times fainter than the Crab nebula at > 10 keV. The Hard X-ray Imager (HXI) is a focal plane detector 12 m below the hard X-ray telescope (HXT) covering the energy range from 5 to 80 keV. The HXI is composed of a stacked Si/CdTe semiconductor detector module and surrounding BGO scintillators. The latter work as active shields for efficient reduction of background events caused by cosmic-ray particles, cosmic X-ray background, and in-orbit radiation activation. In this paper, we describe the detector system, and present current status of flight model development, and performance of HXI using an engineering model of HXI.

  10. EFFECTS OF LASER RADIATION ON MATTER. LASER PLASMA: Low-threshold generation of harmonics and hard x radiation in a laser plasma. 2. Multipeak generation

    NASA Astrophysics Data System (ADS)

    Apollonov, V. V.; Derzhavin, S. I.; Kazakov, K. Kh

    1993-02-01

    The conditions for the generation of hard x radiation with a multipeak structure in a plasma pumped by a long pulse from a free-running CO2 laser at a low intensity (q≲10 GW/cm2) have been studied. This x-ray generation had been observed in a previous study by the present authors. It is shown that this generation of hard x radiation with a multipeak structure leads to a more than tenfold increase in the yield of hard x radiation per laser pulse, under optimum conditions. This increase results from the additional peaks in the x-ray signal. An explanation of this effect is proposed.

  11. Positronics of radiation-induced effects in chalcogenide glassy semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shpotyuk, O.; Kozyukhin, S. A., E-mail: sergkoz@igic.ras.ru; Shpotyuk, M.

    2015-03-15

    Using As{sub 2}S{sub 3} and AsS{sub 2} glasses as an example, the principal possibility of using positron annihilation spectroscopy methods for studying the evolution of the free volume of hollow nanoobjects in chalcogenide glassy semiconductors exposed to radiation is shown. The results obtained by measurements of the positron annihilation lifetime and Doppler broadening of the annihilation line in reverse chronological order are in full agreement with the optical spectroscopy data in the region of the fundamental absorption edge, being adequately described within coordination defect-formation and physical-aging models.

  12. Model-Based Assurance Case+ (MBAC+): Tutorial on Modeling Radiation Hardness Assurance Activities

    NASA Technical Reports Server (NTRS)

    Austin, Rebekah; Label, Ken A.; Sampson, Mike J.; Evans, John; Witulski, Art; Sierawski, Brian; Karsai, Gabor; Mahadevan, Nag; Schrimpf, Ron; Reed, Robert A.

    2017-01-01

    This presentation will cover why modeling is useful for radiation hardness assurance cases, and also provide information on Model-Based Assurance Case+ (MBAC+), NASAs Reliability Maintainability Template, and Fault Propagation Modeling.

  13. FIBER OPTICS. ACOUSTOOPTICS: Amplification of semiconductor laser radiation in the wavelength range 1.24-1.3 μm by stimulated Raman scattering in an optical fiber

    NASA Astrophysics Data System (ADS)

    Belotitskiĭ, V. I.; Kuzin, E. A.; Ovsyannikov, D. V.; Petrov, Mikhail P.

    1990-07-01

    An investigation was made of the influence of weak semiconductor laser radiation on the spectrum of stimulated Raman scattering in a single-mode optical waveguide pumped by a YAG:Nd3+ laser emitting at 1.06 μm. The scattered radiation power increased by a factor exceeding 10 at the semiconductor laser wavelength. A small-signal dynamic gain reached 47 dB. Simultaneous amplification was observed of several modes of multimode semiconductor laser radiation with an intermode spectral interval of 1.3 nm.

  14. Single-Event Gate Rupture in Power MOSFETs: A New Radiation Hardness Assurance Approach

    NASA Technical Reports Server (NTRS)

    Lauenstein, Jean-Marie

    2011-01-01

    Almost every space mission uses vertical power metal-semiconductor-oxide field-effect transistors (MOSFETs) in its power-supply circuitry. These devices can fail catastrophically due to single-event gate rupture (SEGR) when exposed to energetic heavy ions. To reduce SEGR failure risk, the off-state operating voltages of the devices are derated based upon radiation tests at heavy-ion accelerator facilities. Testing is very expensive. Even so, data from these tests provide only a limited guide to on-orbit performance. In this work, a device simulation-based method is developed to measure the response to strikes from heavy ions unavailable at accelerator facilities but posing potential risk on orbit. This work is the first to show that the present derating factor, which was established from non-radiation reliability concerns, is appropriate to reduce on-orbit SEGR failure risk when applied to data acquired from ions with appropriate penetration range. A second important outcome of this study is the demonstration of the capability and usefulness of this simulation technique for augmenting SEGR data from accelerator beam facilities. The mechanisms of SEGR are two-fold: the gate oxide is weakened by the passage of the ion through it, and the charge ionized along the ion track in the silicon transiently increases the oxide electric field. Most hardness assurance methodologies consider the latter mechanism only. This work demonstrates through experiment and simulation that the gate oxide response should not be neglected. In addition, the premise that the temporary weakening of the oxide due to the ion interaction with it, as opposed to due to the transient oxide field generated from within the silicon, is validated. Based upon these findings, a new approach to radiation hardness assurance for SEGR in power MOSFETs is defined to reduce SEGR risk in space flight projects. Finally, the potential impact of accumulated dose over the course of a space mission on SEGR

  15. Rad-Hard, Miniaturized, Scalable, High-Voltage Switching Module for Power Applications Rad-Hard, Miniaturized

    NASA Technical Reports Server (NTRS)

    Adell, Philippe C.; Mojarradi, Mohammad; DelCastillo, Linda Y.; Vo, Tuan A.

    2011-01-01

    A paper discusses the successful development of a miniaturized radiation hardened high-voltage switching module operating at 2.5 kV suitable for space application. The high-voltage architecture was designed, fabricated, and tested using a commercial process that uses a unique combination of 0.25 micrometer CMOS (complementary metal oxide semiconductor) transistors and high-voltage lateral DMOS (diffusion metal oxide semiconductor) device with high breakdown voltage (greater than 650 V). The high-voltage requirements are achieved by stacking a number of DMOS devices within one module, while two modules can be placed in series to achieve higher voltages. Besides the high-voltage requirements, a second generation prototype is currently being developed to provide improved switching capabilities (rise time and fall time for full range of target voltages and currents), the ability to scale the output voltage to a desired value with good accuracy (few percent) up to 10 kV, to cover a wide range of high-voltage applications. In addition, to ensure miniaturization, long life, and high reliability, the assemblies will require intensive high-voltage electrostatic modeling (optimized E-field distribution throughout the module) to complete the proposed packaging approach and test the applicability of using advanced materials in a space-like environment (temperature and pressure) to help prevent potential arcing and corona due to high field regions. Finally, a single-event effect evaluation would have to be performed and single-event mitigation methods implemented at the design and system level or developed to ensure complete radiation hardness of the module.

  16. Radiation hardness of Ce-doped sol-gel silica fibers for high energy physics applications.

    PubMed

    Cova, Francesca; Moretti, Federico; Fasoli, Mauro; Chiodini, Norberto; Pauwels, Kristof; Auffray, Etiennette; Lucchini, Marco Toliman; Baccaro, Stefania; Cemmi, Alessia; Bártová, Hana; Vedda, Anna

    2018-02-15

    The results of irradiation tests on Ce-doped sol-gel silica using x- and γ-rays up to 10 kGy are reported in order to investigate the radiation hardness of this material for high-energy physics applications. Sol-gel silica fibers with Ce concentrations of 0.0125 and 0.05 mol. % are characterized by means of optical absorption and attenuation length measurements before and after irradiation. The two different techniques give comparable results, evidencing the formation of a main broad radiation-induced absorption band, peaking at about 2.2 eV, related to radiation-induced color centers. The results are compared with those obtained on bulk silica. This study reveals that an improvement of the radiation hardness of Ce-doped silica fibers can be achieved by reducing Ce content inside the fiber core, paving the way for further material development.

  17. Radiative d–d transitions at tungsten centers in II–VI semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ushakov, V. V., E-mail: ushakov@lebedev.ru; Krivobok, V. S.; Pruchkina, A. A.

    2017-03-15

    The luminescence spectra of W impurity centers in II–VI semiconductors, specifically, ZnSe, CdS, and CdSe, are studied. It is found that, if the electron system of 5d (W) centers is considered instead of the electron system of 3d (Cr) centers, the spectral characteristics of the impurity radiation are substantially changed. The electron transitions are identified in accordance with Tanabe–Sugano diagrams of crystal field theory. With consideration for the specific features of the spectra, it is established that, in the crystals under study, radiative transitions at 5d W centers occur between levels with different spins in the region of a weakmore » crystal field.« less

  18. An assessment of the hardness of miniature vacuum tubes to high-voltage transients

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orvis, W.J.

    1990-03-01

    Miniature vacuum tubes are vacuum switching and control devices fabricated on a silicon wafer, using the same technology as is used to make integrated circuits. They operate in much the same manner as conventional vacuum tubes, but with two important differences: they are micron sized devices, and they employ field emission instead of thermionic emission as the electron source. As these devices have a vacuum as their active region, they will be extremely hard to nuclear radiation and relatively insensitive to temperature effects, they are also expected to be extremely fast devices. We have estimated here that their hardness tomore » high-voltage transients will be at least as good as existing semiconductor devices and possibly better. 5 figs.« less

  19. Semiconductor crystal high resolution imager

    NASA Technical Reports Server (NTRS)

    Matteson, James (Inventor); Levin, Craig S. (Inventor)

    2011-01-01

    A radiation imaging device (10). The radiation image device (10) comprises a subject radiation station (12) producing photon emissions (14), and at least one semiconductor crystal detector (16) arranged in an edge-on orientation with respect to the emitted photons (14) to directly receive the emitted photons (14) and produce a signal. The semiconductor crystal detector (16) comprises at least one anode and at least one cathode that produces the signal in response to the emitted photons (14).

  20. Effects of radiation and temperature on gallium nitride (GaN) metal-semiconductor-metal ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Chiamori, Heather C.; Angadi, Chetan; Suria, Ateeq; Shankar, Ashwin; Hou, Minmin; Bhattacharya, Sharmila; Senesky, Debbie G.

    2014-06-01

    The development of radiation-hardened, temperature-tolerant materials, sensors and electronics will enable lightweight space sub-systems (reduced packaging requirements) with increased operation lifetimes in extreme harsh environments such as those encountered during space exploration. Gallium nitride (GaN) is a ceramic, semiconductor material stable within high-radiation, high-temperature and chemically corrosive environments due to its wide bandgap (3.4 eV). These material properties can be leveraged for ultraviolet (UV) wavelength photodetection. In this paper, current results of GaN metal-semiconductor-metal (MSM) UV photodetectors behavior after irradiation up to 50 krad and temperatures of 15°C to 150°C is presented. These initial results indicate that GaN-based sensors can provide robust operation within extreme harsh environments. Future directions for GaN-based photodetector technology for down-hole, automotive and space exploration applications are also discussed.

  1. Thermovoltaic semiconductor device including a plasma filter

    DOEpatents

    Baldasaro, Paul F.

    1999-01-01

    A thermovoltaic energy conversion device and related method for converting thermal energy into an electrical potential. An interference filter is provided on a semiconductor thermovoltaic cell to pre-filter black body radiation. The semiconductor thermovoltaic cell includes a P/N junction supported on a substrate which converts incident thermal energy below the semiconductor junction band gap into electrical potential. The semiconductor substrate is doped to provide a plasma filter which reflects back energy having a wavelength which is above the band gap and which is ineffectively filtered by the interference filter, through the P/N junction to the source of radiation thereby avoiding parasitic absorption of the unusable portion of the thermal radiation energy.

  2. Effect of radiation light characteristics on surface hardness of paint-on resin for shade modification.

    PubMed

    Arikawa, Hiroyuki; Kanie, Takahito; Fujii, Koichi; Ban, Seiji

    2005-12-01

    The purpose of this study was to investigate the effect of radiation light characteristics--of different types of clinical light-curing unit--on polymerization efficiency, as determined by the surface hardness of light-cured paint-on resins. Four shades of paint-on resin for shade modification of restorative resins were used. Materials were cured using one laboratory and three clinical light-curing units with different light sources, namely tungsten-halogen, LED, plasma arc, and xenon flash lamps. Knoop hardness measurements were taken at both the top and bottom surfaces of the specimens to assess the mechanical properties and degree of polymerization. Both LED and plasma arc light units caused significantly poorer surface hardness than the halogen and laboratory xenon lights. In addition, the transparent shade was more sensitive to surface hardness than other chromatic shades. Our results indicated that the polymerization efficiency of paint-on resin was significantly influenced by the radiation light characteristics of clinical light-curing units.

  3. Radiation Hardness Assurance (RHA): Challenges and New Considerations

    NASA Technical Reports Server (NTRS)

    Campola, Michael J.

    2017-01-01

    Use of commercial-off-the-shelf (COTS) components and emerging technologies often require space flight missions to accept elevated risk. The Radiation Hardness Assurance (RHA) flow includes environment definition, hazard evaluation, requirements definition, evaluation of design, and design trades to accommodate and mitigate the risk a project or program takes. Depending on the mission profile and environment, different missions may not necessarily benefit from the same risk reduction efforts or cost reduction attempts. While this poses challenges for the radiation engineer, it also presents opportunities to tailor the RHA flow to minimize risk based on the environment or design criticality while remaining within budget. This presentation will focus on an approach to RHA amidst the present challenges, using the same RHA flow as in the past, with examples from recent radiation test results. The current challenges and the types of risk will be identified. How these risks drive requirements development and realization will be explained with examples of device results and data for single event effects (SEE) and in one case total ionizing dose (TID).

  4. Radiation-hard electrical coil and method for its fabrication

    DOEpatents

    Grieggs, R.J.; Blake, R.D.; Gac, F.D.

    1982-06-29

    A radiation-hard insulated electrical coil and method for making the same are disclosed. In accordance with the method, a conductor, preferably copper, is wrapped with an aluminum strip and then tightly wound into a coil. The aluminum-wrapped coil is then annealed to relax the conductor in the coiled configuration. The annealed coil is then immersed in an alkaline solution to dissolve the aluminum strip, leaving the bare conductor in a coiled configuration with all of the windings closely packed yet uniformly spaced from one another. The coil is then insulated with a refractory insulating material. In the preferred embodiment, the coil is insulated by coating it with a vitreous enamel and subsequently potting the enamelled coil in a castable ceramic concrete. The resulting coil is substantially insensitive to radiation and may be operated continuously in high radiation environments for long periods of time.

  5. Radiation Hardness Assurance (RHA): Challenges and New Considerations

    NASA Technical Reports Server (NTRS)

    Campola, Michael J.

    2017-01-01

    Radiation Hardness Assurance (RHA) challenges associated with the use of commercial-off-the-shelf (COTS) components and emerging technologies are cause for risk acceptance in space flight missions. The RHA flow includes environment definition, hazard evaluation, requirements definition, evaluation of design, and design trades to accommodate the risk a project or program takes. The varied missions profiles and environments don't necessarily benefit from the same risk reduction efforts or cost reduction attempts. The level of effort within the RHA flow can be tailored to minimize risk based on the environment or design criticality.

  6. Study of runaway electrons using dosimetry of hard x-ray radiations in Damavand tokamak

    NASA Astrophysics Data System (ADS)

    Rasouli, C.; Pourshahab, B.; Hosseini Pooya, S. M.; Orouji, T.; Rasouli, H.

    2014-05-01

    In this work several studies have been conducted on hard x-ray emissions of Damavand tokamak based on radiation dosimetry using the Thermoluminescence method. The goal was to understand interactions of runaway electrons with plasma particles, vessel wall, and plasma facing components. Total of 354 GR-200 (LiF:Mg,Cu,P) thermoluminescence dosimeter (TLD) crystals have been placed on 118 points - three TLDs per point - to map hard x-ray radiation doses on the exterior of the vacuum vessel. Results show two distinctive levels of x-ray radiations doses on the exterior of the vessel. The low-dose area on which measured dose is about 0.5 mSv/shot. In the low-dose area there is no particular component inside the vessel. On the contrary, on high-dose area of the vessel, x-ray radiations dose exceeds 30 mSv/shot. The high-dose area coincides with the position of limiters, magnetic probe ducts, and vacuum vessel intersections. Among the high-dose areas, the highest level of dose is measured in the position of the limiter, which could be due to its direct contact with the plasma column and with runaway electrons. Direct collisions of runaway electrons with the vessel wall and plasma facing components make a major contribution for production of hard x-ray photons in Damavand tokamak.

  7. Study of runaway electrons using dosimetry of hard x-ray radiations in Damavand tokamak.

    PubMed

    Rasouli, C; Pourshahab, B; Hosseini Pooya, S M; Orouji, T; Rasouli, H

    2014-05-01

    In this work several studies have been conducted on hard x-ray emissions of Damavand tokamak based on radiation dosimetry using the Thermoluminescence method. The goal was to understand interactions of runaway electrons with plasma particles, vessel wall, and plasma facing components. Total of 354 GR-200 (LiF:Mg,Cu,P) thermoluminescence dosimeter (TLD) crystals have been placed on 118 points--three TLDs per point--to map hard x-ray radiation doses on the exterior of the vacuum vessel. Results show two distinctive levels of x-ray radiations doses on the exterior of the vessel. The low-dose area on which measured dose is about 0.5 mSv/shot. In the low-dose area there is no particular component inside the vessel. On the contrary, on high-dose area of the vessel, x-ray radiations dose exceeds 30 mSv/shot. The high-dose area coincides with the position of limiters, magnetic probe ducts, and vacuum vessel intersections. Among the high-dose areas, the highest level of dose is measured in the position of the limiter, which could be due to its direct contact with the plasma column and with runaway electrons. Direct collisions of runaway electrons with the vessel wall and plasma facing components make a major contribution for production of hard x-ray photons in Damavand tokamak.

  8. Advanced crystal growth techniques for thallium bromide semiconductor radiation detectors

    NASA Astrophysics Data System (ADS)

    Datta, Amlan; Becla, Piotr; Guguschev, Christo; Motakef, Shariar

    2018-02-01

    Thallium Bromide (TlBr) is a promising room-temperature radiation detector candidate with excellent charge transport properties. Currently, Travelling Molten Zone (TMZ) technique is widely used for growth of semiconductor-grade TlBr crystals. However, there are several challenges associated with this type of crystal growth process including lower yield, high thermal stress, and low crystal uniformity. To overcome these shortcomings of the current technique, several different crystal growth techniques have been implemented in this study. These include: Vertical Bridgman (VB), Physical Vapor Transport (PVT), Edge-defined Film-fed Growth (EFG), and Czochralski Growth (Cz). Techniques based on melt pulling (EFG and Cz) were demonstrated for the first time for semiconductor grade TlBr material. The viability of each process along with the associated challenges for TlBr growth has been discussed. The purity of the TlBr crystals along with its crystalline and electronic properties were analyzed and correlated with the growth techniques. Uncorrected 662 keV energy resolutions around 2% were obtained from 5 mm x 5 mm x 10 mm TlBr devices with virtual Frisch-grid configuration.

  9. Radiation immune RAM semiconductor technology for the 80's. [Random Access Memory

    NASA Technical Reports Server (NTRS)

    Hanna, W. A.; Panagos, P.

    1983-01-01

    This paper presents current and short term future characteristics of RAM semiconductor technologies which were obtained by literature survey and discussions with cognizant Government and industry personnel. In particular, total ionizing dose tolerance and high energy particle susceptibility of the technologies are addressed. Technologies judged compatible with spacecraft applications are ranked to determine the best current and future technology for fast access (less than 60 ns), radiation tolerant RAM.

  10. Inclusion of Radiation Environment Variability in Total Dose Hardness Assurance Methodology

    NASA Technical Reports Server (NTRS)

    Xapsos, M. A.; Stauffer, C.; Phan, A.; McClure, S. S.; Ladbury, R. L.; Pellish, J. A.; Campola, M. J.; LaBel, K. A.

    2015-01-01

    Variability of the space radiation environment is investigated with regard to parts categorization for total dose hardness assurance methods. It is shown that it can have a significant impact. A modified approach is developed that uses current environment models more consistently and replaces the design margin concept with one of failure probability.

  11. Total-dose radiation effects data for semiconductor devices. 1985 supplement. Volume 2, part A

    NASA Technical Reports Server (NTRS)

    Martin, K. E.; Gauthier, M. K.; Coss, J. R.; Dantas, A. R. V.; Price, W. E.

    1986-01-01

    Steady-state, total-dose radiation test data, are provided in graphic format for use by electronic designers and other personnel using semiconductor devices in a radiation environment. The data were generated by JPL for various NASA space programs. This volume provides data on integrated circuits. The data are presented in graphic, tabular, and/or narrative format, depending on the complexity of the integrated circuit. Most tests were done using the JPL or Boeing electron accelerator (Dynamitron) which provides a steady-state 2.5 MeV electron beam. However, some radiation exposures were made with a Cobalt-60 gamma ray source, the results of which should be regarded as only an approximate measure of the radiation damage that would be incurred by an equivalent electron dose.

  12. Monitoring system for testing the radiation hardness of a KINTEX-7 FPGA

    NASA Astrophysics Data System (ADS)

    Cojocariu, L. N.; Placinta, V. M.; Dumitru, L.

    2016-03-01

    A much more efficient Ring Imaging Cherenkov sub-detector system will be rebuilt in the second long shutdown of Large Hadron Collider for the LHCb experiment. Radiation-hard electronic components together with Commercial Off-The-Shelf ones will be used in the new Cherenkov photon detection system architecture. An irradiation program was foreseen to determine the radiation tolerance for the new electronic devices, including a Field Programmable Gate Array from KINTEX-7 family of XILINX. An automated test bench for online monitoring of the XC7K70T KINTEX-7 device operation in radiation conditions was designed and implemented by the LHCb Romanian group.

  13. Microprocessing of human hard tooth tissues surface by mid-infrared erbium lasers radiation

    NASA Astrophysics Data System (ADS)

    Belikov, Andrey V.; Shatilova, Ksenia V.; Skrypnik, Alexei V.

    2015-03-01

    A new method of hard tooth tissues laser treatment is described. The method consists in formation of regular microdefects on tissue surface by mid-infrared erbium laser radiation with propagation ratio M2<2 (Er-laser microprocessing). Proposed method was used for preparation of hard tooth tissues surface before filling for improvement of bond strength between tissues surface and restorative materials, microleakage reduction between tissues surface and restorative materials, and for caries prevention as a result of increasing microhardness and acid resistance of tooth enamel.

  14. Rare earth doped III-nitride semiconductors for spintronic and optoelectronic applications (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Palai, Ratnakar

    2016-10-01

    Since last four decades the information and communication technologies are relying on the semiconductor materials. Currently a great deal of attention is being focused on adding spin degree-of-freedom into semiconductor to create a new area of solid-state electronics, called spintronics. In spintronics not only the current but also its spin state is controlled. Such materials need to be good semiconductors for easy integration in typical integrated circuits with high sensitivity to the spin orientation, especially room temperature ferromagnetism being an important desirable property. GaN is considered to be the most important semiconductor after silicon. It is widely used for the production of green, blue, UV, and white LEDs in full color displays, traffic lights, automotive lightings, and general room lighting using white LEDs. GaN-based systems also show promise for microwave and high power electronics intended for radar, satellite, wireless base stations and spintronic applications. Rare earth (Yb, Eu, Er, and Tm) doped GaN shows many interesting optoelectronic and magnetoptic properties e. g. sharp emission from UV through visible to IR, radiation hardness, and ferromagnetism. The talk will be focused on fabrication, optoelectronic (photoluminescence, cathodeluminescence, magnetic, and x-ray photoelectron spectroscopy) properties of some rare earth doped GaN and InGaN semiconductor nanostructures grown by plasma assisted molecular beam epitaxy (MBE) and future applications.

  15. A Comprehensive Review of Semiconductor Ultraviolet Photodetectors: From Thin Film to One-Dimensional Nanostructures

    PubMed Central

    Sang, Liwen; Liao, Meiyong; Sumiya, Masatomo

    2013-01-01

    Ultraviolet (UV) photodetectors have drawn extensive attention owing to their applications in industrial, environmental and even biological fields. Compared to UV-enhanced Si photodetectors, a new generation of wide bandgap semiconductors, such as (Al, In) GaN, diamond, and SiC, have the advantages of high responsivity, high thermal stability, robust radiation hardness and high response speed. On the other hand, one-dimensional (1D) nanostructure semiconductors with a wide bandgap, such as β-Ga2O3, GaN, ZnO, or other metal-oxide nanostructures, also show their potential for high-efficiency UV photodetection. In some cases such as flame detection, high-temperature thermally stable detectors with high performance are required. This article provides a comprehensive review on the state-of-the-art research activities in the UV photodetection field, including not only semiconductor thin films, but also 1D nanostructured materials, which are attracting more and more attention in the detection field. A special focus is given on the thermal stability of the developed devices, which is one of the key characteristics for the real applications. PMID:23945739

  16. The Spectra of Hard Radiation from Radio Pulsars

    NASA Astrophysics Data System (ADS)

    Malov, I. F.; Machabeli, G. Z.

    2002-08-01

    The kinetic equation for the distribution function of relativistic electrons is solved taking into account quasi-linear interactions with waves and radiative processes. Mean values of the pitch angles ψ are calculated. If the particles of the primary beam with Lorentz factors γb˜106 are resonant, then the condition γbψb≲1 is satisfied, the particle distribution is described by the function f ‖(γ) ∝ γ-4, and the synchrotron radiation spectrum is characterized by the spectral index α=3/2. On the other hand, if a cyclotron resonance is associated with particles of the high-energy tail of the secondary plasma (γt˜105), then γtψt≫1, and the distribution function has two parts—f ‖(γ) ∝ γ and f ‖(γ) ∝ γ-2—which correspond to the spectral indices α1=+1 and α2=-0.5. This behavior is similar to that observed for the pulsar B0656+14. The predicted frequency of the maximum νm=7.5×1016 Hz coincides with the peak frequency for this pulsar. The model estimate for the total synchrotron luminosity of a typical radio pulsar with hard radiation L s =3×1033 erg/s is in agreement with observed values.

  17. The ESA RADGLASS activity: a radiation study of non rad-hard glasses

    NASA Astrophysics Data System (ADS)

    Manolis, Ilias; Bézy, Jean-Loup; Costantino, Alessandra; Vink, Ramon; Deep, Atul; Ahmad, Munadi; Amorim, Emmanuel; Miranda, Micael D.; Meynart, Roland

    2015-10-01

    Only a small set of radiation hardened optical glasses are currently offered in the market, thus drastically limiting the optical design choices available to the engineers at the early phases of an instrument development. Furthermore, availability of those glasses cannot be easily guaranteed for the long term horizon of future space instrument developments. Radiation tests on conventional glasses on the other hand have shown significant sensitivity to high radiation levels but such levels are not necessarily representative of typical low Earth (LEO) orbits. We have conducted irradiation campaigns on several different types of conventional, non-radiation hard glasses, selected from the wider pool of the Schott "new" arsenic and lead free series (N-*) and characterized their spectral transmission properties before and after ionizing dose deposition. We report our first findings here.

  18. Hard X-ray and low-energy gamma-ray spectrometers

    NASA Technical Reports Server (NTRS)

    Gehrels, N.; Crannell, C. J.; Orwig, L. E.; Forrest, D. J.; Lin, R. P.; Starr, R.

    1988-01-01

    Basic principles of operation and characteristics of scintillation and semi-conductor detectors used for solar hard X-ray and gamma-ray spectrometers are presented. Scintillation materials such as NaI offer high stopping power for incident gamma rays, modest energy resolution, and relatively simple operation. They are, to date, the most often used detector in solar gamma-ray spectroscopy. The scintillator BGO has higher stopping power than NaI, but poorer energy resolution. The primary advantage of semi-conductor materials such as Ge is their high-energy resolution. Monte-Carlo simulations of the response of NaI and Ge detectors to model solar flare inputs show the benefit of high resoluton for studying spectral lines. No semi-conductor material besides Ge is currently available with adequate combined size and purity to make general-use hard X-ray and gamma-ray detectors for solar studies.

  19. Electron beam pumped semiconductor laser

    NASA Technical Reports Server (NTRS)

    Hug, William F. (Inventor); Reid, Ray D. (Inventor)

    2009-01-01

    Electron-beam-pumped semiconductor ultra-violet optical sources (ESUVOSs) are disclosed that use ballistic electron pumped wide bandgap semiconductor materials. The sources may produce incoherent radiation and take the form of electron-beam-pumped light emitting triodes (ELETs). The sources may produce coherent radiation and take the form of electron-beam-pumped laser triodes (ELTs). The ELTs may take the form of electron-beam-pumped vertical cavity surface emitting lasers (EVCSEL) or edge emitting electron-beam-pumped lasers (EEELs). The semiconductor medium may take the form of an aluminum gallium nitride alloy that has a mole fraction of aluminum selected to give a desired emission wavelength, diamond, or diamond-like carbon (DLC). The sources may be produced from discrete components that are assembled after their individual formation or they may be produced using batch MEMS-type or semiconductor-type processing techniques to build them up in a whole or partial monolithic manner, or combination thereof.

  20. Final Technical Report- Radiation Hard Tight Pitch GaInP SPAD Arrays for High Energy Physics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Harmon, Eric S.

    The specialized photodetectors used in high energy physics experiments often need to remain extremely sensitive for years despite radiation induced damage caused by the constant bombardment of high energy particles. To solve this problem, LightSpin Technologies, Inc. in collaboration with Prof. Bradley Cox and the University of Virginia is developing radiation-hard GaInP photodetectors which are projected to be extraordinarily radiation hard, theoretically capable of withstanding a 100,000-fold higher radiation dose than silicon. In this Phase I SBIR project, LightSpin investigated the performance and radiation hardness of fifth generation GaInP SPAD arrays. These fifth generation devices used a new planar processingmore » approach that enables very tight pitch arrays to be produced. High performance devices with SPAD pitches of 11, 15, and 25 μm were successfully demonstrated, which greatly increased the dynamic range and maximum count rate of the devices. High maximum count rates are critical when considering radiation hardness, since radiation damage causes a proportional increase in the dark count rate, causing SPAD arrays with low maximum count rates (large SPAD pitches) to fail. These GaInP SPAD array Photomultiplier Chips™ were irradiated with protons, electrons, and neutrons. Initial irradiation results were disappointing, with the post-irradiation devices exhibiting excessively high dark currents. The degradation was traced to surface leakage currents that were largely eliminated through the use of trenches etched around the exterior of the Photomultiplier Chip™ (not between SPAD elements). A second round of irradiations on Photomultiplier Chips™ with trenches proved substantially more successful, with post-irradiation dark currents remaining relatively low, though dark count rates were observed to increase at the highest doses. Preliminary analysis of the post-irradiation devices is promising … many of the irradiated Photomultiplier Chips

  1. Proposal to Produce Novel, Transparent Radiation Hard Low Refractive Index

    DTIC Science & Technology

    1994-02-09

    or ainy nht.at NX ftU 1.AECY USE a EP....3RFPfT TYP’E AND DATES~ COV-ERED-- 4. TITLE AND SUBTITLE . . FjUNDING NUMBERS PROPOSAL TO PRODUCE NOVEL...cladding use . our research resulted in identifying a radiation hard, low refractive index polymer, poly (heptafluorobutyl methacrylate), P(MFBM) as the best...candidate for a novel ~. cladding material. P(HFB) has a refractive index of 1.387. When used to clada styrene core, the theoretical light propagation

  2. Compact Models for Defect Diffusivity in Semiconductor Alloys.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Alan F.; Modine, Normand A.; Lee, Stephen R.

    Predicting transient effects caused by short - pulse neutron irradiation of electronic devices is an important part of Sandia's mission. For example , predicting the diffusion of radiation - induced point defects is needed with in Sandia's Qualification Alternative to the Sandia Pulsed Reactor (QASPR) pro gram since defect diffusion mediates transient gain recovery in QASPR electronic devices. Recently, the semiconductors used to fabricate radiation - hard electronic devices have begun to shift from silicon to III - V compounds such as GaAs, InAs , GaP and InP . An advantage of this shift is that it allows engineers tomore » optimize the radiation hardness of electronic devices by using alloy s such as InGaAs and InGaP . However, the computer codes currently being used to simulate transient radiation effects in QASP R devices will need to be modified since they presume that defect properties (charge states, energy levels, and diffusivities) in these alloys do not change with time. This is not realistic since the energy and properties of a defect depend on the types of atoms near it and , therefore, on its location in the alloy. In particular, radiation - induced defects are created at nearly random locations in an alloy and the distribution of their local environments - and thus their energies and properties - evolves with time as the defects diffuse through the alloy . To incorporate these consequential effects into computer codes used to simulate transient radiation effects, we have developed procedures to accurately compute the time dependence of defect energies and properties and then formulate them within compact models that can be employed in these computer codes. In this document, we demonstrate these procedures for the case of the highly mobile P interstitial (I P ) in an InGaP alloy. Further dissemination only as authorized to U.S. Government agencies and their contractors; other requests shall be approved by the originating facility or higher DOE

  3. Organo luminescent semiconductor nanocrystal probes for biological applications and process for making and using such probes

    DOEpatents

    Weiss, Shimon [Pinole, CA; Bruchez, Jr., Marcel; Alivisatos, Paul [Oakland, CA

    2008-01-01

    A semiconductor nanocrystal compound is described capable of linking to an affinity molecule. The compound comprises (1) a semiconductor nanocrystal capable of emitting electromagnetic radiation and/or absorbing energy, and/or scattering or diffracting electromagnetic radiation--when excited by an electromagnetic radiation source or a particle beam; and (2) an affinity molecule linked to the semiconductor nanocrystal. The semiconductor nanocrystal is linked to an affinity molecule to form a semiconductor nanocrystal probe capable of bonding with a detectable substance. Exposure of the semiconductor nanocrystal to excitation energy will excite the semiconductor nanocrystal causing the emission of electromagnetic radiation. Further described are processes for respectively: making the luminescent semiconductor nanocrystal compound; making the semiconductor nanocrystal probe; and using the probe to determine the presence of a detectable substance in a material.

  4. Stimulated Brillouin scattering of laser radiation in a compensated magnetoactive semiconductor

    NASA Astrophysics Data System (ADS)

    Ferdous, T.; Salahuddin, M.; Amin, M. R.; Salimullah, M.

    1995-09-01

    In the present paper we have studied the stimulated Brillouin scattering of laser radiation in a compensated magnetoactive semiconductor. The nonlinearity in the low-frequency ion-acoustic wave arises through the ponderomotive force on both electrons and holes. The high-frequency nonlinearity arises through the nonlinear current density. For typical plasma parameters in compensated Ge, ɛL=16, T0=77 K, n00=1017 cm-3, Bs=60 kG, θ=30°, laser power density corresponding to a CO2 laser ~=0.1 MW cm-2, the growth rate of the low-frequency ion-acoustic wave turns out to be ~=107 rad sec-1.

  5. Implementing QML for radiation hardness assurance

    NASA Astrophysics Data System (ADS)

    Winokur, P. S.; Sexton, F. W.; Fleetwood, D. M.; Terry, M. D.; Shaneyfelt, M. R.

    1990-12-01

    The US government has proposed a qualified manufacturers list (QML) methodology to qualify integrated circuits for high reliability and radiation hardness. An approach to implementing QML for single-event upset (SEU) immunity on 16k SRAMs that involves relating values of feedback resistance to system error rates is demonstrated. It is seen that the process capability indices, Cp and Cpk, for the manufacture of 400-k-ohm feedback resistors required to provide SEU tolerance do not conform to 6 sigma quality standards. For total-dose, interface trap charge, Delta Vit, shifts measured on transistors are correlated with circuit response in the space environment. Statistical process control (SPC) is illustrated for Delta Vit, and violations of SPC rules are interpreted in terms of continuous improvement. Design validation for SEU and quality conformance inspections for total-dose are identified as major obstacles to cost-effective QML implementation. Techniques and tools that will help QML provide real cost savings are identified as physical models, 3-D device-plus-circuit codes, and improved design simulators.

  6. The role of radiation hard solar cells in minimizing the costs of global satellite communication systems

    NASA Technical Reports Server (NTRS)

    Summers, Geoffrey P.; Walters, Robert J.; Messenger, Scott R.; Burke, Edward A.

    1996-01-01

    An analysis embodied in a PC computer program is presented, which quantitatively demonstrates how the availability of radiation hard solar cells can help minimize the cost of a global satellite communications system. An important distinction between the currently proposed systems, such as Iridium, Odyssey and Ellipsat, is the number of satellites employed and their operating altitudes. Analysis of the major costs associated with implementing these systems shows that operation at orbital altitudes within the earth's radiation belts (10(exp 3) to 10(exp 4)km) can reduce the total cost of a system by several hundred percent, so long as radiation hard components including solar cells can be used. A detailed evaluation of the predicted performance of photovoltaic arrays using several different planar solar cell technologies is given, including commercially available Si and GaAs/Ge, and InP/Si which is currently under development. Several examples of applying the program are given, which show that the end of life (EOL) power density of different technologies can vary by a factor of ten for certain missions. Therefore, although a relatively radiation-soft technology can usually provide the required EOL power by simply increasing the size of the array, the impact upon the total system budget could be unacceptable, due to increased launch and hardware costs. In aggregate, these factors can account for more than a 10% increase in the total system cost. Since the estimated total costs of proposed global-coverage systems range from $1B to $9B, the availability of radiation-hard solar cells could make a decisive difference in the selection of a particular constellation architecture.

  7. EFFECTS OF LASER RADIATION ON MATTER. LASER PLASMA: Low-threshold generation of harmonics and hard x radiation in a laser plasma. 1. Single-peak generation

    NASA Astrophysics Data System (ADS)

    Apollonov, V. V.; Derzhavin, S. I.; Kazakov, K. Kh

    1993-02-01

    A source of hard x radiation based on a laser plasma has been studied under conditions such that parametric instabilities are driven in the plasma at low intensities of the pump radiation (below 10 GW/cm2). A qualitative interpretation of the observed effects is offered.

  8. Metal-Insulator-Semiconductor Photodetectors

    PubMed Central

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III–V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows. PMID:22163382

  9. The influence of parotid gland sparing on radiation damages of dental hard tissues.

    PubMed

    Hey, Jeremias; Seidel, Johannes; Schweyen, Ramona; Paelecke-Habermann, Yvonne; Vordermark, Dirk; Gernhardt, Christian; Kuhnt, Thomas

    2013-07-01

    The aim of the present study was to evaluate whether radiation damage on dental hard tissue depends on the mean irradiation dose the spared parotid gland is subjected to or on stimulated whole salivary flow rate. Between June 2002 and October 2008, 70 patients with neck and cancer curatively irradiated were included in this study. All patients underwent dental treatment referring to the guidelines and recommendations of the German Society of Dental, Oral and Craniomandibular Sciences prior, during, and after radiotherapy (RT). During the follow-up period of 24 months, damages on dental hard tissues were classified according to the RTOG/EORTC guidelines. The mean doses (D(mean)) during spared parotid gland RT were determined. Stimulated whole saliva secretion flow rates (SFR) were measured before RT and 1, 6, 12, 24 months after RT. Thirty patients showed no carious lesions (group A), 18 patients developed sporadic carious lesions (group B), and 22 patients developed general carious lesions (group C). Group A patients received a D mean of 21.2 ± 11.04 Gy. Group B patients received a D(mean) of 26.5 ± 11.59 Gy and group C patients received a D(mean) of 33.9 ± 9.93 Gy, respectively. The D(mean) of group A was significantly lower than the D(mean) of group C (p < 0.001). Additionally, the mean SFR 6 months after RT of group A was significantly higher than the mean SFR of group C (p < 0.01). Irradiation damage on dental hard tissue correlates with increased mean irradiation doses as well as decreased salivary flow rates. Parotid gland sparing resulting in a dose below 20 Gy reduces radiation damage on dental hard tissues, and therefore, the dose may act as a predictor for the damage to be expected.

  10. Multi-gas interaction modeling on decorated semiconductor interfaces: A novel Fermi distribution-based response isotherm and the inverse hard/soft acid/base concept

    NASA Astrophysics Data System (ADS)

    Laminack, William; Gole, James

    2015-12-01

    A unique MEMS/NEMS approach is presented for the modeling of a detection platform for mixed gas interactions. Mixed gas analytes interact with nanostructured decorating metal oxide island sites supported on a microporous silicon substrate. The Inverse Hard/Soft acid/base (IHSAB) concept is used to assess a diversity of conductometric responses for mixed gas interactions as a function of these nanostructured metal oxides. The analyte conductometric responses are well represented using a combination diffusion/absorption-based model for multi-gas interactions where a newly developed response absorption isotherm, based on the Fermi distribution function is applied. A further coupling of this model with the IHSAB concept describes the considerations in modeling of multi-gas mixed analyte-interface, and analyte-analyte interactions. Taking into account the molecular electronic interaction of both the analytes with each other and an extrinsic semiconductor interface we demonstrate how the presence of one gas can enhance or diminish the reversible interaction of a second gas with the extrinsic semiconductor interface. These concepts demonstrate important considerations in the array-based formats for multi-gas sensing and its applications.

  11. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    PubMed Central

    Irokawa, Yoshihiro

    2011-01-01

    In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C–V) characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C–V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C–V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I–V) characterization, suggesting that low-frequency C–V method would be effective in detecting very low hydrogen concentrations. PMID:22346597

  12. Impact of Radiation Hardness and Operating Temperatures of Silicon Carbide Electronics on Space Power System Mass

    NASA Technical Reports Server (NTRS)

    Juhasz, Albert J.; Tew, Roy C.; Schwarze, Gene E.

    1998-01-01

    The effect of silicon carbide (SiC) electronics operating temperatures on Power Management and Distribution (PMAD), or Power Conditioning (PC), subsystem radiator size and mass requirements was evaluated for three power output levels (100 kW(e) , 1 MW(e), and 10 MW(e)) for near term technology ( i.e. 1500 K turbine inlet temperature) Closed Cycle Gas Turbine (CCGT) power systems with a High Temperature Gas Reactor (HTGR) heat source. The study was conducted for assumed PC radiator temperatures ranging from 370 to 845 K and for three scenarios of electrical energy to heat conversion levels which needed to be rejected to space by means of the PC radiator. In addition, during part of the study the radiation hardness of the PC electronics was varied at a fixed separation distance to estimate its effect on the mass of the instrument rated reactor shadow shield. With both the PC radiator and the conical shadow shield representing major components of the overall power system the influence of the above on total power system mass was also determined. As expected, results show that the greatest actual mass savings achieved by the use of SiC electronics occur with high capacity power systems. Moreover, raising the PC radiator temperature above 600 K yields only small additional system mass savings. The effect of increased radiation hardness on total system mass is to reduce system mass by virtue of lowering the shield mass.

  13. Reflection technique for thermal mapping of semiconductors

    DOEpatents

    Walter, Martin J.

    1989-06-20

    Semiconductors may be optically tested for their temperatures by illuminating them with tunable monochromatic electromagnetic radiation and observing the light reflected off of them. A transition point will occur when the wavelength of the light corresponds with the actual band gap energy of the semiconductor. At the transition point, the image of the semiconductor will appreciably darken as the light is transmitted through it, rather than being reflected off of it. The wavelength of the light at the transition point corresponds to the actual band gap energy and the actual temperature of the semiconductor.

  14. Organo luminescent semiconductor nanocrystal probes for biological applications and process for making and using such probes

    DOEpatents

    Weiss, Shimon; Bruchez, Jr., Marcel; Alivisatos, Paul

    2006-09-05

    A semiconductor nanocrystal compound is described capable of linking to an affinity molecule. The compound comprises (1) a semiconductor nanocrystal capable of emitting electromagnetic radiation and/or absorbing energy, and/or scattering or diffracting electromagnetic radiation--when excited by an electromagnetic radiation source or a particle beam; and (2) at least one linking agent, having a first portion linked to the semiconductor nanocrystal and a second portion capable of linking to an affinity molecule. The compound is linked to an affinity molecule to form a semiconductor nanocrystal probe capable of bonding with a detectable substance. subsequent exposure to excitation energy will excite the semiconductor nanocrystal in the probe causing the emission of electromagnetic radiation. Further described are processes for respectively: making the luminescent semiconductor nanocrystal compound; making the semiconductor nanocrystal probe; and using the probe to determine the presence of a detectable substance in a material.

  15. Organo luminescent semiconductor nanocrystal probes for biological applications and process for making and using such probes

    DOEpatents

    Weiss, Shimon [Pinole, CA; Bruchez, Jr., Marcel; Alivisatos, Paul [Oakland, CA

    2004-03-02

    A semiconductor nanocrystal compound is described capable of linking to an affinity molecule. The compound comprises (1) a semiconductor nanocrystal capable of emitting electromagnetic radiation and/or absorbing energy, and/or scattering or diffracting electromagnetic radiation--when excited by an electromagnetic radiation source or a particle beam; and (2) at least one linking agent, having a first portion linked to the semiconductor nanocrystal and a second portion capable of linking to an affinity molecule. The compound is linked to an affinity molecule to form a semiconductor nanocrystal probe capable of bonding with a detectable substance. Subsequent exposure to excitation energy will excite the semiconductor nanocrystal in the probe, causing the emission of electromagnetic radiation. Further described are processes for respectively: making the semiconductor nanocrystal compound; making the semiconductor nanocrystal probe; and using the probe to determine the presence of a detectable substance in a material.

  16. Organo luminescent semiconductor nanocrystal probes for biological applications and process for making and using such probes

    DOEpatents

    Weiss, Shimon; Bruchez, Jr., Marcel; Alivisatos, Paul

    2005-08-09

    A semiconductor nanocrystal compound is described capable of linking to an affinity molecule. The compound comprises (1) a semiconductor nanocrystal capable of emitting electromagnetic radiation and/or absorbing energy, and/or scattering or diffracting electromagnetic radiation--when excited by an electromagnetic radiation source or a particle beam; and (2) at least one linking agent, having a first portion linked to the semiconductor nanocrystal and a second portion capable of linking to an affinity molecule. The compound is linked to an affinity molecule to form a semiconductor nanocrystal probe capable of bonding with a detectable substance. Subsequent exposure to excitation energy will excite the semiconductor nanocrystal in the probe causing the emission of electromagnetic radiation. Further described are processes for respectively: making the luminescent semiconductor nanocrystal compound; making the semiconductor nanocrystal probe; and using the probe to determine the presence of a detectable substance in a material.

  17. Organo luminescent semiconductor nanocrystal probes for biological applications and process for making and using such probes

    DOEpatents

    Weiss, Shimon; Bruchez, Jr., Marcel; Alivisatos, Paul

    2002-01-01

    A semiconductor nanocrystal compound is described capable of linking to an affinity molecule. The compound comprises (1) a semiconductor nanocrystal capable of emitting electromagnetic radiation and/or absorbing energy, and/or scattering or diffracting electromagnetic radiation--when excited by an electromagnetic radiation source or a particle beam; and (2) at least one linking agent, having a first portion linked to the semiconductor nanocrystal and a second portion capable of linking to an affity molecule. The compound is linked to an affinity molecule to form a semiconductor nanocrystal probe capable of bonding with a detectable substance. Subsequent exposure to excitation energy will excite the semiconductor nanocrystal in he probe, causing the emission of electromagnetic radiation. Further described are processes for respectively: making the semiconductor nanocrystal compound; making the semiconductor nanocrystal probe; and using the probe to determine the presence of a detectable substance in a material.

  18. Ballistic superconductivity in semiconductor nanowires.

    PubMed

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K; van Veen, Jasper; de Moor, Michiel W A; Bommer, Jouri D S; van Woerkom, David J; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P A M; Quintero-Pérez, Marina; Cassidy, Maja C; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P

    2017-07-06

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices.

  19. Ballistic superconductivity in semiconductor nanowires

    PubMed Central

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P.; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K.; van Veen, Jasper; de Moor, Michiel W. A.; Bommer, Jouri D. S.; van Woerkom, David J.; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P.A.M.; Quintero-Pérez, Marina; Cassidy, Maja C.; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P.

    2017-01-01

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices. PMID:28681843

  20. AlGaN UV LED and Photodiodes Radiation Hardness and Space Qualifications and Their Applications in Space Science and High Energy Density Physics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, K. X.

    2011-05-31

    This presentation provides an overview of robust, radiation hard AlGaN optoelectronic devices and their applications in space exploration & high energy density physics. Particularly, deep UV LED and deep UV photodiodes are discussed with regard to their applications, radiation hardness and space qualification. AC charge management of UV LED satellite payload instruments, which were to be launched in late 2012, is covered.

  1. Radiation hardness of Ga0.5In0.5 P/GaAs tandem solar cells

    NASA Technical Reports Server (NTRS)

    Kurtz, Sarah R.; Olson, J. M.; Bertness, K. A.; Friedman, D. J.; Kibbler, A.; Cavicchi, B. T.; Krut, D. D.

    1991-01-01

    The radiation hardness of a two-junction monolithic Ga sub 0.5 In sub 0.5 P/GaAs cell with tunnel junction interconnect was investigated. Related single junction cells were also studied to identify the origins of the radiation losses. The optimal design of the cell is discussed. The air mass efficiency of an optimized tandem cell after irradiation with 10(exp 15) cm (-2) 1 MeV electrons is estimated to be 20 percent using currently available technology.

  2. Experimental study of hard photon radiation processes at HERA

    NASA Astrophysics Data System (ADS)

    Ahmed, T.; Aid, S.; Andreev, V.; Andrieu, B.; Appuhn, R.-D.; Arpagaus, M.; Babaev, A.; Baehr, J.; Bán, J.; Baranov, P.; Barrelet, E.; Bartel, W.; Barth, M.; Bassler, U.; Beck, H. P.; Behrend, H.-J.; Belousov, A.; Berger, Ch.; Bergstein, H.; Bernardi, G.; Bernet, R.; Bertrand-Coremans, G.; Besançon, M.; Beyer, R.; Biddulph, P.; Bizot, J. C.; Blobel, V.; Borras, K.; Botterweck, F.; Boudry, V.; Braemer, A.; Brasse, F.; Braunschweig, W.; Brisson, V.; Bruncko, D.; Brune, C.; Buchholz, R.; Büngener, L.; Bürger, J.; Büsser, F. W.; Buniatian, A.; Burke, S.; Buschhorn, G.; Campbell, A. J.; Carli, T.; Charles, F.; Clarke, D.; Clegg, A. B.; Clerbaux, B.; Colombo, M.; Contreras, J. G.; Cormack, C.; Coughlan, J. A.; Courau, A.; Coutures, Ch.; Cozzika, G.; Criegee, L.; Cussans, D. G.; Cvach, J.; Dagoret, S.; Dainton, J. B.; Danilov, M.; Dau, W. D.; Daum, K.; David, M.; Deffur, E.; Delcourt, B.; Del Buono, L.; de Roeck, A.; de Wolf, E. A.; di Nezza, P.; Dollfus, C.; Dowell, J. D.; Dreis, H. B.; Droutskoi, A.; Duboc, J.; Düllmann, D.; Dünger, O.; Duhm, H.; Ebert, J.; Ebert, T. R.; Eckerlin, G.; Efremenko, V.; Egli, S.; Ehrlichmann, H.; Eichenberger, S.; Eichler, R.; Eisele, F.; Eisenhandler, E.; Ellison, R. J.; Elsen, E.; Erdmann, M.; Erdmann, W.; Evrard, E.; Favart, L.; Fedotov, A.; Feeken, D.; Felst, R.; Feltesse, J.; Ferencei, J.; Ferrarotto, F.; Flamm, K.; Fleischer, M.; Flieser, M.; Flügge, G.; Fomenko, A.; Fominykh, B.; Forbush, M.; Formánek, J.; Foster, J. M.; Franke, G.; Fretwurst, E.; Gabathuler, E.; Gabathuler, K.; Gamerdinger, K.; Garvey, J.; Gayler, J.; Gebauer, M.; Gellrich, A.; Genzel, H.; Gerhards, R.; Goerlach, U.; Goerlich, L.; Gogitidze, N.; Goldberg, M.; Goldner, D.; Gonzalez-Pineiro, B.; Gorelov, I.; Goritchev, P.; Grab, C.; Grässler, H.; Grässler, R.; Greenshaw, T.; Grindhammer, G.; Gruber, A.; Gruber, C.; Haack, J.; Haidt, D.; Hajduk, L.; Hamon, O.; Hampel, M.; Hanlon, E. M.; Hapke, M.; Haynes, W. J.; Heatherington, J.; Heinzelmann, G.; Henderson, R. C. W.; Henschel, H.; Herma, R.; Herynek, I.; Hess, M. F.; Hildesheim, W.; Hill, P.; Hiller, K. H.; Hilton, C. D.; Hladký, J.; Hoeger, K. C.; Höppner, M.; Horisberger, R.; Hudgson, V. L.; Huet, Ph.; Hütte, M.; Hufnagel, H.; Ibbotson, M.; Itterbeck, H.; Jabiol, M.-A.; Jacholkowska, A.; Jacobsson, C.; Jaffre, M.; Janoth, J.; Jansen, T.; Jönsson, L.; Johannsen, K.; Johnson, D. P.; Johnson, L.; Jung, H.; Kalmus, P. I. P.; Kant, D.; Kaschowitz, R.; Kasselmann, P.; Kathage, U.; Katzy, J.; Kaufmann, H. H.; Kazarian, S.; Kenyon, I. R.; Kermiche, S.; Keuker, C.; Kiesling, C.; Klein, M.; Kleinwort, C.; Knies, G.; Ko, W.; Köhler, T.; Köhne, J.; Kolanoski, H.; Kole, F.; Kolya, S. D.; Korbel, V.; Korn, M.; Kostka, P.; Kotelnikov, S. K.; Krämerkämper, T.; Krasny, M. W.; Krehbiel, H.; Krücker, D.; Krüger, U.; Krüner-Marquis, U.; Kubenka, J. P.; Küster, H.; Kuhlen, M.; Kurča, T.; Kurzhöfer, J.; Kuznik, B.; Lacour, D.; Lamarche, F.; Lander, R.; Landon, M. P. J.; Lange, W.; Lanius, P.; Laporte, J.-F.; Lebedev, A.; Leverenz, C.; Levonian, S.; Ley, Ch.; Lindner, A.; Lindström, G.; Linsel, F.; Lipinski, J.; List, B.; Loch, P.; Lohmander, H.; Lopez, G. C.; Lubimov, V.; Lüke, D.; Magnussen, N.; Malinovski, E.; Mani, S.; Maraček, R.; Marage, P.; Marks, J.; Marshall, R.; Martens, J.; Martin, R.; Martyn, H.-U.; Martyniak, J.; Masson, S.; Mavroidis, T.; Maxfield, S. J.; McMahon, S. J.; Mehta, A.; Meier, K.; Mercer, D.; Merz, T.; Meyer, C. A.; Meyer, H.; Meyer, J.; Mikocki, S.; Milstead, D.; Moreau, F.; Morris, J. V.; Mroczko, E.; Müller, G.; Müller, K.; Murín, P.; Nagovizin, V.; Nahnhauer, R.; Naroska, B.; Naumann, Th.; Newman, P. R.; Newton, D.; Neyret, D.; Nguyen, H. K.; Nicholls, T. C.; Niebergall, F.; Niebuhr, C.; Nisius, R.; Nowak, G.; Noyes, G. W.; Nyberg-Werther, M.; Oakden, M.; Oberlack, H.; Obrock, U.; Olsson, J. E.; Ozerov, D.; Panaro, E.; Panitch, A.; Pascaud, C.; Patel, G. D.; Peppel, E.; Perez, E.; Phillips, J. P.; Pichler, Ch.; Pitzl, D.; Pope, G.; Prell, S.; Prosi, R.; Rädel, G.; Raupach, F.; Reimer, P.; Reinshagen, S.; Ribarics, P.; Rick, H.; Riech, V.; Riedlberger, J.; Riess, S.; Rietz, M.; Rizvi, E.; Robertson, S. M.; Robmann, P.; Roloff, H. E.; Roosen, R.; Rosenbauer, K.; Rostovtsev, A.; Rouse, F.; Royon, C.; Rüter, K.; Rusakov, S.; Rybicki, K.; Rylko, R.; Sahlmann, N.; Sanchez, E.; Sankey, D. P. C.; Savitsky, M.; Schacht, P.; Schiek, S.; Schleper, P.; von Schlippe, W.; Schmidt, C.; Schmidt, D.; Schmidt, G.; Schöning, A.; Schröder, V.; Schuhmann, E.; Schwab, B.; Schwind, A.; Seehausen, U.; Sefkow, F.; Seidel, M.; Sell, R.; Semenov, A.; Shekelyan, V.; Sheviakov, I.; Shooshtari, H.; Shtarkov, L. N.; Siegmon, G.; Siewert, U.; Sirois, Y.; Skillicorn, I. O.; Smirnov, P.; Smith, J. R.; Solochenko, V.; Soloviev, Y.; Spiekermann, J.; Spitzer, H.; Starosta, R.; Steenbock, M.; Steffen, P.; Steinberg, R.; Stella, B.; Stephens, K.; Stier, J.; Stiewe, J.; Stösslein, U.; Stolze, K.; Strachota, J.; Straumann, U.; Struczinski, W.; Sutton, J. P.; Tapprogge, S.; Taylor, R. E.; Tchernyshov, V.; Thiebaux, C.; Thompson, G.; Truöl, P.; Turnau, J.; Tutas, J.; Uelkes, P.; Usik, A.; Valkár, S.; Valkárová, A.; Vallée, C.; van Esch, P.; van Mechelen, P.; Vartapetian, A.; Vazdik, Y.; Vecko, M.; Verrecchia, P.; Villet, G.; Wacker, K.; Wagener, A.; Wagener, M.; Walker, I. W.; Walther, A.; Weber, G.; Weber, M.; Wegener, D.; Wegner, A.; Wellisch, H. P.; West, L. R.; Willard, S.; Winde, M.; Winter, G.-G.; Wright, A. E.; Wünsch, E.; Wulff, N.; Yiou, T. P.; Žáček, J.; Zarbock, D.; Zhang, Z.; Zhokin, A.; Zimmer, M.; Zimmermann, W.; Zomer, F.; Zuber, K.

    1995-12-01

    We present an experimental study of the ep→ eγ+ p and ep→ eγ+ X processes using data recorded by the H1 detector in 1993 at the electron-proton collider HERA. These processes are employed to measure the luminosity with an accuracy of 4.5 %. A subsample of the ep→ eγ+ X events in which the hard photon is detected at angles θ{γ/'} ≤ 0.45 mrad with respect to the incident electron direction is used to verify experimentally the size of radiative corrections to the ep→ eX inclusive cross section and to investigate the structure of the proton in the Q 2 domain down to 2 GeV2, lower than previously attained at HERA.

  3. Electromagnetic malfunction of semiconductor-type electronic personal dosimeters caused by access control systems for radiation facilities.

    PubMed

    Deji, Shizuhiko; Ito, Shigeki; Ariga, Eiji; Mori, Kazuyuki; Hirota, Masahiro; Saze, Takuya; Nishizawa, Kunihide

    2006-08-01

    High frequency electromagnetic fields in the 120 kHz band emitted from card readers for access control systems in radiation control areas cause abnormally high and erroneous indicated dose readings on semiconductor-type electronic personal dosimeters (SEPDs). All SEPDs malfunctioned but recovered their normal performance by resetting after the exposure ceased. The minimum distances required to prevent electromagnetic interference varied from 5.0 to 38.0 cm. The electric and magnetic immunity levels ranged from 35.1 to 267.6 V m(-1) and from 1.0 to 16.6 A m(-1), respectively. Electromagnetic immunity levels of SEPDs should be strengthened from the standpoint of radiation protection.

  4. Terahertz radiation induced chaotic electron transport in semiconductor superlattices with a tilted magnetic field.

    PubMed

    Wang, C; Wang, F; Cao, J C

    2014-09-01

    Chaotic electron transport in semiconductor superlattice induced by terahertz electric field that is superimposed on a dc electric field along the superlattice axis are studied using the semiclassical motion equations including the effect of dissipation. A magnetic field that is tilted relative to the superlattice axis is also applied to the system. Numerical simulation shows that electrons in superlattice miniband exhibit complicate nonlinear oscillating modes with the influence of terahertz radiation. Transitions between frequency-locking and chaos via pattern forming bifurcations are observed with the varying of terahertz amplitude. It is found that the chaotic regions gradually contract as the dissipation increases. We attribute the appearance of complicate nonlinear oscillation in superlattice to the interaction between terahertz radiation and internal cooperative oscillating mode relative to Bloch oscillation and cyclotron oscillation.

  5. Impact of Scaled Technology on Radiation Testing and Hardening

    NASA Technical Reports Server (NTRS)

    LaBel, Kenneth A.; Cohn, Lewis M.

    2005-01-01

    This presentation gives a brief overview of some of the radiation challenges facing emerging scaled digital technologies with implications on using consumer grade electronics and next generation hardening schemes. Commercial semiconductor manufacturers are recognizing some of these issues as issues for terrestrial performance. Looking at means of dealing with soft errors. The thinned oxide has indicated improved TID tolerance of commercial products hardened by "serendipity" which does not guarantee hardness or say if the trend will continue. This presentation also focuses one reliability implications of thinned oxides.

  6. Hard tooth tissue removal by short and long Er:YAG or Er,Cr:YSGG mid-infrared laser radiation

    NASA Astrophysics Data System (ADS)

    Jelínková, H.; Dostálová, T.; Remeš, M.; Šulc, J.; Němec, M.; Fibrich, M.

    2017-02-01

    Hard dental tissue removal by laser radiation is an alternative treatment to conventional dental-drilling procedures. The advantages of this therapy are fast and localized treatment of hard dental tissue and painlessness. The most effective systems for those purposes are Er-lasers generating radiation at wavelengths of around 3 μm. The aim of this study was qualitative and quantitative examination of human dentin and ivory tissue removal by pulsed free-running (FR) and Q-switched (QSW) Er:YAG and Er,Cr:YSGG laser radiations. From the obtained results it follows that generally Er:YAG laser has lower threshold for the tissue removal in both FR and QSW regimes. Furthermore, the FR Er:YAG and Er,Cr:YSGG radiation can be effective for both dentin and ivory ablation and can prepare smooth cavities without side effects. The QSW regime is useful preferably for precise ablation of a starting tooth defect and for the part of the tooth very close to the gum. This regime is excellent for micro-preparation or for tooth treatment of children.

  7. Electronic defects in the halide antiperovskite semiconductor Hg3Se2I2

    NASA Astrophysics Data System (ADS)

    Kim, Joon-Il; Peters, John A.; He, Yihui; Liu, Zhifu; Das, Sanjib; Kontsevoi, Oleg Y.; Kanatzidis, Mercouri G.; Wessels, Bruce W.

    2017-10-01

    Halide perovskites have emerged as a potential photoconducting material for photovoltaics and hard radiation detection. We investigate the nature of charge transport in the semi-insulating chalcohalide Hg3Se2I2 compound using the temperature dependence of dark current, thermally stimulated current (TSC) spectroscopy, and photoconductivity measurements as well as first-principles density functional theory (DFT) calculations. Dark conductivity measurements and TSC spectroscopy indicate the presence of multiple shallow and deep level traps that have relatively low concentrations of the order of 1013-1015c m-3 and capture cross sections of ˜10-16c m2 . A distinct persistent photoconductivity is observed at both low temperatures (<170 K ) and high temperatures (>230 K), with major implications for room-temperature compound semiconductor radiation detection. From preliminary DFT calculations, the origin of the traps is attributed to intrinsic vacancy defects (VHg, VSe, and VI) and interstitials (Seint) or other extrinsic impurities. The results point the way for future improvements in crystal quality and detector performance.

  8. Surface passivation process of compound semiconductor material using UV photosulfidation

    DOEpatents

    Ashby, Carol I. H.

    1995-01-01

    A method for passivating compound semiconductor surfaces by photolytically disrupting molecular sulfur vapor with ultraviolet radiation to form reactive sulfur which then reacts with and passivates the surface of compound semiconductors.

  9. Characterization of semiconductor materials using synchrotron radiation-based near-field infrared microscopy and nano-FTIR spectroscopy.

    PubMed

    Hermann, Peter; Hoehl, Arne; Ulrich, Georg; Fleischmann, Claudia; Hermelink, Antje; Kästner, Bernd; Patoka, Piotr; Hornemann, Andrea; Beckhoff, Burkhard; Rühl, Eckart; Ulm, Gerhard

    2014-07-28

    We describe the application of scattering-type near-field optical microscopy to characterize various semiconducting materials using the electron storage ring Metrology Light Source (MLS) as a broadband synchrotron radiation source. For verifying high-resolution imaging and nano-FTIR spectroscopy we performed scans across nanoscale Si-based surface structures. The obtained results demonstrate that a spatial resolution below 40 nm can be achieved, despite the use of a radiation source with an extremely broad emission spectrum. This approach allows not only for the collection of optical information but also enables the acquisition of near-field spectral data in the mid-infrared range. The high sensitivity for spectroscopic material discrimination using synchrotron radiation is presented by recording near-field spectra from thin films composed of different materials used in semiconductor technology, such as SiO2, SiC, SixNy, and TiO2.

  10. Radiation-damage-induced transitions in zircon: Percolation theory applied to hardness and elastic moduli as a function of density

    NASA Astrophysics Data System (ADS)

    Beirau, Tobias; Nix, William D.; Ewing, Rodney C.; Pöllmann, Herbert; Salje, Ekhard K. H.

    2018-05-01

    Two in literature predicted percolation transitions in radiation-damaged zircon (ZrSiO4) were observed experimentally by measurement of the indentation hardness as a function of density and their correlation with the elastic moduli. Percolations occur near 30% and 70% amorphous fractions, where hardness deviates from its linear correlation with the elastic modulus (E), the shear modulus (G) and the bulk modulus (K). The first percolation point pc1 generates a cusp in the hardness versus density evolution, while the second percolation point is seen as a change of slope.

  11. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    NASA Astrophysics Data System (ADS)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  12. Organo Luminescent semiconductor nanocrystal probes for biological applications and process for making and using such probes

    DOEpatents

    Weiss, Shimon; Bruchez, Jr., Marcel; Alivisatos, Paul

    1999-01-01

    A luminescent semiconductor nanocrystal compound is described which is capable of linking to an affinity molecule. The compound comprises (1) a semiconductor nanocrystal capable of emitting electromagnetic radiation (luminescing) in a narrow wavelength band and/or absorbing energy, and/or scattering or diffracting electromagnetic radiation--when excited by an electromagnetic radiation source (of narrow or broad bandwidth) or a particle beam; and (2) at least one linking agent, having a first portion linked to the semiconductor nanocrystal and a second portion capable of linking to an affinity molecule. The luminescent semiconductor nanocrystal compound is linked to an affinity molecule to form an organo luminescent semiconductor nanocrystal probe capable of bonding with a detectable substance in a material being analyzed, and capable of emitting electromagnetic radiation in a narrow wavelength band and/or absorbing, scattering, or diffracting energy when excited by an electromagnetic radiation source (of narrow or broad bandwidth) or a particle beam. The probe is stable to repeated exposure to light in the presence of oxygen and/or other radicals. Further described is a process for making the luminescent semiconductor nanocrystal compound and for making the organo luminescent semiconductor nanocrystal probe comprising the luminescent semiconductor nanocrystal compound linked to an affinity molecule capable of bonding to a detectable substance. A process is also described for using the probe to determine the presence of a detectable substance in a material.

  13. Solid state radiative heat pump

    DOEpatents

    Berdahl, P.H.

    1984-09-28

    A solid state radiative heat pump operable at room temperature (300 K) utilizes a semiconductor having a gap energy in the range of 0.03-0.25 eV and operated reversibly to produce an excess or deficit of change carriers as compared equilibrium. In one form of the invention an infrared semiconductor photodiode is used, with forward or reverse bias, to emit an excess or deficit of infrared radiation. In another form of the invention, a homogenous semiconductor is subjected to orthogonal magnetic and electric fields to emit an excess or deficit of infrared radiation. Three methods of enhancing transmission of radiation the active surface of the semiconductor are disclosed. In one method, an anti-refection layer is coated into the active surface of the semiconductor, the anti-reflection layer having an index of refraction equal to the square root of that of the semiconductor. In the second method, a passive layer is speaced trom the active surface of the semiconductor by a submicron vacuum gap, the passive layer having an index of refractive equal to that of the semiconductor. In the third method, a coupler with a paraboloid reflecting surface surface is in contact with the active surface of the semiconductor, the coupler having an index of refraction about the same as that of the semiconductor.

  14. Codoped direct-gap semiconductor scintillators

    DOEpatents

    Derenzo, Stephen Edward [Pinole, CA; Bourret-Courchesne, Edith [Berkeley, CA; Weber, Marvin J [Danville, CA; Klintenberg, Mattias K [Berkeley, CA

    2008-07-29

    Fast, bright inorganic scintillators at room temperature are based on radiative electron-hole recombination in direct-gap semiconductors, e.g. CdS and ZnO. The direct-gap semiconductor is codoped with two different impurity atoms to convert the semiconductor to a fast, high luminosity scintillator. The codopant scheme is based on dopant band to dopant trap recombination. One dopant provides a significant concentration of carriers of one type (electrons or holes) and the other dopant traps carriers of the other type. Examples include CdS:In,Te; CdS:In,Ag; CdS:In,Na; ZnO:Ga,P; ZnO:Ga,N; ZnO:Ga,S; and GaN:Ge,Mg.

  15. Codoped direct-gap semiconductor scintillators

    DOEpatents

    Derenzo, Stephen E.; Bourret-Courchesne, Edith; Weber, Marvin J.; Klintenberg, Mattias K.

    2006-05-23

    Fast, bright inorganic scintillators at room temperature are based on radiative electron-hole recombination in direct-gap semiconductors, e.g. CdS and ZnO. The direct-gap semiconductor is codoped with two different impurity atoms to convert the semiconductor to a fast, high luminosity scintillator. The codopant scheme is based on dopant band to dopant trap recombination. One dopant provides a significant concentration of carriers of one type (electrons or holes) and the other dopant traps carriers of the other type. Examples include CdS:In,Te; CdS:In,Ag; CdS:In,Na; ZnO:Ga,P; ZnO:Ga,N; ZnO:Ga,S; and GaN:Ge,Mg.

  16. Methods and apparatuses for detection of radiation with semiconductor image sensors

    DOEpatents

    Cogliati, Joshua Joseph

    2018-04-10

    A semiconductor image sensor is repeatedly exposed to high-energy photons while a visible light obstructer is in place to block visible light from impinging on the sensor to generate a set of images from the exposures. A composite image is generated from the set of images with common noise substantially removed so the composite image includes image information corresponding to radiated pixels that absorbed at least some energy from the high-energy photons. The composite image is processed to determine a set of bright points in the composite image, each bright point being above a first threshold. The set of bright points is processed to identify lines with two or more bright points that include pixels therebetween that are above a second threshold and identify a presence of the high-energy particles responsive to a number of lines.

  17. Radiation Hardness of dSiPM Sensors in a Proton Therapy Radiation Environment

    NASA Astrophysics Data System (ADS)

    Diblen, Faruk; Buitenhuis, Tom; Solf, Torsten; Rodrigues, Pedro; van der Graaf, Emiel; van Goethem, Marc-Jan; Brandenburg, Sytze; Dendooven, Peter

    2017-07-01

    In vivo verification of dose delivery in proton therapy by means of positron emission tomography (PET) or prompt gamma imaging is mostly based on fast scintillation detectors. The digital silicon photomultiplier (dSiPM) allows excellent scintillation detector timing properties and is thus being considered for such verification methods. We present here the results of the first investigation of radiation damage to dSiPM sensors in a proton therapy radiation environment. Radiation hardness experiments were performed at the AGOR cyclotron facility at the KVI-Center for Advanced Radiation Technology, University of Groningen. A 150-MeV proton beam was fully stopped in a water target. In the first experiment, bare dSiPM sensors were placed at 25 cm from the Bragg peak, perpendicular to the beam direction, a geometry typical for an in situ implementation of a PET or prompt gamma imaging device. In the second experiment, dSiPM-based PET detectors containing lutetium yttrium orthosilicate scintillator crystal arrays were placed at 2 and 4 m from the Bragg peak, perpendicular to the beam direction; resembling an in-room PET implementation. Furthermore, the experimental setup was simulated with a Geant4-based Monte Carlo code in order to determine the angular and energy distributions of the neutrons and to determine the 1-MeV equivalent neutron fluences delivered to the dSiPM sensors. A noticeable increase in dark count rate (DCR) after an irradiation with about 108 1-MeV equivalent neutrons/cm2 agrees with observations by others for analog SiPMs, indicating that the radiation damage occurs in the single photon avalanche diodes and not in the electronics integrated on the sensor chip. It was found that in the in situ location, the DCR becomes too large for successful operation after the equivalent of a few weeks of use in a proton therapy treatment room (about 5 × 1013 protons). For PET detectors in an in-room setup, detector performance was unchanged even after an

  18. Charge collection efficiency degradation induced by MeV ions in semiconductor devices: Model and experiment

    DOE PAGES

    Vittone, Ettore; Pastuovic, Zeljko; Breese, Mark B. H.; ...

    2016-02-08

    This study investigates both theoretically and experimentally the charge collection efficiency (CCE) degradation in silicon diodes induced by energetic ions. Ion Beam Induced Charge (IBIC) measurements carried out on n- and p-type silicon diodes which were previously irradiated with MeV He ions show evidence that the CCE degradation does not only depend on the mass, energy and fluence of the damaging ion, but also depends on the ion probe species and on the polarization state of the device. A general one-dimensional model is derived, which accounts for the ion-induced defect distribution, the ionization profile of the probing ion and themore » charge induction mechanism. Using the ionizing and non-ionizing energy loss profiles resulting from simulations based on the binary collision approximation and on the electrostatic/transport parameters of the diode under study as input, the model is able to accurately reproduce the experimental CCE degradation curves without introducing any phenomenological additional term or formula. Although limited to low level of damage, the model is quite general, including the displacement damage approach as a special case and can be applied to any semiconductor device. It provides a method to measure the capture coefficients of the radiation induced recombination centres. They can be considered indexes, which can contribute to assessing the relative radiation hardness of semiconductor materials.« less

  19. The role of radiation hard solar cells in minimizing the costs of global satellite communications systems

    NASA Technical Reports Server (NTRS)

    Summers, Geoffrey P.; Walters, Robert J.; Messenger, Scott R.; Burke, Edward A.

    1995-01-01

    An analysis embodied in a PC computer program is presented which quantitatively demonstrates how the availability of radiation hard solar cells can minimize the cost of a global satellite communication system. The chief distinction between the currently proposed systems, such as Iridium Odyssey and Ellipsat, is the number of satellites employed and their operating altitudes. Analysis of the major costs associated with implementing these systems shows that operation within the earth's radiation belts can reduce the total system cost by as much as a factor of two, so long as radiation hard components including solar cells, can be used. A detailed evaluation of several types of planar solar cells is given, including commercially available Si and GaAs/Ge cells, and InP/Si cells which are under development. The computer program calculates the end of life (EOL) power density of solar arrays taking into account the cell geometry, coverglass thickness, support frame, electrical interconnects, etc. The EOL power density can be determined for any altitude from low earth orbit (LEO) to geosynchronous (GEO) and for equatorial to polar planes of inclination. The mission duration can be varied over the entire range planned for the proposed satellite systems. An algorithm is included in the program for determining the degradation of cell efficiency for different cell technologies due to proton and electron irradiation. The program can be used to determine the optimum configuration for any cell technology for a particular orbit and for a specified mission life. Several examples of applying the program are presented, in which it is shown that the EOL power density of different technologies can vary by an order of magnitude for certain missions. Therefore, although a relatively radiation soft technology can be made to provide the required EOL power by simply increasing the size of the array, the impact on the total system budget could be unacceptable, due to increased launch and

  20. Solid state radiative heat pump

    DOEpatents

    Berdahl, Paul H.

    1986-01-01

    A solid state radiative heat pump (10, 50, 70) operable at room temperature (300.degree. K.) utilizes a semiconductor having a gap energy in the range of 0.03-0.25 eV and operated reversibly to produce an excess or deficit of charge carriers as compared to thermal equilibrium. In one form of the invention (10, 70) an infrared semiconductor photodiode (21, 71) is used, with forward or reverse bias, to emit an excess or deficit of infrared radiation. In another form of the invention (50), a homogeneous semiconductor (51) is subjected to orthogonal magnetic and electric fields to emit an excess or deficit of infrared radiation. Three methods of enhancing transmission of radiation through the active surface of the semiconductor are disclosed. In one method, an anti-reflection layer (19) is coated into the active surface (13) of the semiconductor (11), the anti-reflection layer (19) having an index of refraction equal to the square root of that of the semiconductor (11). In the second method, a passive layer (75) is spaced from the active surface (73) of the semiconductor (71) by a submicron vacuum gap, the passive layer having an index of refractive equal to that of the semiconductor. In the third method, a coupler (91) with a paraboloid reflecting surface (92) is in contact with the active surface (13, 53) of the semiconductor (11, 51), the coupler having an index of refraction about the same as that of the semiconductor.

  1. Novel Chalcogenide Materials for x ray and Gamma ray Detection

    DTIC Science & Technology

    2016-05-01

    REPORT OF PROJECT: Novel chalcogenide materials for x - ray and - ray detection HDTRA1-09-1-0044 Mercouri Kanatzidis , PI Northwestern University...investigated semiconductor for hard radiation detection. The μτ products for electrons however are lower than those of CZT, the leading material for X - ray ...Formation of native defects in the gamma- ray detector material, Cs2Hg6S7 Semiconductor devices detecting hard radiation such as x - rays and

  2. Electronic transport properties of some liquid semiconductor

    NASA Astrophysics Data System (ADS)

    Sonvane, Y. A.; Thakor, P. B.; Jani, A. R.

    2012-06-01

    Electronic transport properties like electrical resistivity (ρ) and thermoelectric power (Q) of liquid semiconductor (Si, Ga, Ge, In, Sn, Tl and Bi) are calculated in the present study. Our well established single parametric model potential alongwith Percus Yevick hard sphere (PYHS) reference system are used to describe the structural information. To see the influence of exchange and correlation effect, Hartree, Taylor and Sarkar et al local field correlation functions are used. From present results, it is seen that good agreements between present results and experimental data have been achieved. Lastly we conclude that our model potential successfully produces the data of electronic transport properties for some liquid semiconductor (Si, Ga, Ge, In, Sn, Tl and Bi).

  3. Doping-tunable thermal emission from plasmon polaritons in semiconductor epsilon-near-zero thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jun, Young Chul; Luk, Ting S.; Robert Ellis, A.

    2014-09-29

    Here, we utilize the unique dispersion properties of leaky plasmon polaritons in epsilon-near-zero (ENZ) thin films to demonstrate thermal radiation control. Owing to its highly flat dispersion above the light line, a thermally excited leaky wave at the ENZ frequency out-couples into free space without any scattering structures, resulting in a narrowband, wide-angle, p-polarized thermal emission spectrum. We demonstrate this idea by measuring angle- and polarization-resolved thermal emission spectra from a single layer of unpatterned, doped semiconductors with deep-subwavelength film thickness (d/λ0 ~ 6 ×10 -3, where d is the film thickness and λ0 is the free space wavelength). Wemore » show that this semiconductor ENZ film effectively works as a leaky wave thermal radiation antenna, which generates far-field radiation from a thermally excited mode. The use of semiconductors makes the radiation frequency highly tunable by controlling doping densities and also facilitates device integration with other components. Therefore, this leaky plasmon polariton emission from semiconductor ENZ films provides an avenue for on-chip control of thermal radiation.« less

  4. Some results on radiation belt electrons from observations of satellite-borne semiconductor electron detector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng Doug-yuan; Wu Ji-ping

    1987-04-01

    This paper presents some results from observations of a Chinese satellite-borne semiconductor electron detector. Data analysis yields typical values of electron fluxes in the central region of the inner radiation belt. The omnidirectional fluxes of electrons having energies greater than 0.5 MeV and 1.0 MeV are 1.9 x 10/sup 8/ and 6.7 x 10/sup 7/ elec./s-cm/sup 2/, respectively. The electron-flux profile on a typical orbit as a function of time is also given. In addition, the omnidirectional fluxes at the synchronous altitude for the two electron-energy levels mentioned are 2.43 x 10/sup 6/ and 4.25 x 10/sup 5/ elec./s-cm/sup 2/.more » The diurnal variations of electrons in the outer radiation belt observed at the synchronous altitude are also given. The results agree with those observed abroad.« less

  5. Chemistry of MOS-LSI radiation hardening

    NASA Technical Reports Server (NTRS)

    Grunthaner, P.

    1985-01-01

    The objective of this task was to obtain chemical information on MOS test samples. Toward this end, high resolution X-ray photoemission spectroscopy (XPS) has been the primary techniques used to characterize the chemistry and structure of the SiO2/Si interface for a variety of MOS structures with differing degrees of susceptibility to damage by ionizing radiation. The major accomplishments of this program are: (1) the identification of a structurally distinct region of SiO2 in the near-interfacial region of thermal SiO2 on Si; (2) the identification in the near-interfacial region of SiO2 structural differences between radiation hard and soft gate oxides; (3) the direct observation of radiation-induced damage sites in thermal SiO2 with XPS using in situ electron stress; (4) the correlation of suboxide state distributions at the SiO2/Si interface with processing parameters and radiation susceptibility; (5) the development of a chemical mechanism for radiation-induced interface state generation in SiO2/Si structures; and (6) the development benign chemical profiling techniques which permit the investigation of oxide/semiconductor structures using surface sensitive electron spectroscopic techniques.

  6. Wavelength-resonant surface-emitting semiconductor laser

    DOEpatents

    Brueck, Steven R. J.; Schaus, Christian F.; Osinski, Marek A.; McInerney, John G.; Raja, M. Yasin A.; Brennan, Thomas M.; Hammons, Burrell E.

    1989-01-01

    A wavelength resonant semiconductor gain medium is disclosed. The essential feature of this medium is a multiplicity of quantum-well gain regions separated by semiconductor spacer regions of higher bandgap. Each period of this medium consisting of one quantum-well region and the adjacent spacer region is chosen such that the total width is equal to an integral multiple of 1/2 the wavelength in the medium of the radiation with which the medium is interacting. Optical, electron-beam and electrical injection pumping of the medium is disclosed. This medium may be used as a laser medium for single devices or arrays either with or without reflectors, which may be either semiconductor or external.

  7. Radiation hardness assessment of the charge-integrating hybrid pixel detector JUNGFRAU 1.0 for photon science

    NASA Astrophysics Data System (ADS)

    Jungmann-Smith, J. H.; Bergamaschi, A.; Brückner, M.; Cartier, S.; Dinapoli, R.; Greiffenberg, D.; Jaggi, A.; Maliakal, D.; Mayilyan, D.; Medjoubi, K.; Mezza, D.; Mozzanica, A.; Ramilli, M.; Ruder, Ch.; Schädler, L.; Schmitt, B.; Shi, X.; Tinti, G.

    2015-12-01

    JUNGFRAU (adJUstiNg Gain detector FoR the Aramis User station) is a two-dimensional hybrid pixel detector for photon science applications in free electron lasers, particularly SwissFEL, and synchrotron light sources. JUNGFRAU is an automatic gain switching, charge-integrating detector which covers a dynamic range of more than 104 photons of an energy of 12 keV with a good linearity, uniformity of response, and spatial resolving power. The JUNGFRAU 1.0 application-specific integrated circuit (ASIC) features a 256 × 256 pixel matrix of 75 × 75 μm2 pixels and is bump-bonded to a 320 μm thick Si sensor. Modules of 2 × 4 chips cover an area of about 4 × 8 cm2. Readout rates in excess of 2 kHz enable linear count rate capabilities of 20 MHz (at 12 keV) and 50 MHz (at 5 keV). The tolerance of JUNGFRAU to radiation is a key issue to guarantee several years of operation at free electron lasers and synchrotrons. The radiation hardness of JUNGFRAU 1.0 is tested with synchrotron radiation up to 10 MGy of delivered dose. The effect of radiation-induced changes on the noise, baseline, gain, and gain switching is evaluated post-irradiation for both the ASIC and the hybridized assembly. The bare JUNGFRAU 1.0 chip can withstand doses as high as 10 MGy with minor changes to its noise and a reduction in the preamplifier gain. The hybridized assembly, in particular the sensor, is affected by the photon irradiation which mainly shows as an increase in the leakage current. Self-healing of the system is investigated during a period of 11 weeks after the delivery of the radiation dose. Annealing radiation-induced changes by bake-out at 100 °C is investigated. It is concluded that the JUNGFRAU 1.0 pixel is sufficiently radiation-hard for its envisioned applications at SwissFEL and synchrotron beam lines.

  8. Semiconductor-based optical refrigerator

    DOEpatents

    Epstein, Richard I.; Edwards, Bradley C.; Sheik-Bahae, Mansoor

    2002-01-01

    Optical refrigerators using semiconductor material as a cooling medium, with layers of material in close proximity to the cooling medium that carries away heat from the cooling material and preventing radiation trapping. In addition to the use of semiconducting material, the invention can be used with ytterbium-doped glass optical refrigerators.

  9. Doping-tunable thermal emission from plasmon polaritons in semiconductor epsilon-near-zero thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jun, Young Chul, E-mail: youngchul.jun@inha.ac.kr; Luk, Ting S., E-mail: tsluk@sandia.gov; Brener, Igal

    2014-09-29

    We utilize the unique dispersion properties of leaky plasmon polaritons in epsilon-near-zero (ENZ) thin films to demonstrate thermal radiation control. Owing to its highly flat dispersion above the light line, a thermally excited leaky wave at the ENZ frequency out-couples into free space without any scattering structures, resulting in a narrowband, wide-angle, p-polarized thermal emission spectrum. We demonstrate this idea by measuring angle- and polarization-resolved thermal emission spectra from a single layer of unpatterned, doped semiconductors with deep-subwavelength film thickness (d/λ{sub 0} ∼ 6×10{sup −3}, where d is the film thickness and  λ{sub 0} is the free space wavelength). We show thatmore » this semiconductor ENZ film effectively works as a leaky wave thermal radiation antenna, which generates far-field radiation from a thermally excited mode. The use of semiconductors makes the radiation frequency highly tunable by controlling doping densities and also facilitates device integration with other components. Therefore, this leaky plasmon polariton emission from semiconductor ENZ films provides an avenue for on-chip control of thermal radiation.« less

  10. Resistivity, carrier trapping, and polarization phenomenon in semiconductor radiation detection materials

    NASA Astrophysics Data System (ADS)

    Du, Mao-Hua; Biswas, Koushik; Singh, David J.

    2012-10-01

    In this paper, we report theoretical studies of native defects and dopants in a number of room-temperature semiconductor radiation detection materials, i.e., CdTe, TlBr, and Tl6SeI4. We address several important questions, such as what causes high resistivity in these materials, what explains good μτ product (carrier mobility-lifetime product) in soft-lattice ionic compounds that have high defect density, and how to obtain high resistivity and low carrier trapping simultaneously. Our main results are: (1) shallow donors rather than deep ones are responsible for high resistivity in high-quality detectorgrade CdTe; (2) large dielectric screening and the lack of deep levels from low-energy native defects may contribute to the good μτ products for both electrons and holes in TlBr; (3) the polarization phenomenon in Tl6SeI4 is expected to be much reduced compared to that in TlBr.

  11. Radiation Hardness tests with neutron flux on different Silicon photomultiplier devices

    NASA Astrophysics Data System (ADS)

    Cattaneo, P. W.; Cervi, T.; Menegolli, A.; Oddone, M.; Prata, M.; Prata, M. C.; Rossella, M.

    2017-07-01

    Radiation hardness is an important requirement for solid state readout devices operating in high radiation environments common in particle physics experiments. The MEG II experiment, at PSI, Switzerland, investigates the forbidden decay μ+ → e+ γ. Exploiting the most intense muon beam of the world. A significant flux of non-thermal neutrons (kinetic energy Ek>= 0.5 MeV) is present in the experimental hall produced along the beam-line and in the hall itself. We present the effects of neutron fluxes comparable to the MEG II expected doses on several Silicon Photomultiplier (SiPMs). The tested models are: AdvanSiD ASD-NUV3S-P50 (used in MEG II experiment), AdvanSiD ASD-NUV3S-P40, AdvanSiD ASD-RGB3S-P40, Hamamatsu and Excelitas C30742-33-050-X. The neutron source is the thermal Sub-critical Multiplication complex (SM1) moderated with water, located at the University of Pavia (Italy). We report the change of SiPMs most important electric parameters: dark current, dark pulse frequency, gain, direct bias resistance, as a function of the integrated neutron fluency.

  12. INTERNATIONAL CONFERENCE ON SEMICONDUCTOR INJECTION LASERS SELCO-87: Influence of spontaneous fluctuations on the emission spectrum of an injection semiconductor laser

    NASA Astrophysics Data System (ADS)

    Gulyaev, Yurii V.; Suris, Robert A.; Tager, A. A.; Élenkrig, B. B.

    1988-11-01

    A theoretical investigation is made of fluctuation-induced excitation of side longitudinal modes in the emission spectra of semiconductor lasers, including those with an external mirror. It is shown that nonlinear refraction of light in the active region of a semiconductor laser may result in a noise redistribution of the radiation between longitudinal resonator modes and can be responsible for the multimode nature of the average emission spectrum. An analysis is made of the influence of selectivity of an external mirror on the stability of cw operation, minimum line width, and mode composition of the emission spectra of semiconductor lasers. The conditions for maximum narrowing of the emission spectrum of a semiconductor laser with an external selective mirror are identified.

  13. Main principles of developing exploitation models of semiconductor devices

    NASA Astrophysics Data System (ADS)

    Gradoboev, A. V.; Simonova, A. V.

    2018-05-01

    The paper represents primary tasks, solutions of which allow to develop the exploitation modes of semiconductor devices taking into account complex and combined influence of ionizing irradiation and operation factors. The structure of the exploitation model of the semiconductor device is presented, which is based on radiation and reliability models. Furthermore, it was shown that the exploitation model should take into account complex and combine influence of various ionizing irradiation types and operation factors. The algorithm of developing the exploitation model of the semiconductor devices is proposed. The possibility of creating the radiation model of Schottky barrier diode, Schottky field-effect transistor and Gunn diode is shown based on the available experimental data. The basic exploitation model of IR-LEDs based upon double AlGaAs heterostructures is represented. The practical application of the exploitation models will allow to output the electronic products with guaranteed operational properties.

  14. High-resolution single-shot spectral monitoring of hard x-ray free-electron laser radiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Makita, M.; Karvinen, P.; Zhu, D.

    We have developed an on-line spectrometer for hard x-ray free-electron laser (XFEL) radiation based on a nanostructured diamond diffraction grating and a bent crystal analyzer. Our method provides high spectral resolution, interferes negligibly with the XFEL beam, and can withstand the intense hard x-ray pulses at high repetition rates of >100 Hz. The spectrometer is capable of providing shot-to-shot spectral information for the normalization of data obtained in scientific experiments and optimization of the accelerator operation parameters. We have demonstrated these capabilities of the setup at the Linac Coherent Light Source, in self-amplified spontaneous emission mode at full energy ofmore » >1 mJ with a 120 Hz repetition rate, obtaining a resolving power of Ε/δΕ > 3 × 10 4. In conclusion, the device was also used to monitor the effects of pulse duration down to 8 fs by analysis of the spectral spike width.« less

  15. High-resolution single-shot spectral monitoring of hard x-ray free-electron laser radiation

    DOE PAGES

    Makita, M.; Karvinen, P.; Zhu, D.; ...

    2015-10-16

    We have developed an on-line spectrometer for hard x-ray free-electron laser (XFEL) radiation based on a nanostructured diamond diffraction grating and a bent crystal analyzer. Our method provides high spectral resolution, interferes negligibly with the XFEL beam, and can withstand the intense hard x-ray pulses at high repetition rates of >100 Hz. The spectrometer is capable of providing shot-to-shot spectral information for the normalization of data obtained in scientific experiments and optimization of the accelerator operation parameters. We have demonstrated these capabilities of the setup at the Linac Coherent Light Source, in self-amplified spontaneous emission mode at full energy ofmore » >1 mJ with a 120 Hz repetition rate, obtaining a resolving power of Ε/δΕ > 3 × 10 4. In conclusion, the device was also used to monitor the effects of pulse duration down to 8 fs by analysis of the spectral spike width.« less

  16. Cryogenic and radiation-hard asic for interfacing large format NIR/SWIR detector arrays

    NASA Astrophysics Data System (ADS)

    Gao, Peng; Dupont, Benoit; Dierickx, Bart; Müller, Eric; Verbruggen, Geert; Gielis, Stijn; Valvekens, Ramses

    2017-11-01

    For scientific and earth observation space missions, weight and power consumption is usually a critical factor. In order to obtain better vehicle integration, efficiency and controllability for large format NIR/SWIR detector arrays, a prototype ASIC is designed. It performs multiple detector array interfacing, power regulation and data acquisition operations inside the cryogenic chambers. Both operation commands and imaging data are communicated via the SpaceWire interface which will significantly reduce the number of wire goes in and out the cryogenic chamber. This "ASIC" prototype is realized in 0.18um CMOS technology and is designed for radiation hardness.

  17. Rad-Hard/HI-REL FPGA

    NASA Technical Reports Server (NTRS)

    Wang, Jih-Jong; Cronquist, Brian E.; McGowan, John E.; Katz, Richard B.

    1997-01-01

    The goals for a radiation hardened (RAD-HARD) and high reliability (HI-REL) field programmable gate array (FPGA) are described. The first qualified manufacturer list (QML) radiation hardened RH1280 and RH1020 were developed. The total radiation dose and single event effects observed on the antifuse FPGA RH1280 are reported on. Tradeoffs and the limitations in the single event upset hardening are discussed.

  18. High resolution scintillation detector with semiconductor readout

    DOEpatents

    Levin, Craig S.; Hoffman, Edward J.

    2000-01-01

    A novel high resolution scintillation detector array for use in radiation imaging such as high resolution Positron Emission Tomography (PET) which comprises one or more parallelepiped crystals with at least one long surface of each crystal being in intimate contact with a semiconductor photodetector such that photons generated within each crystal by gamma radiation passing therethrough is detected by the photodetector paired therewith.

  19. Prediction of the thermal annealing of thick oxide metal-oxide-semiconductor dosimeters irradiated in a harsh radiation environment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ravotti, F.; Glaser, M.; Saigne, F.

    Radiation-sensing metal-oxide-semiconductor field-effect transistors produced by the laboratory LAAS-CNRS were exposed to a harsh hadron field that represents the real radiation environment expected at the CERN Large Hadron Collider experiments. The long-term stability of the transistor's I{sub ds}-V{sub gs} characteristic was investigated using the isochronal annealing technique. In this work, devices exposed to high intensity hadron levels ({phi}{>=}10{sup 12} neutrons/cm{sup 2}) show evidences of displacement damages in the I{sub ds}-V{sub gs} annealing behavior. By comparing experimental and simulated results over 14 months, the isochronal annealing method, originally devoted to oxide trapped charge, is shown to enable prediction of the recoverymore » of silicon bulk defects.« less

  20. EFFECTS OF LASER RADIATION ON MATTER. LASER PLASMA: Effect of compression of a laser plasma on the generation of harmonics and hard x radiation

    NASA Astrophysics Data System (ADS)

    Apollonov, V. V.; Derzhavin, S. I.; Kazakov, K. Kh

    1993-02-01

    A compression of a plasma produced at a conical target by a low-intensity beam (q≲10 GW/cm2) from a CO2 laser has been studied. The effect of this compression on the onset of the parametric instability responsible for the generation of harmonics and of hard x radiation has also been studied. A qualitative interpretation of the results is offered.

  1. Semiconductor-based, large-area, flexible, electronic devices

    DOEpatents

    Goyal, Amit [Knoxville, TN

    2011-03-15

    Novel articles and methods to fabricate the same resulting in flexible, large-area, triaxially textured, single-crystal or single-crystal-like, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  2. Fault tolerant, radiation hard, high performance digital signal processor

    NASA Technical Reports Server (NTRS)

    Holmann, Edgar; Linscott, Ivan R.; Maurer, Michael J.; Tyler, G. L.; Libby, Vibeke

    1990-01-01

    An architecture has been developed for a high-performance VLSI digital signal processor that is highly reliable, fault-tolerant, and radiation-hard. The signal processor, part of a spacecraft receiver designed to support uplink radio science experiments at the outer planets, organizes the connections between redundant arithmetic resources, register files, and memory through a shuffle exchange communication network. The configuration of the network and the state of the processor resources are all under microprogram control, which both maps the resources according to algorithmic needs and reconfigures the processing should a failure occur. In addition, the microprogram is reloadable through the uplink to accommodate changes in the science objectives throughout the course of the mission. The processor will be implemented with silicon compiler tools, and its design will be verified through silicon compilation simulation at all levels from the resources to full functionality. By blending reconfiguration with redundancy the processor implementation is fault-tolerant and reliable, and possesses the long expected lifetime needed for a spacecraft mission to the outer planets.

  3. Feasibility of a semiconductor dosimeter to monitor skin dose in interventional radiology.

    PubMed

    Meyer, P; Regal, R; Jung, M; Siffert, P; Mertz, L; Constantinesco, A

    2001-10-01

    The design and preliminary test results of a semiconductor silicon dosimeter are presented in this article. Use of this dosimeter is foreseen for real-time skin dose control in interventional radiology. The strong energy dependence of this kind of radiation detector is well overcome by filtering the silicon diode. Here, the optimal filter features have been calculated by numerical Monte Carlo simulations. A prototype has been built and tested in a radiological facility. The first experimental results show a good match between the filtered semiconductor diode response and an ionization chamber response, within 2% fluctuation in a 2.2 to 4.1 mm Al half-value layer (HVL) energy range. Moreover, the semiconductor sensor response is linear from 0.02 Gy/min to at least 6.5 Gy/min, covering the whole dose rate range found in interventional radiology. The results show that a semiconductor dosimeter could be used to monitor skin dose during the majority of procedures using x-rays below 150 keV. The use of this device may assist in avoiding radiation-induced skin injuries and lower radiation levels during interventional procedures.

  4. Ultra-low power high temperature and radiation hard complementary metal-oxide-semiconductor (CMOS) silicon-on-insulator (SOI) voltage reference.

    PubMed

    Boufouss, El Hafed; Francis, Laurent A; Kilchytska, Valeriya; Gérard, Pierre; Simon, Pascal; Flandre, Denis

    2013-12-13

    This paper presents an ultra-low power CMOS voltage reference circuit which is robust under biomedical extreme conditions, such as high temperature and high total ionized dose (TID) radiation. To achieve such performances, the voltage reference is designed in a suitable 130 nm Silicon-on-Insulator (SOI) industrial technology and is optimized to work in the subthreshold regime of the transistors. The design simulations have been performed over the temperature range of -40-200 °C and for different process corners. Robustness to radiation was simulated using custom model parameters including TID effects, such as mobilities and threshold voltages degradation. The proposed circuit has been tested up to high total radiation dose, i.e., 1 Mrad (Si) performed at three different temperatures (room temperature, 100 °C and 200 °C). The maximum drift of the reference voltage V(REF) depends on the considered temperature and on radiation dose; however, it remains lower than 10% of the mean value of 1.5 V. The typical power dissipation at 2.5 V supply voltage is about 20 μW at room temperature and only 75 μW at a high temperature of 200 °C. To understand the effects caused by the combination of high total ionizing dose and temperature on such voltage reference, the threshold voltages of the used SOI MOSFETs were extracted under different conditions. The evolution of V(REF) and power consumption with temperature and radiation dose can then be explained in terms of the different balance between fixed oxide charge and interface states build-up. The total occupied area including pad-ring is less than 0.09 mm2.

  5. Voyager electronic parts radiation program, volume 1

    NASA Technical Reports Server (NTRS)

    Stanley, A. G.; Martin, K. E.; Price, W. E.

    1977-01-01

    The Voyager spacecraft is subject to radiation from external natural space, from radioisotope thermoelectric generators and heater units, and from the internal environment where penetrating electrons generate surface ionization effects in semiconductor devices. Methods for radiation hardening and tests for radiation sensitivity are described. Results of characterization testing and sample screening of over 200 semiconductor devices in a radiation environment are summarized.

  6. Neutron and gamma irradiation effects on power semiconductor switches

    NASA Technical Reports Server (NTRS)

    Schwarze, G. E.; Frasca, A. J.

    1990-01-01

    The performance characteristics of high-power semiconductor switches subjected to high levels of neutron fluence and gamma dose must be known by the designer of the power conditioning, control and transmission subsystem of space nuclear power systems. Location and the allowable shielding mass budget will determine the level of radiation tolerance required by the switches to meet performance and reliability requirements. Neutron and gamma ray interactions with semiconductor materials and how these interactions affect the electrical and switching characteristics of solid state power switches is discussed. The experimental measurement system and radiation facilities are described. Experimental data showing the effects of neutron and gamma irradiation on the performance characteristics are given for power-type NPN Bipolar Junction Transistors (BJTs), and Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs). BJTs show a rapid decrease in gain, blocking voltage, and storage time for neutron irradiation, and MOSFETs show a rapid decrease in the gate threshold voltage for gamma irradiation.

  7. Neutron and gamma irradiation effects on power semiconductor switches

    NASA Technical Reports Server (NTRS)

    Schwarze, G. E.; Frasca, A. J.

    1990-01-01

    The performance characteristics of high power semiconductor switches subjected to high levels of neutron fluence and gamma dose must be known by the designer of the power conditioning, control and transmission subsystem of space nuclear power systems. Location and the allowable shielding mass budget will determine the level of radiation tolerance required by the switches to meet performance and reliability requirements. Neutron and gamma ray interactions with semiconductor materials and how these interactions affect the electrical and switching characteristics of solid state power switches is discussed. The experimental measurement system and radiation facilities are described. Experimental data showing the effects of neutron and gamma irradiation on the performance characteristics are given for power-type NPN Bipolar Junction Transistors (BJTs), and Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs). BJTs show a rapid decrease in gain, blocking voltage, and storage time for neutron irradiation, and MOSFETs show a rapid decrease in the gate threshold voltage for gamma irradiation.

  8. Terahertz radiation by subpicosecond spin-polarized photocurrent originating from Dirac electrons in a Rashba-type polar semiconductor

    NASA Astrophysics Data System (ADS)

    Kinoshita, Yuto; Kida, Noriaki; Miyamoto, Tatsuya; Kanou, Manabu; Sasagawa, Takao; Okamoto, Hiroshi

    2018-04-01

    The spin-splitting energy bands induced by the relativistic spin-orbit interaction in solids provide a new opportunity to manipulate the spin-polarized electrons on the subpicosecond timescale. Here, we report one such example in a bulk Rashba-type polar semiconductor BiTeBr. Strong terahertz electromagnetic waves are emitted after the resonant excitation of the interband transition between the Rashba-type spin-splitting energy bands with a femtosecond laser pulse circularly polarized. The phase of the emitted terahertz waves is reversed by switching the circular polarization. This suggests that the observed terahertz radiation originates from the subpicosecond spin-polarized photocurrents, which are generated by the asymmetric depopulation of the Dirac state. Our result provides a way for the current-induced terahertz radiation and its phase control by the circular polarization of incident light without external electric fields.

  9. Induced Charge Fluctuations in Semiconductor Detectors with a Cylindrical Geometry

    NASA Astrophysics Data System (ADS)

    Samedov, Victor V.

    2018-01-01

    Now, compound semiconductors are very appealing for hard X-ray room-temperature detectors for medical and astrophysical applications. Despite the attractive properties of compound semiconductors, such as high atomic number, high density, wide band gap, low chemical reactivity and long-term stability, poor hole and electron mobility-lifetime products degrade the energy resolution of these detectors. The main objective of the present study is in development of a mathematical model of the process of the charge induction in a cylindrical geometry with accounting for the charge carrier trapping. The formulae for the moments of the distribution function of the induced charge and the formulae for the mean amplitude and the variance of the signal at the output of the semiconductor detector with a cylindrical geometry were derived. It was shown that the power series expansions of the detector amplitude and the variance in terms of the inverse bias voltage allow determining the Fano factor, electron mobility lifetime product, and the nonuniformity level of the trap density of the semiconductor material.

  10. Ultrafast transient grating radiation to optical image converter

    DOEpatents

    Stewart, Richard E; Vernon, Stephen P; Steel, Paul T; Lowry, Mark E

    2014-11-04

    A high sensitivity transient grating ultrafast radiation to optical image converter is based on a fixed transmission grating adjacent to a semiconductor substrate. X-rays or optical radiation passing through the fixed transmission grating is thereby modulated and produces a small periodic variation of refractive index or transient grating in the semiconductor through carrier induced refractive index shifts. An optical or infrared probe beam tuned just below the semiconductor band gap is reflected off a high reflectivity mirror on the semiconductor so that it double passes therethrough and interacts with the radiation induced phase grating therein. A small portion of the optical beam is diffracted out of the probe beam by the radiation induced transient grating to become the converted signal that is imaged onto a detector.

  11. Superfocusing of mutimode semiconductor lasers and light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Sokolovskii, G. S.; Dudelev, V. V.; Losev, S. N.; Deryagin, A. G.; Kuchinskii, V. I.; Sibbett, W.; Rafailov, E. U.

    2012-05-01

    The problem of focusing multimode radiation of high-power semiconductor lasers and light-emitting diodes (LEDs) has been studied. In these sources, low spatial quality of the output beam determines theoretical limit of the focal spot size (one to two orders of magnitude exceeding the diffraction limit), thus restricting the possibility of increasing power density and creating optical field gradients that are necessary in many practical applications. In order to overcome this limitation, we have developed a method of superfocusing of multimode radiation with the aid of interference. It is shown that, using this method, the focal spot size of high-power semiconductor lasers and LEDs can be reduced to a level unachievable by means of traditional focusing. An approach to exceed the theoretical limit of power density for focusing of radiation with high propagation parameter M 2 is proposed.

  12. Plasmonic Control of Radiation and Absorption Processes in Semiconductor Quantum Dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paiella, Roberto; Moustakas, Theodore D.

    This document reviews a research program funded by the DOE Office of Science, which has been focused on the control of radiation and absorption processes in semiconductor photonic materials (including III-nitride quantum wells and quantum dots), through the use of specially designed metallic nanoparticles (NPs). By virtue of their strongly confined plasmonic resonances (i.e., collective oscillations of the electron gas), these nanostructures can concentrate incident radiation into sub-wavelength “hot spots” of highly enhanced field intensity, thereby increasing optical absorption by suitably positioned absorbers. By reciprocity, the same NPs can also dramatically increase the spontaneous emission rate of radiating dipoles locatedmore » within their hot spots. The NPs can therefore be used as optical antennas to enhance the radiation output of the underlying active material and at the same time control the far-field pattern of the emitted light. The key accomplishments of the project include the demonstration of highly enhanced light emission efficiency as well as plasmonic collimation and beaming along geometrically tunable directions, using a variety of plasmonic excitations. Initial results showing the reverse functionality (i.e., plasmonic unidirectional absorption and photodetection) have also been generated with similar systems. Furthermore, a new paradigm for the near-field control of light emission has been introduced through rigorous theoretical studies, based on the use of gradient metasurfaces (i.e., optical nanoantenna arrays with spatially varying shape, size, and/or orientation). These activities have been complemented by materials development efforts aimed at the synthesis of suitable light-emitting samples by molecular beam epitaxy. In the course of these efforts, a novel technique for the growth of III-nitride quantum dots has also been developed (droplet heteroepitaxy), with several potential advantages in terms of compositional and

  13. Kinetic Monte Carlo simulation of intermixing during semiconductor heteroepitaxy

    NASA Astrophysics Data System (ADS)

    Rouhani, M. Djafari; Kassem, H.; Dalla Torre, J.; Landa, G.; Estève, D.

    2002-03-01

    We have used the kinetic Monte Carlo technique to investigate the intermixing mechanisms during the heteroepitaxial growth of semiconductors. We have shown that the temperature increases the intermixing between the substrate and deposited film, while an increasing growth rate inhibits this intermixing. We have also observed that intermixing is reduced when the energetics becomes unfavorable, i.e. with high lattice mismatches or hard-deposited materials.

  14. Compositions of doped, co-doped and tri-doped semiconductor materials

    DOEpatents

    Lynn, Kelvin [Pullman, WA; Jones, Kelly [Colfax, WA; Ciampi, Guido [Watertown, MA

    2011-12-06

    Semiconductor materials suitable for being used in radiation detectors are disclosed. A particular example of the semiconductor materials includes tellurium, cadmium, and zinc. Tellurium is in molar excess of cadmium and zinc. The example also includes aluminum having a concentration of about 10 to about 20,000 atomic parts per billion and erbium having a concentration of at least 10,000 atomic parts per billion.

  15. Production of 35S for a Liquid Semiconductor Betavoltaic

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Meier, David E.; Garnov, A. Y.; Robertson, J. D.

    2009-10-01

    The specific energy density from radioactive decay is five to six orders of magnitude greater than the specific energy density in conventional chemical battery and fuel cell technologies. We are currently investigating the use of liquid semiconductor based betavoltaics as a way to directly convert the energy of radioactive decay into electrical power and potentially avoid the radiation damage that occurs in solid state semiconductor devices due to non-ionizing energy loss. Sulfur-35 was selected as the isotope for the liquid semiconductor demonstrations because it can be produced in high specific activity and it is chemically compatible with known liquid semiconductormore » media.« less

  16. Fabrication of optically reflecting ohmic contacts for semiconductor devices

    DOEpatents

    Sopori, B.L.

    1995-07-04

    A method is provided to produce a low-resistivity ohmic contact having high optical reflectivity on one side of a semiconductor device. The contact is formed by coating the semiconductor substrate with a thin metal film on the back reflecting side and then optically processing the wafer by illuminating it with electromagnetic radiation of a predetermined wavelength and energy level through the front side of the wafer for a predetermined period of time. This method produces a thin epitaxial alloy layer between the semiconductor substrate and the metal layer when a crystalline substrate is used. The alloy layer provides both a low-resistivity ohmic contact and high optical reflectance. 5 figs.

  17. Fabrication of optically reflecting ohmic contacts for semiconductor devices

    DOEpatents

    Sopori, Bhushan L.

    1995-01-01

    A method is provided to produce a low-resistivity ohmic contact having high optical reflectivity on one side of a semiconductor device. The contact is formed by coating the semiconductor substrate with a thin metal film on the back reflecting side and then optically processing the wafer by illuminating it with electromagnetic radiation of a predetermined wavelength and energy level through the front side of the wafer for a predetermined period of time. This method produces a thin epitaxial alloy layer between the semiconductor substrate and the metal layer when a crystalline substrate is used. The alloy layer provides both a low-resistivity ohmic contact and high optical reflectance.

  18. Methods of measurement for semiconductor materials, process control, and devices

    NASA Technical Reports Server (NTRS)

    Bullis, W. M. (Editor)

    1973-01-01

    This progress report describes NBS activities directed toward the development of methods of measurement for semiconductor materials, process control, and devices. Significant accomplishments during this reporting period include design of a plan to provide standard silicon wafers for four-probe resistivity measurements for the industry, publication of a summary report on the photoconductive decay method for measuring carrier lifetime, publication of a comprehensive review of the field of wire bond fabrication and testing, and successful completion of organizational activity leading to the establishment of a new group on quality and hardness assurance in ASTM Committee F-1 on Electronics. Work is continuing on measurement of resistivity of semiconductor crystals; characterization of generation-recombination-trapping centers in silicon; study of gold-doped silicon; development of the infrared response technique; evaluation of wire bonds and die attachment; and measurement of thermal properties of semiconductor devices, delay time and related carrier transport properties in junction devices, and noise properties of microwave diodes.

  19. Semiconductor optoelectronic devices for free-space optical communications

    NASA Technical Reports Server (NTRS)

    Katz, J.

    1983-01-01

    The properties of individual injection lasers are reviewed, and devices of greater complexity are described. These either include or are relevant to monolithic integration configurations of the lasers with their electronic driving circuitry, power combining methods of semiconductor lasers, and electronic methods of steering the radiation patterns of semiconductor lasers and laser arrays. The potential of AlGaAs laser technology for free-space optical communications systems is demonstrated. These solid-state components, which can generate and modulate light, combine the power of a number of sources and perform at least part of the beam pointing functions. Methods are proposed for overcoming the main drawback of semiconductor lasers, that is, their inability to emit the needed amount of optical power in a single-mode operation.

  20. Silicon metal-semiconductor-metal photodetector

    DOEpatents

    Brueck, Steven R. J.; Myers, David R.; Sharma, Ashwani K.

    1997-01-01

    Silicon MSM photodiodes sensitive to radiation in the visible to near infrared spectral range are produced by altering the absorption characteristics of crystalline Si by ion implantation. The implantation produces a defected region below the surface of the silicon with the highest concentration of defects at its base which acts to reduce the contribution of charge carriers formed below the defected layer. The charge carriers generated by the radiation in the upper regions of the defected layer are very quickly collected between biased Schottky barrier electrodes which form a metal-semiconductor-metal structure for the photodiode.

  1. Silicon metal-semiconductor-metal photodetector

    DOEpatents

    Brueck, Steven R. J.; Myers, David R.; Sharma, Ashwani K.

    1995-01-01

    Silicon MSM photodiodes sensitive to radiation in the visible to near infrared spectral range are produced by altering the absorption characteristics of crystalline Si by ion implantation. The implantation produces a defected region below the surface of the silicon with the highest concentration of defects at its base which acts to reduce the contribution of charge carriers formed below the defected layer. The charge carriers generated by the radiation in the upper regions of the defected layer are very quickly collected between biased Schottky barrier electrodes which form a metal-semiconductor-metal structure for the photodiode.

  2. Microradiography with Semiconductor Pixel Detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jakubek, Jan; Cejnarova, Andrea; Dammer, Jiri

    High resolution radiography (with X-rays, neutrons, heavy charged particles, ...) often exploited also in tomographic mode to provide 3D images stands as a powerful imaging technique for instant and nondestructive visualization of fine internal structure of objects. Novel types of semiconductor single particle counting pixel detectors offer many advantages for radiation imaging: high detection efficiency, energy discrimination or direct energy measurement, noiseless digital integration (counting), high frame rate and virtually unlimited dynamic range. This article shows the application and potential of pixel detectors (such as Medipix2 or TimePix) in different fields of radiation imaging.

  3. The structure study of thin semiconductor and dielectric films by diffraction of synchrotron radiation

    NASA Astrophysics Data System (ADS)

    Yurjev, G. S.; Fainer, N. I.; Maximovskiy, E. A.; Kosinova, M. L.; Sheromov, M. A.; Rumyantsev, Yu. M.

    1998-02-01

    The structure of semiconductor and dielectric thin (100-300 nm) films was studied by diffraction of synchrotron radiation. The diffraction experiments were performed at both the station "Anomalous scattering" of the storage ring synchrotron facility VEPP-3 and DRON-4 diffractometer. The structure of CdS thin films grown on fused silica, single Si(100) and InP(100) substrates was investigated. The structure of Cu 2S thin films grown on fused silica, single Si(100) substrates and CdS/Si(100)-heterostructure was studied. The structure study was performed on Si 3N 4 films grown on GaAs(100) substrates. The structure of thin BN layers grown on single Si(100) substrates was studied. It was established that structural parameters of above-mentioned thin films coincide on the parameters of JCPDS International Centre for Diffraction Data.

  4. Experimental study on the monomer structure of solar semiconductor cold wall

    NASA Astrophysics Data System (ADS)

    Fu, Yuanyuan; Liu, Qiuxin; Chen, Tianshou

    2018-06-01

    In this paper, solar semiconductor cold wall structure was adopted in the net-zero energy buildings, NZEB for short. The heat transfer and refrigeration effect of the monomer structure of semiconductor cold wall were tested, we get that the monomer structure of semiconductor cold wall has certain cooling effect. However, the heat exchange effect is not good of the cold and hot aluminum plate only through natural convection and radiation heat transfer. It is necessary to further study the process of semiconductor refrigeration and heat transfer and the factors that affect the cooling effect. At the same time, it put forward a series of suggestions and improvement opinion for NZEB in hot summer and cold winter areas.

  5. Absorptivity of semiconductors used in the production of solar cell panels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosyachenko, L. A., E-mail: lakos@chv.ukrpack.net; Grushko, E. V.; Mikityuk, T. I.

    The dependence of the absorptivity of semiconductors on the thickness of the absorbing layer is studied for crystalline silicon (c-Si), amorphous silicon (a-Si), cadmium telluride (CdTe), copper indium diselenide (CuInSe{sub 2}, CIS), and copper gallium diselenide (CuGaSe{sub 2}, CGS). The calculations are performed with consideration for the spectral distribution of AM1.5 standard solar radiation and the absorption coefficients of the materials. It is shown that, in the region of wavelengths {lambda} = {lambda}{sub g} = hc/E{sub g}, almost total absorption of the photons in AM1.5 solar radiation is attained in c-Si at the thickness d = 7-8 mm, in a-Simore » at d = 30-60 {mu}m, in CdTe at d = 20-30 {mu}m, and in CIS and CGS at d = 3-4 {mu}m. The results differ from previously reported data for these materials (especially for c-Si). In previous publications, the thickness needed for the semiconductor to absorb solar radiation completely was identified with the effective light penetration depth at a certain wavelength in the region of fundamental absorption for the semiconductor.« less

  6. The differential absorption hard x-ray spectrometer at the Z facility

    DOE PAGES

    Bell, Kate S.; Coverdale, Christine A.; Ampleford, David J.; ...

    2017-08-03

    The Differential Absorption Hard X-ray (DAHX) spectrometer is a diagnostic developed to measure time-resolved radiation between 60 keV and 2 MeV at the Z Facility. It consists of an array of 7 Si PIN diodes in a tungsten housing that provides collimation and coarse spectral resolution through differential filters. DAHX is a revitalization of the Hard X-Ray Spectrometer (HXRS) that was fielded on Z prior to refurbishment in 2006. DAHX has been tailored to the present radiation environment in Z to provide information on the power, spectral shape, and time profile of the hard emission by plasma radiation sources drivenmore » by the Z Machine.« less

  7. AN ONLINE, RADIATION HARD PROTON ENERGY-RESOLVING SCINTILLATOR STACK FOR LASER-DRIVEN PROTON BUNCHES.

    PubMed

    Englbrecht, Franz Siegfried; Würl, Matthias; Olivari, Francesco; Ficorella, Andrea; Kreuzer, Christian; Lindner, Florian H; Palma, Matteo Dalla; Pancheri, Lucio; Betta, Gian-Franco Dalla; Schreiber, Jörg; Quaranta, Alberto; Parodi, Katia

    2018-02-03

    We report on a scintillator-based online detection system for the spectral characterization of polychromatic proton bunches. Using up to nine stacked layers of radiation hard polysiloxane scintillators, coupled to and readout edge-on by a large area pixelated CMOS detector, impinging polychromatic proton bunches were characterized. The energy spectra were reconstructed using calibration data and simulated using Monte-Carlo simulations. Despite the scintillator stack showed some problems like thickness inhomogeneities and unequal layer coupling, the prototype allows to obtain a first estimate of the energy spectrum of proton beams. © The Author(s) 2018. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  8. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    NASA Astrophysics Data System (ADS)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W. H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 1016 cm-2) and sulfur (200 keV, 1014 cm-2) in silicon wafers using ``white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 1014 cm-2. Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular.

  9. Light sources based on semiconductor current filaments

    DOEpatents

    Zutavern, Fred J.; Loubriel, Guillermo M.; Buttram, Malcolm T.; Mar, Alan; Helgeson, Wesley D.; O'Malley, Martin W.; Hjalmarson, Harold P.; Baca, Albert G.; Chow, Weng W.; Vawter, G. Allen

    2003-01-01

    The present invention provides a new type of semiconductor light source that can produce a high peak power output and is not injection, e-beam, or optically pumped. The present invention is capable of producing high quality coherent or incoherent optical emission. The present invention is based on current filaments, unlike conventional semiconductor lasers that are based on p-n junctions. The present invention provides a light source formed by an electron-hole plasma inside a current filament. The electron-hole plasma can be several hundred microns in diameter and several centimeters long. A current filament can be initiated optically or with an e-beam, but can be pumped electrically across a large insulating region. A current filament can be produced in high gain photoconductive semiconductor switches. The light source provided by the present invention has a potentially large volume and therefore a potentially large energy per pulse or peak power available from a single (coherent) semiconductor laser. Like other semiconductor lasers, these light sources will emit radiation at the wavelength near the bandgap energy (for GaAs 875 nm or near infra red). Immediate potential applications of the present invention include high energy, short pulse, compact, low cost lasers and other incoherent light sources.

  10. Readout and Data Acquisition for a Liquid Radiator Radiation Exposure Test

    NASA Astrophysics Data System (ADS)

    Lantz, Chad

    2017-09-01

    The ATLAS Zero Degree Calorimeter (ZDC) prototype is a tungsten-sampling, oil/quartz radiating calorimeter placed on each side of the interaction point. The ZDC is used in heavy ion runs for centrality measurements. The UIUC group develops a ZDC that is significantly more radiation hard than the currently employed detector. The current ZDC uses scintillating quartz rods placed directly in the beamline whose optical transmission is known to degrade as a function of radiation dosage. Our prototype uses organic wavelength shifters (WLS) dissolved in oil in two stages to take Cherenkov light produced in the oil by the particle shower and guide it to a photodetector. This design allows the quartz rods be located away from the beam center to experience a lower radiation dose, and the oil containing WLS can be replaced periodically to negate radiation damage. Quantum dots are studied as a more radiation hard alternative to WLS. This increase in radiation hardness will allow ATLAS to operate the ZDC after the luminosity upgrades planned for the LHC. A test setup has been developed for the study of radiation hardness of liquid Cherenkov radiators and wavelength shifters. The setup will be described in this presentation with a focus on the readout electronics and data acquisition.

  11. Effects of gamma radiation on hard dental tissues of albino rats: investigation by light microscopy.

    PubMed

    El-Faramawy, Nabil; Ameen, Reham; El-Haddad, Khaled; El-Zainy, Medhat

    2013-08-01

    The present work aims at studying the effect of gamma radiation on the hard dental tissues. Eighty adult male albino rats with weights of about 250 g were used. The rats were irradiated at 0.2, 0.5, 1.0, 2.0, 4.0 and 6.0 Gy whole-body gamma doses. The effects on hard dental tissue samples were investigated after 48 h in histological and ground sections using light microscopy. Areas of acid phosphatase activity were detected using tartrate-resistant acid phosphatase (TRAP) stains. Observation of histological sections revealed disturbance in predentin thickness and odontoblastic layer as the irradiation dose increased. In cementum, widened cementocytes lacunae were occasionally detected even with low irradiated doses. On the other hand, relatively homogenous enamel was detected with darkened areas in enamel surface at doses over than 0.5 Gy. TRAP-positive cells were detected on the surface of the dentin of irradiated groups as well as cementum surface. Minimal detectable changes were observed in ground sections.

  12. Photocatalytic degradation of model textile dyes in wastewater using ZnO as semiconductor catalyst.

    PubMed

    Chakrabarti, Sampa; Dutta, Binay K

    2004-08-30

    Semiconductor photocatalysis often leads to partial or complete mineralization of organic pollutants. Upon irradiation with UV/visible light, semiconductors catalyze redox reactions in presence of air/O2 and water. Here, the potential of a common semiconductor, ZnO, has been explored as an effective catalyst for the photodegradation of two model dyes: Methylene Blue and Eosin Y. A 16 W lamp was the source of UV-radiation in a batch reactor. The effects of process parameters like, catalyst loading, initial dye concentration, airflow rate, UV-radiation intensity, and pH on the extent of photo degradation have been investigated. Substantial reduction of COD, besides removal of colour, was also achieved. A rate equation for the degradation based on Langmuir-Hinshelwood model has been proposed.

  13. Efficient light emission from inorganic and organic semiconductor hybrid structures by energy-level tuning

    PubMed Central

    Schlesinger, R.; Bianchi, F.; Blumstengel, S.; Christodoulou, C.; Ovsyannikov, R.; Kobin, B.; Moudgil, K.; Barlow, S.; Hecht, S.; Marder, S.R.; Henneberger, F.; Koch, N.

    2015-01-01

    The fundamental limits of inorganic semiconductors for light emitting applications, such as holographic displays, biomedical imaging and ultrafast data processing and communication, might be overcome by hybridization with their organic counterparts, which feature enhanced frequency response and colour range. Innovative hybrid inorganic/organic structures exploit efficient electrical injection and high excitation density of inorganic semiconductors and subsequent energy transfer to the organic semiconductor, provided that the radiative emission yield is high. An inherent obstacle to that end is the unfavourable energy level offset at hybrid inorganic/organic structures, which rather facilitates charge transfer that quenches light emission. Here, we introduce a technologically relevant method to optimize the hybrid structure's energy levels, here comprising ZnO and a tailored ladder-type oligophenylene. The ZnO work function is substantially lowered with an organometallic donor monolayer, aligning the frontier levels of the inorganic and organic semiconductors. This increases the hybrid structure's radiative emission yield sevenfold, validating the relevance of our approach. PMID:25872919

  14. Efficient light emission from inorganic and organic semiconductor hybrid structures by energy-level tuning.

    PubMed

    Schlesinger, R; Bianchi, F; Blumstengel, S; Christodoulou, C; Ovsyannikov, R; Kobin, B; Moudgil, K; Barlow, S; Hecht, S; Marder, S R; Henneberger, F; Koch, N

    2015-04-15

    The fundamental limits of inorganic semiconductors for light emitting applications, such as holographic displays, biomedical imaging and ultrafast data processing and communication, might be overcome by hybridization with their organic counterparts, which feature enhanced frequency response and colour range. Innovative hybrid inorganic/organic structures exploit efficient electrical injection and high excitation density of inorganic semiconductors and subsequent energy transfer to the organic semiconductor, provided that the radiative emission yield is high. An inherent obstacle to that end is the unfavourable energy level offset at hybrid inorganic/organic structures, which rather facilitates charge transfer that quenches light emission. Here, we introduce a technologically relevant method to optimize the hybrid structure's energy levels, here comprising ZnO and a tailored ladder-type oligophenylene. The ZnO work function is substantially lowered with an organometallic donor monolayer, aligning the frontier levels of the inorganic and organic semiconductors. This increases the hybrid structure's radiative emission yield sevenfold, validating the relevance of our approach.

  15. Radiation-Hardened Solid-State Drive

    NASA Technical Reports Server (NTRS)

    Sheldon, Douglas J.

    2010-01-01

    A method is provided for a radiationhardened (rad-hard) solid-state drive for space mission memory applications by combining rad-hard and commercial off-the-shelf (COTS) non-volatile memories (NVMs) into a hybrid architecture. The architecture is controlled by a rad-hard ASIC (application specific integrated circuit) or a FPGA (field programmable gate array). Specific error handling and data management protocols are developed for use in a rad-hard environment. The rad-hard memories are smaller in overall memory density, but are used to control and manage radiation-induced errors in the main, and much larger density, non-rad-hard COTS memory devices. Small amounts of rad-hard memory are used as error buffers and temporary caches for radiation-induced errors in the large COTS memories. The rad-hard ASIC/FPGA implements a variety of error-handling protocols to manage these radiation-induced errors. The large COTS memory is triplicated for protection, and CRC-based counters are calculated for sub-areas in each COTS NVM array. These counters are stored in the rad-hard non-volatile memory. Through monitoring, rewriting, regeneration, triplication, and long-term storage, radiation-induced errors in the large NV memory are managed. The rad-hard ASIC/FPGA also interfaces with the external computer buses.

  16. Sustainably Sourced, Thermally Resistant, Radiation Hard Biopolymer

    NASA Technical Reports Server (NTRS)

    Pugel, Diane

    2011-01-01

    This material represents a breakthrough in the production, manufacturing, and application of thermal protection system (TPS) materials and radiation shielding, as this represents the first effort to develop a non-metallic, non-ceramic, biomaterial-based, sustainable TPS with the capability to also act as radiation shielding. Until now, the standing philosophy for radiation shielding involved carrying the shielding at liftoff or utilizing onboard water sources. This shielding material could be grown onboard and applied as needed prior to different radiation landscapes (commonly seen during missions involving gravitational assists). The material is a bioplastic material. Bioplastics are any combination of a biopolymer and a plasticizer. In this case, the biopolymer is a starch-based material and a commonly accessible plasticizer. Starch molecules are composed of two major polymers: amylase and amylopectin. The biopolymer phenolic compounds are common to the ablative thermal protection system family of materials. With similar constituents come similar chemical ablation processes, with the potential to have comparable, if not better, ablation characteristics. It can also be used as a flame-resistant barrier for commercial applications in buildings, homes, cars, and heater firewall material. The biopolymer is observed to undergo chemical transformations (oxidative and structural degradation) at radiation doses that are 1,000 times the maximum dose of an unmanned mission (10-25 Mrad), indicating that it would be a viable candidate for robust radiation shielding. As a comparison, the total integrated radiation dose for a three-year manned mission to Mars is 0.1 krad, far below the radiation limit at which starch molecules degrade. For electron radiation, the biopolymer starches show minimal deterioration when exposed to energies greater than 180 keV. This flame-resistant, thermal-insulating material is non-hazardous and may be sustainably sourced. It poses no hazardous

  17. Semiconductor with protective surface coating and method of manufacture thereof. [Patent application

    DOEpatents

    Hansen, W.L.; Haller, E.E.

    1980-09-19

    Passivation of predominantly crystalline semiconductor devices is provided for by a surface coating of sputtered hydrogenated amorphous semiconductor material. Passivation of a radiation detector germanium diode, for example, is realized by sputtering a coating of amorphous germanium onto the etched and quenched diode surface in a low pressure atmosphere of hydrogen and argon. Unlike prior germanium diode semiconductor devices, which must be maintained in vacuum at cryogenic temperatures to avoid deterioration, a diode processed in the described manner may be stored in air at room temperature or otherwise exposed to a variety of environmental conditions. The coating compensates for pre-existing undesirable surface states as well as protecting the semiconductor device against future impregnation with impurities.

  18. Cases series of malignant lymphohematopoietic disorder in korean semiconductor industry.

    PubMed

    Kim, Eun-A; Lee, Hye-Eun; Ryu, Hyung-Woo; Park, Seung-Hyun; Kang, Seong-Kyu

    2011-06-01

    Seven cases of malignant lymphohematopoietic (LHP) disorder were claimed to have developed from occupational exposure at two plants of a semiconductor company from 2007 to 2010. This study evaluated the possibility of exposure to carcinogenic agents for the cases. Clinical courses were reviewed with assessing possible exposure to carcinogenic agents related to LHP cancers. Chemicals used at six major semiconductor companies in Korea were reviewed. Airborne monitoring for chemicals, including benzene, was conducted and the ionizing radiation dose was measured from 2008 to 2010. The latency of seven cases (five leukemiae, a Non-Hodgkin's lymphoma, and an aplastic anemia) ranged from 16 months to 15 years and 5 months. Most chemical measurements were at levels of less than 10% of the Korean Occupational Exposure Limit value. No carcinogens related to LHP cancers were used or detected. Complete-shielded radiation-generating devices were used, but the ionizing radiation doses were 0.20-0.22 uSv/hr (background level: 0.21 µSv/hr). Airborne benzene was detected at 0.31 ppb when the detection limit was lowered as low as possible. Ethylene oxide and formaldehyde were not found in the cases' processes, while these two were determined to be among the 263 chemicals in the list that was used at the six semiconductor companies at levels lower than 0.1%. Exposures occurring before 2002 could not be assessed because of the lack of information. Considering the possibility of exposure to carcinogenic agents, we could not find any convincing evidence for occupational exposure in all investigated cases. However, further study is needed because the semiconductor industry is a newly developing one.

  19. Cases Series of Malignant Lymphohematopoietic Disorder in Korean Semiconductor Industry

    PubMed Central

    Lee, Hye-Eun; Ryu, Hyung-Woo; Park, Seung-Hyun; Kang, Seong-Kyu

    2011-01-01

    Objectives Seven cases of malignant lymphohematopoietic (LHP) disorder were claimed to have developed from occupational exposure at two plants of a semiconductor company from 2007 to 2010. This study evaluated the possibility of exposure to carcinogenic agents for the cases. Methods Clinical courses were reviewed with assessing possible exposure to carcinogenic agents related to LHP cancers. Chemicals used at six major semiconductor companies in Korea were reviewed. Airborne monitoring for chemicals, including benzene, was conducted and the ionizing radiation dose was measured from 2008 to 2010. Results The latency of seven cases (five leukemiae, a Non-Hodgkin's lymphoma, and an aplastic anemia) ranged from 16 months to 15 years and 5 months. Most chemical measurements were at levels of less than 10% of the Korean Occupational Exposure Limit value. No carcinogens related to LHP cancers were used or detected. Complete-shielded radiation-generating devices were used, but the ionizing radiation doses were 0.20-0.22 uSv/hr (background level: 0.21 µSv/hr). Airborne benzene was detected at 0.31 ppb when the detection limit was lowered as low as possible. Ethylene oxide and formaldehyde were not found in the cases' processes, while these two were determined to be among the 263 chemicals in the list that was used at the six semiconductor companies at levels lower than 0.1%. Exposures occurring before 2002 could not be assessed because of the lack of information. Conclusion Considering the possibility of exposure to carcinogenic agents, we could not find any convincing evidence for occupational exposure in all investigated cases. However, further study is needed because the semiconductor industry is a newly developing one. PMID:22953195

  20. Quantized conductance doubling and hard gap in a two-dimensional semiconductor–superconductor heterostructure

    PubMed Central

    Kjaergaard, M.; Nichele, F.; Suominen, H. J.; Nowak, M. P.; Wimmer, M.; Akhmerov, A. R.; Folk, J. A.; Flensberg, K.; Shabani, J.; Palmstrøm, C. J.; Marcus, C. M.

    2016-01-01

    Coupling a two-dimensional (2D) semiconductor heterostructure to a superconductor opens new research and technology opportunities, including fundamental problems in mesoscopic superconductivity, scalable superconducting electronics, and new topological states of matter. One route towards topological matter is by coupling a 2D electron gas with strong spin–orbit interaction to an s-wave superconductor. Previous efforts along these lines have been adversely affected by interface disorder and unstable gating. Here we show measurements on a gateable InGaAs/InAs 2DEG with patterned epitaxial Al, yielding devices with atomically pristine interfaces between semiconductor and superconductor. Using surface gates to form a quantum point contact (QPC), we find a hard superconducting gap in the tunnelling regime. When the QPC is in the open regime, we observe a first conductance plateau at 4e2/h, consistent with theory. The hard-gap semiconductor–superconductor system demonstrated here is amenable to top-down processing and provides a new avenue towards low-dissipation electronics and topological quantum systems. PMID:27682268

  1. Irradiate-anneal screening of total dose effects in semiconductor devices. [radiation hardening of spacecraft components of Mariner spacecraft

    NASA Technical Reports Server (NTRS)

    Stanley, A. G.; Price, W. E.

    1976-01-01

    An extensive investigation of irradiate-anneal (IRAN) screening against total dose radiation effects was carried out as part of a program to harden the Mariner Jupiter/Saturn 1977 (MJS'77) spacecraft to survive the Jupiter radiation belts. The method consists of irradiating semiconductor devices with Cobalt-60 to a suitable total dose under representative bias conditions and of separating the parts in the undesired tail of the distribution from the bulk of the parts by means of a predetermined acceptance limit. The acceptable devices are then restored close to their preirradiation condition by annealing them at an elevated temperature. IRAN was used when lot screen methods were impracticable due to lack of time, and when members of a lot showed a diversity of radiation response. The feasibility of the technique was determined by testing of a number of types of linear bipolar integrated circuits, analog switches, n-channel JFETS and bipolar transistors. Based on the results of these experiments a number of device types were selected for IRAN of flight parts in the MJS'77 spacecraft systems. The part types, screening doses, acceptance criteria, number of parts tested and rejected as well as the program steps are detailed.

  2. Method for altering the luminescence of a semiconductor

    DOEpatents

    Barbour, J. Charles; Dimos, Duane B.

    1999-01-01

    A method is described for altering the luminescence of a light emitting semiconductor (LES) device. In particular, a method is described whereby a silicon LES device can be selectively irradiated with a radiation source effective for altering the intensity of luminescence of the irradiated region.

  3. Electronic Characterization of Au/DNA/ITO Metal-Semiconductor-Metal Diode and Its Application as a Radiation Sensor.

    PubMed

    Al-Ta'ii, Hassan Maktuff Jaber; Periasamy, Vengadesh; Amin, Yusoff Mohd

    2016-01-01

    Deoxyribonucleic acid or DNA molecules expressed as double-stranded (DSS) negatively charged polymer plays a significant role in electronic states of metal/silicon semiconductor structures. Electrical parameters of an Au/DNA/ITO device prepared using self-assembly method was studied by using current-voltage (I-V) characteristic measurements under alpha bombardment at room temperature. The results were analyzed using conventional thermionic emission model, Cheung and Cheung's method and Norde's technique to estimate the barrier height, ideality factor, series resistance and Richardson constant of the Au/DNA/ITO structure. Besides demonstrating a strongly rectifying (diode) characteristic, it was also observed that orderly fluctuations occur in various electrical parameters of the Schottky structure. Increasing alpha radiation effectively influences the series resistance, while the barrier height, ideality factor and interface state density parameters respond linearly. Barrier height determined from I-V measurements were calculated at 0.7284 eV for non-radiated, increasing to about 0.7883 eV in 0.036 Gy showing an increase for all doses. We also demonstrate the hypersensitivity phenomena effect by studying the relationship between the series resistance for the three methods, the ideality factor and low-dose radiation. Based on the results, sensitive alpha particle detectors can be realized using Au/DNA/ITO Schottky junction sensor.

  4. Electronic Characterization of Au/DNA/ITO Metal-Semiconductor-Metal Diode and Its Application as a Radiation Sensor

    PubMed Central

    Al-Ta’ii, Hassan Maktuff Jaber; Periasamy, Vengadesh; Amin, Yusoff Mohd

    2016-01-01

    Deoxyribonucleic acid or DNA molecules expressed as double-stranded (DSS) negatively charged polymer plays a significant role in electronic states of metal/silicon semiconductor structures. Electrical parameters of an Au/DNA/ITO device prepared using self-assembly method was studied by using current–voltage (I-V) characteristic measurements under alpha bombardment at room temperature. The results were analyzed using conventional thermionic emission model, Cheung and Cheung’s method and Norde’s technique to estimate the barrier height, ideality factor, series resistance and Richardson constant of the Au/DNA/ITO structure. Besides demonstrating a strongly rectifying (diode) characteristic, it was also observed that orderly fluctuations occur in various electrical parameters of the Schottky structure. Increasing alpha radiation effectively influences the series resistance, while the barrier height, ideality factor and interface state density parameters respond linearly. Barrier height determined from I–V measurements were calculated at 0.7284 eV for non-radiated, increasing to about 0.7883 eV in 0.036 Gy showing an increase for all doses. We also demonstrate the hypersensitivity phenomena effect by studying the relationship between the series resistance for the three methods, the ideality factor and low-dose radiation. Based on the results, sensitive alpha particle detectors can be realized using Au/DNA/ITO Schottky junction sensor. PMID:26799703

  5. Radiation evaluation study of LSI RAM technologies

    NASA Astrophysics Data System (ADS)

    Dinger, G. L.; Knoll, M. G.

    1980-01-01

    Five commercial LSI static random access memory technologies having a 1 kilobit capacity were radiation characterized. Arrays from the transistor-transistor-logic (TTL), Schottky TTL, n-channel metal oxide semiconductor, complementary metal oxide semiconductor (CMOS), and CMOS/silicon on sapphire families were evaluated. Radiation failure thresholds for gamma doserate logic upset, total gamma dose survivability, and neutron fluence survivability were determined. A brief analysis of the radiation failure mechanism for each of the logic families tested is included.

  6. Microelectronics used for Semiconductor Imaging Detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Heijne, Erik H. M.

    Semiconductor crystal technology, microelectronics developments and nuclear particle detection have been in a relation of symbiosis, all the way from the beginning. The increase of complexity in electronics chips can now be applied to obtain much more information on the incident nuclear radiation. Some basic technologies are described, in order to acquire insight in possibilities and limitations for the most recent detectors.

  7. Semiconductors Under Ion Radiation: Ultrafast Electron-Ion Dynamics in Perfect Crystals and the Effect of Defects

    NASA Astrophysics Data System (ADS)

    Lee, Cheng-Wei; Schleife, André

    Stability and safety issues have been challenging difficulties for materials and devices under radiation such as solar panels in outer space. On the other hand, radiation can be utilized to modify materials and increase their performance via focused-ion beam patterning at nano-scale. In order to grasp the underlying processes, further understanding of the radiation-material and radiation-defect interactions is required and inevitably involves the electron-ion dynamics that was traditionally hard to capture. By applying Ehrenfest dynamics based on time-dependent density functional theory, we have been able to perform real-time simulation of electron-ion dynamics in MgO and InP/GaP. By simulating a high-energy proton penetrating the material, the energy gain of electronic system can be interpreted as electronic stopping power and the result is compared to existing data. We also study electronic stopping in the vicinity of defects: for both oxygen vacancy in MgO and interface of InP/GaP superlattice, electronic stopping shows strong dependence on the velocity of the proton. To study the energy transfer from electronic system to lattice, simulations of about 100 femto-seconds are performed and we analyze the difference between Ehrenfest and Born-Oppenheimer molecular dynamics.

  8. Method for altering the luminescence of a semiconductor

    DOEpatents

    Barbour, J.C.; Dimos, D.B.

    1999-01-12

    A method is described for altering the luminescence of a light emitting semiconductor (LES) device. In particular, a method is described whereby a silicon LES device can be selectively irradiated with a radiation source effective for altering the intensity of luminescence of the irradiated region. 4 figs.

  9. Expected radiation damage of reverse-type APDs for the Astro-H mission

    NASA Astrophysics Data System (ADS)

    Kataoka, J.; Saito, T.; Yoshino, M.; Mizoma, H.; Nakamori, T.; Yatsu, Y.; Ishikawa, Y.; Matsunaga, Y.; Tajima, H.; Kokubun, M.; Edwards, P. G.

    2012-06-01

    Scheduled for launch in 2014, Astro-H is the sixth Japanese X-ray astronomy satellite mission. More than 60 silicon avalanche photodiodes (Si-APDs; hereafter APDs) will be used to read out BGO scintillators, which are implemented to generate a veto signal to reduce background contamination for the hard X-ray imager (HXI) and a soft gamma-ray detector (SGD). To date, however, APDs have rarely been used in space experiments. Moreover, strict environmental tests are necessary to guarantee APD performance for missions expected to extend beyond five years. The radiation hardness of APDs, as for most semiconductors, is particularly crucial, since radiation in the space environment is severe. In this paper, we present the results of radiation tests conducted on reverse-type APDs (provided by Hamamatsu Photonics) irradiated by gamma rays (60Co) and 150 MeV protons. We show that, even under the same 100 Gy dose, high energy protons can cause displacement (bulk) damage in the depletion region and possibly change the activation energy, whereas gamma-ray irradiation is less prone to cause damage, because ionization damage dominates only the surface region. We also present quantitative guidance on how to estimate APD noise deterioration over a range of temperatures and radiation doses. As a practical example, we discuss the expected degradation of the BGO energy threshold for the generation of veto signals, following several years of Astro-H operation in Low Earth Orbit (LEO), and directly compare it to experimental results obtained using a small BGO crystal.

  10. [100] or [110] aligned, semiconductor-based, large-area, flexible, electronic devices

    DOEpatents

    Goyal, Amit

    2015-03-24

    Novel articles and methods to fabricate the same resulting in flexible, large-area, [100] or [110] textured, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  11. Nanostructure-directed physisorption vs chemisorption at semiconductor interfaces: the inverse of the HSAB concept.

    PubMed

    Gole, James L; Ozdemir, Serdar

    2010-08-23

    A concept, complementary to that of hard and soft acid-base interactions (HSAB-dominant chemisorption) and consistent with dominant physisorption to a semiconductor interface, is presented. We create a matrix of sensitivities and interactions with several basic gases. The concept, based on the reversible interaction of hard-acid surfaces with soft bases, hard-base surfaces with soft acids, or vice versa, corresponds 1) to the inverse of the HSAB concept and 2) to the selection of a combination of semiconductor interface and analyte materials, which can be used to direct a physisorbed vs chemisorbed interaction. The technology, implemented on nanopore coated porous silicon micropores, results in the coupling of acid-base chemistry with the depletion or enhancement of majority carriers in an extrinsic semiconductor. Using the inverse-HSAB (IHSAB) concept, significant and predictable changes in interface sensitivity for a variety of gases can be implemented. Nanostructured metal oxide particle depositions provide selectivity and complement a highly efficient electrical contact to a porous silicon nanopore covered microporous interface. The application of small quantities (much less than a monolayer) of nanostructured metals, metal oxides, and catalysts which focus the physisorbtive and chemisorbtive interactions of the interface, can be made to create a range of notably higher sensitivities for reversible physisorption. This is exemplified by an approach to reversible, sensitive, and selective interface responses. Nanostructured metal oxides developed from electroless gold (Au(x)O), tin (SnO(2)), copper (Cu(x)O), and nickel (NiO) depositions, nanoalumina, and nanotitania are used to demonstrate the IHSAB concept and provide for the detection of gases, including NH(3), PH(3), CO, NO, and H(2)S, in an array-based format to the sub-ppm level.

  12. Photodiode radiation hardness, lyman-alpha emitting galaxies and photon detection in liquid argon neutrino detectors

    NASA Astrophysics Data System (ADS)

    Baptista, Brian

    2013-12-01

    My dissertation is comprised of three projects: 1) studies of Lyman-alpha Emitting galaxies (LAEs), 2) radiation hardness studies of InGaAs photodiodes (PDs), and 3) scintillation photon detection in liquid argon (LAr) neutrino detectors. I began work on the project that has now become WFIRST, developing a science case that would use WFIRST after launch for the observation of LAEs. The radiation hardness of PDs was as an effort to support the WFIRST calibration team. When WFIRST was significantly delayed, I joined an R&D effort that applied my skills to work on photon detection in LAr neutrino detectors. I report results on a broadband selection method developed to detect high equivalent width (EW) LAEs. Using photometry from the CFHT-Legacy Survey Deep 2 and 3 fields, I have spectroscopically confirmed 63 z=2.5-3.5 LAEs using the WIYN/Hydra spectrograph. Using UV continuum-fitting techniques I computed properties such as EWs, internal reddening and star formation rates. 62 of my LAEs show evidence to be normal dust-free LAEs. Second, I present an investigation into the effects of ionizing proton radiation on commercial off-the-shelf InGaAs PDs. I developed a monochromator-based test apparatus that utilized NIST-calibrated reference PDs. I tested the PDs for changes to their dark current, relative responsivity as a function of wavelength, and absolute responsivity. I irradiated the test PDs using 30, 52, and 98 MeV protons at the IU Cyclotron Facility. I found the InGaAs PDs showed increased dark current as the fluence increased with no evidence of broadband response degradation at the fluences expected at an L2 orbit and a 10-year mission lifetime. Finally, I detail my efforts on technology development of both optical detector technologies and waveshifting light guide construction for LAr vacuum UV scintillation light. Cryogenic neutrino detectors use photon detection for both accelerator based science and for SNe neutrino detection and proton decay. I have

  13. Theory of raman scattering from molecules adsorbed at semiconductor surfaces

    NASA Astrophysics Data System (ADS)

    Ueba, H.

    1983-09-01

    A theory is presented to calculate the Raman polarizability of an adsorbed molecule at a semiconductor surface, where the electronic excitation in the molecular site interacts with excitons (elementary excitations in the semiconductor) through non-radiative energy transfer between them, in an intermediate state in the Raman scattering process. The Raman polarizability thus calculated is found to exhibit a peak at the energy corresponding to a resonant excitation of excitons, thereby suggesting the possibility of surface enhanced Raman scattering on semiconductor surfaces. The mechanism studied here can also give an explanation of a recent observation of the Raman excitation profiles of p-NDMA and p-DMAAB adsorbed on ZnO or TiO 2, where those profiles were best described by assuming a resonant intermediate state of the exciton transition in the semiconductors. It is also demonstrated that in addition to vibrational Raman scattering, excitonic Raman scattering of adsorbed molecules will occur in the coupled molecule-semiconductor system, where the molecular returns to its ground electronic state by leaving an exciton in the semiconductor. A spectrum of the excitonic Raman scattering is expected to appear in the background of the vibrational Raman band and to be characterized by the electronic structure of excitons. A desirable experiment is suggested for an examination of the theory.

  14. Semiconductor Radiation Detectors: Basic principles and some uses of a recent tool that has revolutionized nuclear physics are described.

    PubMed

    Goulding, F S; Stone, Y

    1970-10-16

    The past decade has seen the rapid development and exploitation of one of the most significant tools of nuclear physics, the semiconductor radiation detector. Applications of the device to the analysis of materials promises to be one of the major contributions of nuclear research to technology, and may even assist in some aspects of our environmental problems. In parallel with the development of these applications, further developments in detectors for nuclear research are taking place: the use of very thin detectors for heavyion identification, position-sensitive detectors for nuclear-reaction studies, and very pure germanium for making more satisfactory detectors for many applications suggest major future contributions to physics.

  15. The variation in surface morphology and hardness of human deciduous teeth samples after laser irradiation

    NASA Astrophysics Data System (ADS)

    Khalid, Arooj; Bashir, Shazia; Akram, Mahreen; Salman Ahmed, Qazi

    2017-11-01

    The variation in surface morphology and hardness of human deciduous teeth samples has been investigated after laser irradiation at different wavelengths and energies. Nd:YAG was employed as a source of irradiation for IR (1064 nm) and visible (532 nm) radiation, whereas an excimer laser was used as the source of UV (248 nm) radiation. Scanning electron microscope (SEM) analysis was carried out to reveal the surface morphological evolution of teeth samples. Vickers microhardness tester was employed to investigate the modifications in the hardness of the laser-treated samples. It is observed from SEM analysis that IR wavelength is responsible for ablation of collagen matrix and intertubular dentine. For visible radiation, the ablation of collagen along with hydroxypatite is observed. With UV radiation, the ablation of peritubular dentine is dominant and is responsible for the sealing of tubules. The decrease in hardness at lower energy for both wavelengths is due to the evaporation of carbon content. With increasing energy, evaporation of water along with carbon content, and resolidification and re-organization of inorganic content causes the increase in hardness of the treated dentine. SEM as well as microhardness analyses reveal that laser wavelengths and energy of laser radiation significantly influence the surface morphology and hardness of samples.

  16. Methods of measurement for semiconductor materials, process control, and devices

    NASA Technical Reports Server (NTRS)

    Bullis, W. M. (Editor)

    1972-01-01

    Activities directed toward the development of methods of measurement for semiconductor materials, process control, and devices are described. Accomplishments include the determination of the reasons for differences in measurements of transistor delay time, identification of an energy level model for gold-doped silicon, and the finding of evidence that it does not appear to be necessary for an ultrasonic bonding tool to grip the wire and move it across the substrate metallization to make the bond. Work is continuing on measurement of resistivity of semiconductor crystals; study of gold-doped silicon; development of the infrared response technique; evaluation of wire bonds and die attachment; measurement of thermal properties of semiconductor devices, delay time, and related carrier transport properties in junction devices, and noise properties of microwave diodes; and characterization of silicon nuclear radiation detectors.

  17. Semiconductor-based, large-area, flexible, electronic devices on {110}<100> oriented substrates

    DOEpatents

    Goyal, Amit

    2014-08-05

    Novel articles and methods to fabricate the same resulting in flexible, oriented, semiconductor-based, electronic devices on {110}<100> textured substrates are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  18. Radiation Hard Bandpass Filters for Mid- to Far-IR Planetary Instruments

    NASA Technical Reports Server (NTRS)

    Brown, Ari D.; Aslam, Shahid; Chervenack, James A.; Huang, Wei-Chung; Merrell, Willie C.; Quijada, Manuel; Steptoe-Jackson, Rosalind; Wollack, Edward J.

    2012-01-01

    We present a novel method to fabricate compact metal mesh bandpass filters for use in mid- to far-infrared planetary instruments operating in the 20-600 micron wavelength spectral regime. Our target applications include thermal mapping instruments on ESA's JUICE as well as on a de-scoped JEO. These filters are novel because they are compact, customizable, free-standing copper mesh resonant bandpass filters with micromachined silicon support frames. The filters are well suited for thermal mapping mission to the outer planets and their moons because the filter material is radiation hard. Furthermore, the silicon support frame allows for effective hybridization with sensors made on silicon substrates. Using a Fourier Transform Spectrometer, we have demonstrated high transmittance within the passband as well as good out-of-band rejection [1]. In addition, we have developed a unique method of filter stacking in order to increase the bandwidth and sharpen the roll-off of the filters. This method allows one to reliably control the spacing between filters to within 2 microns. Furthermore, our method allows for reliable control over the relative position and orienta-tion between the shared faces of the filters.

  19. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    NASA Astrophysics Data System (ADS)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  20. Semiconductor sensors

    NASA Technical Reports Server (NTRS)

    Gatos, Harry C. (Inventor); Lagowski, Jacek (Inventor)

    1977-01-01

    A semiconductor sensor adapted to detect with a high degree of sensitivity small magnitudes of a mechanical force, presence of traces of a gas or light. The sensor includes a high energy gap (i.e., .about. 1.0 electron volts) semiconductor wafer. Mechanical force is measured by employing a non-centrosymmetric material for the semiconductor. Distortion of the semiconductor by the force creates a contact potential difference (cpd) at the semiconductor surface, and this cpd is determined to give a measure of the force. When such a semiconductor is subjected to illumination with an energy less than the energy gap of the semiconductors, such illumination also creates a cpd at the surface. Detection of this cpd is employed to sense the illumination itself or, in a variation of the system, to detect a gas. When either a gas or light is to be detected and a crystal of a non-centrosymmetric material is employed, the presence of gas or light, in appropriate circumstances, results in a strain within the crystal which distorts the same and the distortion provides a mechanism for qualitative and quantitative evaluation of the gas or the light, as the case may be.

  1. Hardness assurance testing and radiation hardening by design techniques for silicon-germanium heterojunction bipolar transistors and digital logic circuits

    NASA Astrophysics Data System (ADS)

    Sutton, Akil K.

    Hydrocarbon exploration, global navigation satellite systems, computed tomography, and aircraft avionics are just a few examples of applications that require system operation at an ambient temperature, pressure, or radiation level outside the range covered by military specifications. The electronics employed in these applications are known as "extreme environment electronics." On account of the increased cost resulting from both process modifications and the use of exotic substrate materials, only a handful of semiconductor foundries have specialized in the production of extreme environment electronics. Protection of these electronic systems in an extreme environment may be attained by encapsulating sensitive circuits in a controlled environment, which provides isolation from the hostile ambient, often at a significant cost and performance penalty. In a significant departure from this traditional approach, system designers have begun to use commercial off-the-shelf technology platforms with built in mitigation techniques for extreme environment applications. Such an approach simultaneously leverages the state of the art in technology performance with significant savings in project cost. Silicon-germanium is one such commercial technology platform that demonstrates potential for deployment into extreme environment applications as a result of its excellent performance at cryogenic temperatures, remarkable tolerance to radiation-induced degradation, and monolithic integration with silicon-based manufacturing. In this dissertation the radiation response of silicon-germanium technology is investigated, and novel transistor-level layout-based techniques are implemented to improve the radiation tolerance of HBT digital logic.

  2. B 12P 2: Improved Epitaxial Growth and Evaluation of Alpha Irradiation on its Electrical Transport Properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Frye, Clint D.

    The wide bandgap (3.35 eV) semiconductor icosahedral boron phosphide (B 12P 2) has been reported to self-heal from radiation damage from β particles (electrons) with energies up to 400 keV by demonstrating no lattice damage using transmission electron microscopy. This property could be exploited to create radioisotope batteries–semiconductor devices that directly convert the decay energy from a radioisotope to electricity. Such devices potentially have enormous power densities and decades-long lifetimes. To date, the radiation hardness of B 12P 2 has not been characterized by electrical measurements nor have B 12P 2 radioisotope batteries been realized. Therefore, this study was undertakenmore » to evaluate the radiation hardness of B 12P 2 after improving its epitaxial growth, developing ohmic electrical contacts, and reducing the residual impurities. Subsequently, the effects of radiation from a radioisotope on the electrical transport properties of B 12P 2 were tested.« less

  3. The Hadronic Origin of the Hard Gamma-Ray Spectrum from Blazar 1ES 1101-232

    NASA Astrophysics Data System (ADS)

    Cao, Gang; Wang, Jiancheng

    2014-03-01

    The very hard γ-ray spectrum from distant blazars challenges the traditional synchrotron self-Compton (SSC) model, which may indicate that there is a contribution from an additional high-energy component beyond the SSC emission. In this paper, we study the possible origin of the hard γ-ray spectrum from distant blazars. We develop a model to explain the hard γ-ray spectrum from blazar 1ES 1101-232. In the model, the optical and X-ray radiation would come from the synchrotron radiation of primary electrons and secondary pairs and the GeV emission would be produced by the SSC process, however, the hard γ-ray spectrum would originate from the decay of neutral pion produced through proton-photon interactions with the synchrotron radiation photons within the jet. Our model can explain the observed spectral energy distribution of 1ES 1101-232 well, especially the very hard γ-ray spectrum. However, our model requires a very large proton power to efficiently produce the γ-ray through proton-photon interactions.

  4. Electronic structure of the dilute magnetic semiconductor G a1 -xM nxP from hard x-ray photoelectron spectroscopy and angle-resolved photoemission

    NASA Astrophysics Data System (ADS)

    Keqi, A.; Gehlmann, M.; Conti, G.; Nemšák, S.; Rattanachata, A.; Minár, J.; Plucinski, L.; Rault, J. E.; Rueff, J. P.; Scarpulla, M.; Hategan, M.; Pálsson, G. K.; Conlon, C.; Eiteneer, D.; Saw, A. Y.; Gray, A. X.; Kobayashi, K.; Ueda, S.; Dubon, O. D.; Schneider, C. M.; Fadley, C. S.

    2018-04-01

    We have investigated the electronic structure of the dilute magnetic semiconductor (DMS) G a0.98M n0.02P and compared it to that of an undoped GaP reference sample, using hard x-ray photoelectron spectroscopy (HXPS) and hard x-ray angle-resolved photoemission spectroscopy (HARPES) at energies of about 3 keV. We present experimental data, as well as theoretical calculations, to understand the role of the Mn dopant in the emergence of ferromagnetism in this material. Both core-level spectra and angle-resolved or angle-integrated valence spectra are discussed. In particular, the HARPES experimental data are compared to free-electron final-state model calculations and to more accurate one-step photoemission theory. The experimental results show differences between G a0.98M n0.02P and GaP in both angle-resolved and angle-integrated valence spectra. The G a0.98M n0.02P bands are broadened due to the presence of Mn impurities that disturb the long-range translational order of the host GaP crystal. Mn-induced changes of the electronic structure are observed over the entire valence band range, including the presence of a distinct impurity band close to the valence-band maximum of the DMS. These experimental results are in good agreement with the one-step photoemission calculations and a prior HARPES study of G a0.97M n0.03As and GaAs [Gray et al., Nat. Mater. 11, 957 (2012), 10.1038/nmat3450], demonstrating the strong similarity between these two materials. The Mn 2 p and 3 s core-level spectra also reveal an essentially identical state in doping both GaAs and GaP.

  5. Adhesion and interfacial fracture toughness between hard and soft materials

    NASA Astrophysics Data System (ADS)

    Rahbar, Nima; Wolf, Kurt; Orana, Argjenta; Fennimore, Roy; Zong, Zong; Meng, Juan; Papandreou, George; Maryanoff, Cynthia; Soboyejo, Wole

    2008-11-01

    This paper presents the results of a combined experimental and theoretical study of adhesion between hard and soft layers that are relevant to medical devices such as drug-eluting stents and semiconductor applications. Brazil disk specimens were used to measure the interfacial fracture energies between model parylene C and 316L stainless steel over a wide range of mode mixities. The trends in the overall fracture energies are predicted using a combination of adhesion theories and fracture mechanics concepts. The measured interfacial fracture energies are shown to be in good agreement with the predictions.

  6. Recent results on CVD diamond radiation sensors

    NASA Astrophysics Data System (ADS)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  7. Optical activity in chiral stacks of 2D semiconductors

    NASA Astrophysics Data System (ADS)

    Poshakinskiy, Alexander V.; Kazanov, Dmitrii R.; Shubina, Tatiana V.; Tarasenko, Sergey A.

    2018-03-01

    We show that the stacks of two-dimensional semiconductor crystals with the chiral packing exhibit optical activity and circular dichroism. We develop a microscopic theory of these phenomena in the spectral range of exciton transitions that takes into account the spin-dependent hopping of excitons between the layers in the stack and the interlayer coupling of excitons via electromagnetic field. For the stacks of realistic two-dimensional semiconductors such as transition metal dichalcogenides, we calculate the rotation and ellipticity angles of radiation transmitted through such structures. The angles are resonantly enhanced at the frequencies of both bright and dark exciton modes in the stack. We also study the photoluminescence of chiral stacks and show that it is circularly polarized.

  8. Effects of gamma radiation on hard dental tissues of albino rats using scanning electron microscope - Part 1

    NASA Astrophysics Data System (ADS)

    El-Faramawy, Nabil; Ameen, Reham; El-Haddad, Khaled; Maghraby, Ahmed; El-Zainy, Medhat

    2011-12-01

    In the present study, 40 adult male albino rats were used to study the effect of gamma radiation on the hard dental tissues (enamel surface, dentinal tubules and the cementum surface). The rats were irradiated at 0.2, 0.5, 1.0, 2.0, 4.0 and 6.0 Gy gamma doses. The effects of irradiated hard dental tissues samples were investigated using a scanning electron microscope. For doses up to 0.5 Gy, there was no evidence of the existence of cracks on the enamel surface. With 1 Gy irradiation dose, cracks were clearly observed with localized erosive areas. At 2 Gy irradiation dose, the enamel showed morphological alterations as disturbed prismatic and interprismatic areas. An increase in dentinal tubules diameter and a contemporary inter-tubular dentine volume decrease were observed with higher irradiation dose. Concerning cementum, low doses,<0.5 Gy, showed surface irregularities and with increase in the irradiation dose to≥1 Gy, noticeable surface irregularities and erosive areas with decrease in Sharpey's fiber sites were observed. These observations could shed light on the hazardous effects of irradiation fields to the functioning of the human teeth.

  9. Method and apparatus for measuring electromagnetic radiation

    NASA Technical Reports Server (NTRS)

    Been, J. F. (Inventor)

    1973-01-01

    An apparatus and method are described in which the capacitance of a semiconductor junction subjected to an electromagnetic radiation field is utilized to indicate the intensity or strength of the radiation.

  10. Energy resolution in semiconductor gamma radiation detectors using heterojunctions and methods of use and preparation thereof

    DOEpatents

    Nikolic, Rebecca J.; Conway, Adam M.; Nelson, Art J.; Payne, Stephen A.

    2012-09-04

    In one embodiment, a system comprises a semiconductor gamma detector material and a hole blocking layer adjacent the gamma detector material, the hole blocking layer resisting passage of holes therethrough. In another embodiment, a system comprises a semiconductor gamma detector material, and an electron blocking layer adjacent the gamma detector material, the electron blocking layer resisting passage of electrons therethrough, wherein the electron blocking layer comprises undoped HgCdTe. In another embodiment, a method comprises forming a hole blocking layer adjacent a semiconductor gamma detector material, the hole blocking layer resisting passage of holes therethrough. Additional systems and methods are also presented.

  11. Enhanced Low Dose Rate Effects in Bipolar Circuits: A New Hardness Assurance Problem for NASA

    NASA Technical Reports Server (NTRS)

    Johnston, A.; Barnes, C.

    1995-01-01

    Many bipolar integrated circuits are much more susceptible to ionizing radiation at low dose rates than they are at high dose rates typically used for radiation parts testing. Since the low dose rate is equivalent to that seen in space, the standard lab test no longer can be considered conservative and has caused the Air Force to issue an alert. Although a reliable radiation hardness assurance test has not yet been designed, possible mechanisms for low dose rate enhancement and hardness assurance tests are discussed.

  12. Semiconductor laser devices having lateral refractive index tailoring

    DOEpatents

    Ashby, Carol I. H.; Hadley, G. Ronald; Hohimer, John P.; Owyoung, Adelbert

    1990-01-01

    A broad-area semiconductor laser diode includes an active lasing region interposed between an upper and a lower cladding layer, the laser diode further comprising structure for controllably varying a lateral refractive index profile of the diode to substantially compensate for an effect of junction heating during operation. In embodiments disclosed the controlling structure comprises resistive heating strips or non-radiative linear junctions disposed parallel to the active region. Another embodiment discloses a multi-layered upper cladding region selectively disordered by implanted or diffused dopant impurities. Still another embodiment discloses an upper cladding layer of variable thickness that is convex in shape and symmetrically disposed about a central axis of the active region. The teaching of the invention is also shown to be applicable to arrays of semiconductor laser diodes.

  13. Radiation Effects and Hardening Techniques for Spacecraft Microelectronics

    NASA Astrophysics Data System (ADS)

    Gambles, J. W.; Maki, G. K.

    2002-01-01

    The natural radiation from the Van Allen belts, solar flares, and cosmic rays found outside of the protection of the earth's atmosphere can produce deleterious effects on microelectronics used in space systems. Historically civil space agencies and the commercial satellite industry have been able to utilize components produced in special radiation hardened fabrication process foundries that were developed during the 1970s and 1980s under sponsorship of the Departments of Defense (DoD) and Energy (DoE). In the post--cold war world the DoD and DoE push to advance the rad--hard processes has waned. Today the available rad--hard components lag two-plus technology node generations behind state- of-the-art commercial technologies. As a result space craft designers face a large performance gap when trying to utilize available rad--hard components. Compounding the performance gap problems, rad--hard components are becoming increasingly harder to get. Faced with the economic pitfalls associated with low demand versus the ever increasing investment required for integrated circuit manufacturing equipment most sources of rad--hard parts have simply exited this market in recent years, leaving only two domestic US suppliers of digital rad--hard components. This paper summarizes the radiation induced mechanisms that can cause digital microelectronics to fail in space, techniques that can be applied to mitigate these failure mechanisms, and ground based testing used to validate radiation hardness/tolerance. The radiation hardening techniques can be broken down into two classes, Hardness By Process (HBP) and Hardness By Design (HBD). Fortunately many HBD techniques can be applied to commercial fabrication processes providing space craft designer with radiation tolerant Application Specific Integrated Circuits (ASICs) that can bridge the performance gap between the special HBP foundries and the commercial state-of-the-art performance.

  14. Advanced p-MOSFET Ionizing-Radiation Dosimeter

    NASA Technical Reports Server (NTRS)

    Buehler, Martin G.; Blaes, Brent R.

    1994-01-01

    Circuit measures total dose of ionizing radiation in terms of shift in threshold gate voltage of doped-channel metal oxide/semiconductor field-effect transistor (p-MOSFET). Drain current set at temperature-independent point to increase accuracy in determination of radiation dose.

  15. Thallium bromide radiation detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shah, K.S.; Lund, J.C.; Olschner, F.

    1989-02-01

    Radiation detectors have been fabricated from crystals of the semiconductor material thallium bromide (TlBr) and the performance of these detectors as room temperature photon spectrometers has been measured. These detectors exhibit improved energy resolution over previously reported TlBr detectors. These results indicate that TlBr is a very promising radiation detector material.

  16. Fiber optic coupling of a microlens conditioned, stacked semiconductor laser diode array

    DOEpatents

    Beach, Raymond J.; Benett, William J.; Mills, Steven T.

    1997-01-01

    The output radiation from the two-dimensional aperture of a semiconductor laser diode array is efficiently coupled into an optical fiber. The two-dimensional aperture is formed by stacking individual laser diode bars on top of another in a "rack and stack" configuration. Coupling into the fiber is then accomplished using individual microlenses to condition the output radiation of the laser diode bars. A lens that matches the divergence properties and wavefront characteristics of the laser light to the fiber optic is used to focus this conditioned radiation into the fiber.

  17. Fiber optic coupling of a microlens conditioned, stacked semiconductor laser diode array

    DOEpatents

    Beach, R.J.; Benett, W.J.; Mills, S.T.

    1997-04-01

    The output radiation from the two-dimensional aperture of a semiconductor laser diode array is efficiently coupled into an optical fiber. The two-dimensional aperture is formed by stacking individual laser diode bars on top of another in a ``rack and stack`` configuration. Coupling into the fiber is then accomplished using individual microlenses to condition the output radiation of the laser diode bars. A lens that matches the divergence properties and wavefront characteristics of the laser light to the fiber optic is used to focus this conditioned radiation into the fiber. 3 figs.

  18. Activation of molecular catalysts using semiconductor quantum dots

    DOEpatents

    Meyer, Thomas J [Chapel Hill, NC; Sykora, Milan [Los Alamos, NM; Klimov, Victor I [Los Alamos, NM

    2011-10-04

    Photocatalytic materials based on coupling of semiconductor nanocrystalline quantum dots (NQD) and molecular catalysts. These materials have capability to drive or catalyze non-spontaneous chemical reactions in the presence of visible radiation, ultraviolet radiation, or both. The NQD functions in these materials as a light absorber and charge generator. Following light absorption, the NQD activates a molecular catalyst adsorbed on the surface of the NQD via transfer of one or more charges (either electrons or electron-holes) from the NQD to the molecular catalyst. The activated molecular catalyst can then drive a chemical reaction. A photoelectrolytic device that includes such photocatalytic materials is also described.

  19. Visible scintillation photodetector device incorporating chalcopyrite semiconductor crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stowe, Ashley C.; Burger, Arnold

    2017-04-04

    A photodetector device, including: a scintillator material operable for receiving incident radiation and emitting photons in response; a photodetector material coupled to the scintillator material operable for receiving the photons emitted by the scintillator material and generating a current in response, wherein the photodetector material includes a chalcopyrite semiconductor crystal; and a circuit coupled to the photodetector material operable for characterizing the incident radiation based on the current generated by the photodetector material. Optionally, the scintillator material includes a gamma scintillator material and the incident radiation received includes gamma rays. Optionally, the photodetector material is further operable for receiving thermalmore » neutrons and generating a current in response. The circuit is further operable for characterizing the thermal neutrons based on the current generated by the photodetector material.« less

  20. 75 FR 49526 - Freescale Semiconductor, Inc., Technical Information Center, Tempe, AZ; Freescale Semiconductor...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-08-13

    ... Semiconductor, Inc., Technical Information Center, Tempe, AZ; Freescale Semiconductor, Inc., Technical... October 1, 2009, applicable to workers of Freescale Semiconductor, Inc., Technical Information Center..., Massachusetts location of Freescale Semiconductor, Inc., Technical Information Center. The intent of the...

  1. Terahertz Focusing and Polarization Control in Large-Area Bias-Free Semiconductor Emitters

    NASA Astrophysics Data System (ADS)

    Carthy, Joanna L.; Gow, Paul C.; Berry, Sam A.; Mills, Ben; Apostolopoulos, Vasilis

    2018-03-01

    We show that, when large-area multiplex terahertz semiconductor emitters, that work on diffusion currents and Schottky potentials, are illuminated by ultrashort optical pulses they can radiate a directional electromagnetic terahertz pulse which is controlled by the angular spectrum of the incident optical beam. Using the lens that focuses the incident near-infrared pulse, we have demonstrated THz emission focusing in free space, at the same point where the optical radiation would focus. We investigated the beam waist and Gouy phase shift of the THz emission as a function of frequency. We also show that the polarization profile of the emitted THz can be tailored by the metallic patterning on the semiconductor, demonstrating radial polarization when a circular emitter design is used. Our techniques can be used for fast THz beam steering and mode control for efficiently coupling to waveguides without the need for THz lenses or parabolic mirrors.

  2. Macroporous Semiconductors

    PubMed Central

    Föll, Helmut; Leisner, Malte; Cojocaru, Ala; Carstensen, Jürgen

    2010-01-01

    Pores in single crystalline semiconductors come in many forms (e.g., pore sizes from 2 nm to > 10 µm; morphologies from perfect pore crystal to fractal) and exhibit many unique properties directly or as nanocompounds if the pores are filled. The various kinds of pores obtained in semiconductors like Ge, Si, III-V, and II-VI compound semiconductors are systematically reviewed, emphasizing macropores. Essentials of pore formation mechanisms will be discussed, focusing on differences and some open questions but in particular on common properties. Possible applications of porous semiconductors, including for example high explosives, high efficiency electrodes for Li ion batteries, drug delivery systems, solar cells, thermoelectric elements and many novel electronic, optical or sensor devices, will be introduced and discussed.

  3. Inspection of imprint lithography patterns for semiconductor and patterned media

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  4. FOREWORD: Focus on Superconductivity in Semiconductors Focus on Superconductivity in Semiconductors

    NASA Astrophysics Data System (ADS)

    Takano, Yoshihiko

    2008-12-01

    Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm-3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors. This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008), which was held at the National Institute for Materials Science (NIMS), Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM) in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1). The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al) and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al) are discussed, and In2O3 (Makise et al) is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  5. Additional compound semiconductor nanowires for photonics

    NASA Astrophysics Data System (ADS)

    Ishikawa, F.

    2016-02-01

    GaAs related compound semiconductor heterostructures are one of the most developed materials for photonics. Those have realized various photonic devices with high efficiency, e. g., lasers, electro-optical modulators, and solar cells. To extend the functions of the materials system, diluted nitride and bismide has been paid attention over the past decade. They can largely decrease the band gap of the alloys, providing the greater tunability of band gap and strain status, eventually suppressing the non-radiative Auger recombinations. On the other hand, selective oxidation for AlGaAs is a vital technique for vertical surface emitting lasers. That enables precisely controlled oxides in the system, enabling the optical and electrical confinement, heat transfer, and mechanical robustness. We introduce the above functions into GaAs nanowires. GaAs/GaAsN core-shell nanowires showed clear redshift of the emitting wavelength toward infrared regime. Further, the introduction of N elongated the carrier lifetime at room temperature indicating the passivation of non-radiative surface recombinations. GaAs/GaAsBi nanowire shows the redshift with metamorphic surface morphology. Selective and whole oxidations of GaAs/AlGaAs core-shell nanowires produce semiconductor/oxide composite GaAs/AlGaOx and oxide GaOx/AlGaOx core-shell nanowires, respectively. Possibly sourced from nano-particle species, the oxide shell shows white luminescence. Those property should extend the functions of the nanowires for their application to photonics.

  6. Thin film transistors for flexible electronics: contacts, dielectrics and semiconductors.

    PubMed

    Quevedo-Lopez, M A; Wondmagegn, W T; Alshareef, H N; Ramirez-Bon, R; Gnade, B E

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed.

  7. Neutron, gamma ray and post-irradiation thermal annealing effects on power semiconductor switches

    NASA Technical Reports Server (NTRS)

    Schwarze, G. E.; Frasca, A. J.

    1991-01-01

    The effects of neutron and gamma rays on the electrical and switching characteristics of power semiconductor switches must be known and understood by the designer of the power conditioning, control, and transmission subsystem of space nuclear power systems. The SP-100 radiation requirements at 25 m from the nuclear source are a neutron fluence of 10(exp 13) n/sq cm and a gamma dose of 0.5 Mrads. Experimental data showing the effects of neutrons and gamma rays on the performance characteristics of power-type NPN Bipolar Junction Transistors (BJTs), Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs), and Static Induction Transistors (SITs) are presented. These three types of devices were tested at radiation levels which met or exceeded the SP-100 requirements. For the SP-100 radiation requirements, the BJTs were found to be most sensitive to neutrons, the MOSFETs were most sensitive to gamma rays, and the SITs were only slightly sensitive to neutrons. Post-irradiation thermal anneals at 300 K and up to 425 K were done on these devices and the effectiveness of these anneals are also discussed.

  8. Enhancement of radiation tolerance in GaAs/AlGaAs core–shell and InP nanowires

    NASA Astrophysics Data System (ADS)

    Li, Fajun; Xie, Xiaolong; Gao, Qian; Tan, Liying; Zhou, Yanping; Yang, Qingbo; Ma, Jing; Fu, Lan; Tan, Hark Hoe; Jagadish, Chennupati

    2018-06-01

    Radiation effects on semiconductor nanowires (NWs) have attracted the attention of the research community due to their potential applications in space and atomic fields. The effective implementation of NW devices in a radiation environment is a matter of concern. Here, the photoluminescence (PL) and time-resolved PL (TRPL) measurements were performed on both GaAs and InP NWs at room temperature before and after 1 MeV H+ irradiation with fluences ranging from 1 × 1011 to 5 × 1013 p cm‑2. It is found that the degradation of lifetime is size-dependent, and typically the minority carrier lifetime damage coefficient is closely correlated with the material and NW diameter. Compared to GaAs and InP bulk material counterparts, the lifetime damage coefficient of NWs decreases by a factor of about one order of magnitude. After irradiation, GaAs NWs with a smaller diameter show a much lower lifetime damage coefficient while InP NWs show an increase in carrier radiative lifetime. The increased size-dependent radiation hardness is mainly attributed to the defect sink effect and/or the improvement of a room temperature dynamic annealing mechanism of the NWs. The InP NWs also showed higher radiation tolerance than GaAs NWs.

  9. Enhancement of radiation tolerance in GaAs/AlGaAs core-shell and InP nanowires.

    PubMed

    Li, Fajun; Xie, Xiaolong; Gao, Qian; Tan, Liying; Zhou, Yanping; Yang, Qingbo; Ma, Jing; Fu, Lan; Tan, Hark Hoe; Jagadish, Chennupati

    2018-06-01

    Radiation effects on semiconductor nanowires (NWs) have attracted the attention of the research community due to their potential applications in space and atomic fields. The effective implementation of NW devices in a radiation environment is a matter of concern. Here, the photoluminescence (PL) and time-resolved PL (TRPL) measurements were performed on both GaAs and InP NWs at room temperature before and after 1 MeV H + irradiation with fluences ranging from 1 × 10 11 to 5 × 10 13 p cm -2 . It is found that the degradation of lifetime is size-dependent, and typically the minority carrier lifetime damage coefficient is closely correlated with the material and NW diameter. Compared to GaAs and InP bulk material counterparts, the lifetime damage coefficient of NWs decreases by a factor of about one order of magnitude. After irradiation, GaAs NWs with a smaller diameter show a much lower lifetime damage coefficient while InP NWs show an increase in carrier radiative lifetime. The increased size-dependent radiation hardness is mainly attributed to the defect sink effect and/or the improvement of a room temperature dynamic annealing mechanism of the NWs. The InP NWs also showed higher radiation tolerance than GaAs NWs.

  10. Segmented AC-coupled readout from continuous collection electrodes in semiconductor sensors

    DOEpatents

    Sadrozinski, Hartmut F. W.; Seiden, Abraham; Cartiglia, Nicolo

    2017-04-04

    Position sensitive radiation detection is provided using a continuous electrode in a semiconductor radiation detector, as opposed to the conventional use of a segmented electrode. Time constants relating to AC coupling between the continuous electrode and segmented contacts to the electrode are selected to provide position resolution from the resulting configurations. The resulting detectors advantageously have a more uniform electric field than conventional detectors having segmented electrodes, and are expected to have much lower cost of production and of integration with readout electronics.

  11. Amplification and generation of surface plasmon polaritons in a semiconductor film - dielectric structure

    NASA Astrophysics Data System (ADS)

    Abramov, A. S.; Zolotovskii, I. O.; Moiseev, S. G.; Sementsov, D. I.

    2018-01-01

    The peculiarities of propagation and amplification of surface waves of plasmon polariton type in a planar semiconductor film - dielectric structure are considered for the THz frequency region, with allowance for dissipation in a semiconductor. Two spectral regions are found, where the group velocity of surface plasmon polaritons is negative. It is shown that in these regions the structure can be considered as an amplifying waveguide with distributed feedback and a high gain with respect to the reflected and transmitted signals. The possibility of generation of electromagnetic radiation in such structures is established.

  12. Unitary lens semiconductor device

    DOEpatents

    Lear, Kevin L.

    1997-01-01

    A unitary lens semiconductor device and method. The unitary lens semiconductor device is provided with at least one semiconductor layer having a composition varying in the growth direction for unitarily forming one or more lenses in the semiconductor layer. Unitary lens semiconductor devices may be formed as light-processing devices such as microlenses, and as light-active devices such as light-emitting diodes, photodetectors, resonant-cavity light-emitting diodes, vertical-cavity surface-emitting lasers, and resonant cavity photodetectors.

  13. Semiconductor light source with electrically tunable emission wavelength

    DOEpatents

    Belenky, Gregory [Port Jefferson, NY; Bruno, John D [Bowie, MD; Kisin, Mikhail V [Centereach, NY; Luryi, Serge [Setauket, NY; Shterengas, Leon [Centereach, NY; Suchalkin, Sergey [Centereach, NY; Tober, Richard L [Elkridge, MD

    2011-01-25

    A semiconductor light source comprises a substrate, lower and upper claddings, a waveguide region with imbedded active area, and electrical contacts to provide voltage necessary for the wavelength tuning. The active region includes single or several heterojunction periods sandwiched between charge accumulation layers. Each of the active region periods comprises higher and lower affinity semiconductor layers with type-II band alignment. The charge carrier accumulation in the charge accumulation layers results in electric field build-up and leads to the formation of generally triangular electron and hole potential wells in the higher and lower affinity layers. Nonequillibrium carriers can be created in the active region by means of electrical injection or optical pumping. The ground state energy in the triangular wells and the radiation wavelength can be tuned by changing the voltage drop across the active region.

  14. Probing dynamic behavior of electric fields and band diagrams in complex semiconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Turkulets, Yury; Shalish, Ilan

    2018-01-01

    Modern bandgap engineered electronic devices are typically made of multi-semiconductor multi-layer heterostructures that pose a major challenge to silicon-era characterization methods. As a result, contemporary bandgap engineering relies mostly on simulated band structures that are hardly ever verified experimentally. Here, we present a method that experimentally evaluates bandgap, band offsets, and electric fields, in complex multi-semiconductor layered structures, and it does so simultaneously in all the layers. The method uses a modest optical photocurrent spectroscopy setup at ambient conditions. The results are analyzed using a simple model for electro-absorption. As an example, we apply the method to a typical GaN high electron mobility transistor structure. Measurements under various external electric fields allow us to experimentally construct band diagrams, not only at equilibrium but also under any other working conditions of the device. The electric fields are then used to obtain the charge carrier density and mobility in the quantum well as a function of the gate voltage over the entire range of operating conditions of the device. The principles exemplified here may serve as guidelines for the development of methods for simultaneous characterization of all the layers in complex, multi-semiconductor structures.

  15. BNLs Synchrotron-radiation Research Hub for Characterizing Detection Materials and Devices for the NA-22 Community

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Camarda, G. S.; Bolotnikov, A. E.; Cui, Y.

    The goal of this project is to obtain and characterize scintillators, emerging- and commercial-compoundsemiconductor radiation- detection materials and devices provided by vendors and research organizations. The focus of our proposed research is to clarify the role of the deleterious defects and impurities responsible for the detectors' non-uniformity in scintillating crystals, commercial semiconductor radiation-detector materials, and in emerging R&D ones. Some benefits of this project addresses the need for fabricating high-performance scintillators and compound-semiconductor radiation-detectors with the proven potential for large-scale manufacturing. The findings help researchers to resolve the problems of non-uniformities in scintillating crystals, commercial semiconductor radiation-detector materials, and inmore » emerging R&D ones.« less

  16. Unitary lens semiconductor device

    DOEpatents

    Lear, K.L.

    1997-05-27

    A unitary lens semiconductor device and method are disclosed. The unitary lens semiconductor device is provided with at least one semiconductor layer having a composition varying in the growth direction for unitarily forming one or more lenses in the semiconductor layer. Unitary lens semiconductor devices may be formed as light-processing devices such as microlenses, and as light-active devices such as light-emitting diodes, photodetectors, resonant-cavity light-emitting diodes, vertical-cavity surface-emitting lasers, and resonant cavity photodetectors. 9 figs.

  17. Hybrid semiconductor fiber lasers for telecommunications

    NASA Astrophysics Data System (ADS)

    Khalili, Alireza

    2006-12-01

    Highly stable edge emitting semiconductor lasers are of utmost importance in most telecommunications applications where high-speed data transmission sets strict limits on the purity of the laser signal. Unfortunately, most edge emitting semiconductor lasers, unlike gaseous or solid-state laser sources, operate with many closely spaced axial modes, which accounts for the observed instability and large spikes in the output spectrum of such lasers. Consequently, in most telecom applications distributed feedback (DFB) or distributed Bragg reflector (DBR) techniques are used to ensure stability and single-frequency operation, further adding to the cost and complexity of such lasers. Additionally, coupling of the highly elliptical output beam of these lasers to singlemode fibers complicates the packaging procedure and sub-micron alignment of various optical components is often necessary. Utilizing the evanescent coupling between a semiconductor antiresonant reflecting optical waveguide (ARROW) and a side polished fiber, this thesis presents an alternative side-coupled laser module that eliminates the need for the cumbersome multi-component alignment processes of conventional laser packages, and creates an inherent mode selection mechanism that guarantees singlemode radiation into the fiber without any gratings. We have been able to demonstrate the first side-coupled fiber semiconductor laser in this technology, coupling more than 3mW of power at 850nm directly into a 5/125mum singlemode fiber. This mixed-cavity architecture yields a high thermal stability (˜0.06nm/°C), and negligible spectral spikes are observed. Theoretical background and simulation results, as well as several supplementary materials are also presented to further rationalize the experimental data. A side-coupled light-emitter and pre-amplifier are also proposed and discussed. We also study different architectures for attaining higher efficiency, higher output power, and wavelength tunability in such

  18. TOPICAL REVIEW: Semiconductors for terahertz photonics applications

    NASA Astrophysics Data System (ADS)

    Krotkus, Arūnas

    2010-07-01

    Generation and measurement of ultrashort, subpicosecond pulses of electromagnetic radiation with their characteristic Fourier spectra that reach far into terahertz (THz) frequency range has recently become a versatile tool of far-infrared spectroscopy and imaging. This technique, THz time-domain spectroscopy, in addition to a femtosecond pulse laser, requires semiconductor components manufactured from materials with a short photoexcited carrier lifetime, high carrier mobility and large dark resistivity. Here we will review the most important developments in the field of investigation of such materials. The main characteristics of low-temperature-grown or ion-implanted GaAs and semiconducting compounds sensitive in the wavelength ranges around 1 µm and 1.5 µm will be surveyed. The second part of the paper is devoted to the effect of surface emission of THz transients from semiconductors illuminated by femtosecond laser pulses. The main physical mechanisms leading to this emission as well as their manifestation in various crystals will be described.

  19. Radiation detection system

    DOEpatents

    Whited, R.C.

    A system for obtaining improved resolution in relatively thick semiconductor radiation detectors, such as HgI/sub 2/, which exhibit significant hole trapping. Two amplifiers are used: the first measures the charge collected and the second the contribution of the electrons to the charge collected. The outputs of the two amplifiers are utilized to unfold the total charge generated within the detector in response to a radiation event.

  20. Recent advances in biocompatible semiconductor nanocrystals for immunobiological applications.

    PubMed

    Nanda, Sitansu Sekhar; Kim, Min Jik; Kim, Kwangmeyung; Papaefthymiou, Georgia C; Selvan, Subramanian Tamil; Yi, Dong Kee

    2017-11-01

    Quantum confinement in inorganic semiconductor nanocrystals produces brightly luminescent nanoparticles endowed with unique photo-physical properties, such as tunable optical properties. These have found widespread applications in nanotechnology. The ability to render such nanostructures biocompatible, while maintaining their tunable radiation in the visible range of the electromagnetic spectrum, renders them appropriate for bio-applications. Promising in vitro and in vivo diagnostic applications have been demonstrated, such as fluorescence-based detection of biological interactions, single molecule tracking, multiplexing and immunoassaying. In particular, these fluorescent inorganic semiconductor nanocrystals, generally known as quantum dots, have the potential of remarkable immunobiological applications. This review focuses on the current status of biocompatible quantum dots and their applications in immunobiology - immunosensing, immunofluorescent imaging and immunotherapy. Copyright © 2017 Elsevier B.V. All rights reserved.

  1. Photomechanical vibration of thin crystals of polar semiconductors

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.

    1974-01-01

    It was found that thin crystals of polar (non-centrosymmetric) semiconductors constitute a new type of photosensitive system in which incident illumination is converted into mechanical energy: thus, illumination-induced elastic deformation (bending) was observed on thin (00.1) CdS and (111) GaAs crystals; furthermore, by employing chopped light the crystals were excited to their resonant vibration (photomechanical vibration); the dependence of the amplitude of this vibration on the energy of the incident radiation was found to be similar to the dependence of the surface photovoltage on the energy of the incident radiation (surface photovoltage spectrum). The present findings are consistent with a model based on light-induced modulation of the piezoelectric surface stresses.

  2. {100}<100> or 45.degree.-rotated {100}<100>, semiconductor-based, large-area, flexible, electronic devices

    DOEpatents

    Goyal, Amit [Knoxville, TN

    2012-05-15

    Novel articles and methods to fabricate the same resulting in flexible, {100}<100> or 45.degree.-rotated {100}<100> oriented, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  3. Hard X-ray quiescent emission in magnetars via resonant Compton upscattering

    NASA Astrophysics Data System (ADS)

    Baring, M. G.; Wadiasingh, Z.; Gonthier, P. L.; Harding, A. K.

    2017-12-01

    Non-thermal quiescent X-ray emission extending between 10 keV and around 150 keV has been seen in about 10 magnetars by RXTE, INTEGRAL, Suzaku, NuSTAR and Fermi-GBM. For inner magnetospheric models of such hard X-ray signals, inverse Compton scattering is anticipated to be the most efficient process for generating the continuum radiation, because the scattering cross section is resonant at the cyclotron frequency. We present hard X-ray upscattering spectra for uncooled monoenergetic relativistic electrons injected in inner regions of pulsar magnetospheres. These model spectra are integrated over bundles of closed field lines and obtained for different observing perspectives. The spectral turnover energies are critically dependent on the observer viewing angles and electron Lorentz factor. We find that electrons with energies less than around 15 MeV will emit most of their radiation below 250 keV, consistent with the turnovers inferred in magnetar hard X-ray tails. Electrons of higher energy still emit most of the radiation below around 1 MeV, except for quasi-equatorial emission locales for select pulse phases. Our spectral computations use a new state-of-the-art, spin-dependent formalism for the QED Compton scattering cross section in strong magnetic fields.

  4. Hard X-ray and gamma-ray imaging spectroscopy for the next solar maximum

    NASA Technical Reports Server (NTRS)

    Hudson, H. S.; Crannell, C. J.; Dennis, B. R.; Spicer, D. S.; Davis, J. M.; Hurford, G. J.; Lin, R. P.

    1990-01-01

    The objectives and principles are described of a single spectroscopic imaging package that can provide effective imaging in the hard X- and gamma-ray ranges. Called the High-Energy Solar Physics (HESP) mission instrument for solar investigation, the device is based on rotating modulation collimators with germanium semiconductor spectrometers. The instrument is planned to incorporate thick modulation plates, and the range of coverage is discussed. The optics permit the coverage of high-contrast hard X-ray images from small- and medium-sized flares with large signal-to-noise ratios. The detectors allow angular resolution of less than 1 arcsec, time resolution of less than 1 arcsec, and spectral resolution of about 1 keV. The HESP package is considered an effective and important instrument for investigating the high-energy solar events of the near-term future efficiently.

  5. Semiconductor quantum dot scintillation under gamma-ray irradiation.

    PubMed

    Létant, S E; Wang, T-F

    2006-12-01

    We recently demonstrated the ability of semiconductor quantum dots to convert alpha radiation into visible photons. In this letter, we report on the scintillation of quantum dots under gamma irradiation and compare the energy resolution of the 59 keV line of americium-241 obtained with our quantum dot-glass nanocomposite to that of a standard sodium iodide scintillator. A factor 2 improvement is demonstrated experimentally and interpreted theoretically using a combination of energy-loss and photon-transport models.

  6. Radiation hardened microprocessor for small payloads

    NASA Technical Reports Server (NTRS)

    Shah, Ravi

    1993-01-01

    The RH-3000 program is developing a rad-hard space qualified 32-bit MIPS R-3000 RISC processor under the Naval Research Lab sponsorship. In addition, under IR&D Harris is developing RHC-3000 for embedded control applications where low cost and radiation tolerance are primary concerns. The development program leverages heavily from commercial development of the MIPS R-3000. The commercial R-3000 has a large installed user base and several foundry partners are currently producing a wide variety of R-3000 derivative products. One of the MIPS derivative products, the LR33000 from LSI Logic, was used as the basis for the design of the RH-3000 chipset. The RH-3000 chipset consists of three core chips and two support chips. The core chips include the CPU, which is the R-3000 integer unit and the FPA/MD chip pair, which performs the R-3010 floating point functions. The two support whips contain all the support functions required for fault tolerance support, real-time support, memory management, timers, and other functions. The Harris development effort had first passed silicon success in June, 1992 with the first rad-hard 32-bit RH-3000 CPU chip. The CPU device is 30 kgates, has a 508 mil by 503 mil die size and is fabricated at Harris Semiconductor on the rad-hard CMOS Silicon on Sapphire (SOS) process. The CPU device successfully passed tesing against 600,000 test vectors derived directly on the LSI/MIPS test suite and has been operational as a single board computer running C code for the past year. In addition, the RH-3000 program has developed the methodology for converting commercially developed designs utilizing logic synthesis techniques based on a combination of VHDK and schematic data bases.

  7. DFT Studies of Semiconductor and Scintillator Detection Materials

    NASA Astrophysics Data System (ADS)

    Biswas, Koushik

    2013-03-01

    Efficient radiation detection technology is dependent upon the development of new semiconductor and scintillator materials with advanced capabilities. First-principles based approaches can provide vital information about the structural, electrical, optical and defect properties that will help develop new materials. In addition to the predictive power of modern density functional methods, these techniques can be used to establish trends in properties that may lead to identifying new materials with optimum properties. We will discuss the properties of materials that are of current interest both in the field of scintillators and room temperature semiconductor detectors. In case of semiconductors, binary compounds such as TlBr, InI, CdTe and recently developed ternary chalcohalide Tl6SeI4 will be discussed. Tl6SeI4 mixes a halide (TlI) with a chalcogenide (Tl2Se), which results in an intermediate band gap (1.86 eV) between that of TlI (2.75 eV) and Tl2Se (0.6 eV). For scintillators, we will discuss the case of the elpasolite compounds whose rich chemical compositions should enable the fine-tuning of the band gap and band edges to achieve high light yield and fast scintillation response.

  8. Influence of dislocation density on internal quantum efficiency of GaN-based semiconductors

    NASA Astrophysics Data System (ADS)

    Yu, Jiadong; Hao, Zhibiao; Li, Linsen; Wang, Lai; Luo, Yi; Wang, Jian; Sun, Changzheng; Han, Yanjun; Xiong, Bing; Li, Hongtao

    2017-03-01

    By considering the effects of stress fields coming from lattice distortion as well as charge fields coming from line charges at edge dislocation cores on radiative recombination of exciton, a model of carriers' radiative and non-radiative recombination has been established in GaN-based semiconductors with certain dislocation density. Using vector average of the stress fields and the charge fields, the relationship between dislocation density and the internal quantum efficiency (IQE) is deduced. Combined with related experimental results, this relationship is fitted well to the trend of IQEs of bulk GaN changing with screw and edge dislocation density, meanwhile its simplified form is fitted well to the IQEs of AlGaN multiple quantum well LEDs with varied threading dislocation densities but the same light emission wavelength. It is believed that this model, suitable for different epitaxy platforms such as MOCVD and MBE, can be used to predict to what extent the luminous efficiency of GaN-based semiconductors can still maintain when the dislocation density increases, so as to provide a reasonable rule of thumb for optimizing the epitaxial growth of GaN-based devices.

  9. Effects of hard mask etch on final topography of advanced phase shift masks

    NASA Astrophysics Data System (ADS)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  10. Organic semiconductor crystals.

    PubMed

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  11. BRIEF COMMUNICATIONS: Q switching of a resonator by the metal-semiconductor phase transition

    NASA Astrophysics Data System (ADS)

    Bugaev, A. A.; Zakharchenya, Boris P.; Chudnovskiĭ, F. A.

    1981-12-01

    An experimental study was made of Q switching in a resonator by a mirror with a nonlinear reflection coefficient. This mirror was an interference reflecting structure containing a vanadium oxide film capable of undergoing a metal-semiconductor transition. The nonlinearity of the reflection coefficient was due to initiation of this phase transition by laser radiation. A determination was made of the parameters of a giant radiation pulse obtained using such a passive switch with a vanadium oxide film.

  12. Collisional, radiative and total electron interaction in compound semiconductor detectors and solid state nuclear track detectors: effective atomic number and electron density.

    PubMed

    Kurudirek, Murat; Kurudirek, Sinem V

    2015-05-01

    Effective atomic numbers, Zeff and electron densities, Ne are widely used for characterization of interaction processes in radiation related studies. A variety of detectors are employed to detect different types of radiations i.e. photons and charged particles. In the present work, some compound semiconductor detectors (CSCD) and solid state nuclear track detectors (SSNTD) were investigated with respect to the partial as well as total electron interactions. Zeff and Ne of the given detectors were calculated for collisional, radiative and total electron interactions in the kinetic energy region 10keV-1GeV. Maximum values of Zeff and Ne were observed at higher kinetic energies of electrons. Significant variations in Zeff and Ne up to ≈20-25% were noticed for the detectors, GaN, ZnO, Amber and CR-39 for total electron interaction. Moreover, the obtained Zeff and Ne for electrons were compared to those obtained for photons in the entire energy region. Significant variations in Zeff were also noted not only for photons (up to ≈40% for GaN) but also between photons and electrons (up to ≈60% for CR-39) especially at lower energies. Except for the lower energies, Zeff and Ne keep more or less constant values for the given materials. The energy regions where Zeff and Ne keep constant clearly show the availability of using these parameters for characterization of the materials with respect to the radiation interaction processes. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Strong emission of terahertz radiation from nanostructured Ge surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kang, Chul; Maeng, Inhee; Kee, Chul-Sik, E-mail: cskee@gist.ac.kr

    2015-06-29

    Indirect band gap semiconductors are not efficient emitters of terahertz radiation. Here, we report strong emission of terahertz radiation from germanium wafers with nanostructured surfaces. The amplitude of THz radiation from an array of nano-bullets (nano-cones) is more than five (three) times larger than that from a bare-Ge wafer. The power of the terahertz radiation from a Ge wafer with an array of nano-bullets is comparable to that from n-GaAs wafers, which have been widely used as a terahertz source. We find that the THz radiation from Ge wafers with the nano-bullets is even more powerful than that from n-GaAsmore » for frequencies below 0.6 THz. Our results suggest that introducing properly designed nanostructures on indirect band gap semiconductor wafers is a simple and cheap method to improve the terahertz emission efficiency of the wafers significantly.« less

  14. Hard X-ray Emission and Efficient Particle Acceleration by Supernova Remnants

    NASA Astrophysics Data System (ADS)

    Vink, Jacco

    2009-05-01

    I discuss the non-thermal X-ray emission from young supernova remnants. Over the last decade it has become clear from both X-ray and γ-ray observations that young supernovae accelerate particles up to 100 TeV. In soft X-rays the accelerated >10 TeV electrons produce synchrotron radiation, coming from narrow filaments located at the shock fronts. The width of these filaments shows that the magnetic fields are relatively high, thus providing evidence for magnetic field amplification. The synchrotron radiation of several remnants is known to extend into the hard X-ray regime. In particular Cas A, has a spectrum that appears as a power law up to almost 100 TeV. This is very surprising, as a steepening is expected going from the soft to the hard X-ray band. The spectrum is likely a result of many superimposed individual spectra, each steepening at different energies. This implies considerable spatial variation in hard X-rays, an obvious target for Simbol-X. The variations will be important to infer local shock acceleration properties, but also magnetic field fluctuations may cause spatial and temporal variations. Finally, I draw the attention to super bubbles and supernovae as sources of cosmic rays. As such they may be sources of hard X-ray emission. In particular, supernovae exploding inside the dense red supergiants winds of their progenitors ares promising candidates for hard X-ray emission.

  15. Hard X-ray Emission and Efficient Particle Acceleration by Supernova Remnants

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vink, Jacco

    I discuss the non-thermal X-ray emission from young supernova remnants. Over the last decade it has become clear from both X-ray and {gamma}-ray observations that young supernovae accelerate particles up to 100 TeV. In soft X-rays the accelerated >10 TeV electrons produce synchrotron radiation, coming from narrow filaments located at the shock fronts. The width of these filaments shows that the magnetic fields are relatively high, thus providing evidence for magnetic field amplification.The synchrotron radiation of several remnants is known to extend into the hard X-ray regime. In particular Cas A, has a spectrum that appears as a power lawmore » up to almost 100 TeV. This is very surprising, as a steepening is expected going from the soft to the hard X-ray band. The spectrum is likely a result of many superimposed individual spectra, each steepening at different energies. This implies considerable spatial variation in hard X-rays, an obvious target for Simbol-X. The variations will be important to infer local shock acceleration properties, but also magnetic field fluctuations may cause spatial and temporal variations.Finally, I draw the attention to super bubbles and supernovae as sources of cosmic rays. As such they may be sources of hard X-ray emission. In particular, supernovae exploding inside the dense red supergiants winds of their progenitors ares promising candidates for hard X-ray emission.« less

  16. Method of doping a semiconductor

    DOEpatents

    Yang, Chiang Y.; Rapp, Robert A.

    1983-01-01

    A method for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient.

  17. Temperature Insensitive and Radiation Hard Photonics

    DTIC Science & Technology

    2014-03-19

    M. COOK , Lt Col, USAF Deputy Chief, Spacecraft Technology Division Space Vehicles Directorate This report is published in the interest of...Approved for Public Release; distribution is unlimited. ii LIST OF FIGURES Figure 1. OTDM Pulse Multiplexer for Increasing the Output Repetition Rate...QDMLL) for use in extreme environments where ionizing radiation is a substantial threat. Mode-Locked lasers generate a train of optical pulses that have

  18. SONOS technology for commercial and military nonvolatile memory applications

    NASA Astrophysics Data System (ADS)

    Adams, D.; Farrell, P.; Jacunski, M.; Williams, D.; Jakubczak, J.; Knoll, M.; Murray, J.

    Silicon Oxide Nitride Oxide Semiconductor (SONOS) technology is well suited for military and commercial nonvolatile memory applications. Excellent long term memory retention, radiation hardness, and endurance has been demonstrated with this technology. This paper summarizes our data in these areas for SONOS technology.

  19. Radiation Hardened Electronics Destined For Severe Nuclear Reactor Environments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Holbert, Keith E.; Clark, Lawrence T.

    demonstration board exhibits radiation resilience to over 200 krad. Furthermore, our ASIC microprocessor using RHBD techniques was shown to be fully functional after an exposure of 2.5 Mrad whereas the COTS microcontroller units failed catastrophically at <100 krad. The methods developed in this work can facilitate the long-term viability of radiation-hard robotic systems, thereby avoiding obsolescence issues. As a case in point, the nuclear industry with its low purchasing power does not drive the semiconductor industry strategic plans, and the rapid advancements in electronics technology can leave legacy systems stranded.« less

  20. Engineering charge transport by heterostructuring solution-processed semiconductors

    NASA Astrophysics Data System (ADS)

    Voznyy, Oleksandr; Sutherland, Brandon R.; Ip, Alexander H.; Zhitomirsky, David; Sargent, Edward H.

    2017-06-01

    Solution-processed semiconductor devices are increasingly exploiting heterostructuring — an approach in which two or more materials with different energy landscapes are integrated into a composite system. Heterostructured materials offer an additional degree of freedom to control charge transport and recombination for more efficient optoelectronic devices. By exploiting energetic asymmetry, rationally engineered heterostructured materials can overcome weaknesses, augment strengths and introduce emergent physical phenomena that are otherwise inaccessible to single-material systems. These systems see benefit and application in two distinct branches of charge-carrier manipulation. First, they influence the balance between excitons and free charges to enhance electron extraction in solar cells and photodetectors. Second, they promote radiative recombination by spatially confining electrons and holes, which increases the quantum efficiency of light-emitting diodes. In this Review, we discuss advances in the design and composition of heterostructured materials, consider their implementation in semiconductor devices and examine unexplored paths for future advancement in the field.

  1. Cu2I2Se6: A Metal-Inorganic Framework Wide-Bandgap Semiconductor for Photon Detection at Room Temperature.

    PubMed

    Lin, Wenwen; Stoumpos, Constantinos C; Kontsevoi, Oleg Y; Liu, Zhifu; He, Yihui; Das, Sanjib; Xu, Yadong; McCall, Kyle M; Wessels, Bruce W; Kanatzidis, Mercouri G

    2018-02-07

    Cu 2 I 2 Se 6 is a new wide-bandgap semiconductor with high stability and great potential toward hard radiation and photon detection. Cu 2 I 2 Se 6 crystallizes in the rhombohedral R3̅m space group with a density of d = 5.287 g·cm -3 and a wide bandgap E g of 1.95 eV. First-principles electronic band structure calculations at the density functional theory level indicate an indirect bandgap and a low electron effective mass m e * of 0.32. The congruently melting compound was grown in centimeter-size Cu 2 I 2 Se 6 single crystals using a vertical Bridgman method. A high electric resistivity of ∼10 12 Ω·cm is readily achieved, and detectors made of Cu 2 I 2 Se 6 single crystals demonstrate high photosensitivity to Ag Kα X-rays (22.4 keV) and show spectroscopic performance with energy resolutions under 241 Am α-particles (5.5 MeV) radiation. The electron mobility is measured by a time-of-flight technique to be ∼46 cm 2 ·V -1 ·s -1 . This value is comparable to that of one of the leading γ-ray detector materials, TlBr, and is a factor of 30 higher than mobility values obtained for amorphous Se for X-ray detection.

  2. The interpretation of hard X-ray polarization measurements in solar flares

    NASA Technical Reports Server (NTRS)

    Leach, J.; Emslie, A. G.; Petrosian, V.

    1983-01-01

    Observations of polarization of moderately hard X-rays in solar flares are reviewed and compared with the predictions of recent detailed modeling of hard X-ray bremsstrahlung production by non-thermal electrons. The recent advances in the complexity of the modeling lead to substantially lower predicted polarizations than in earlier models and more fully highlight how various parameters play a role in determining the polarization of the radiation field. The new predicted polarizations are comparable to those predicted by thermal modeling of solar flare hard X-ray production, and both are in agreement with the observations. In the light of these results, new polarization observations with current generation instruments are proposed which could be used to discriminate between non-thermal and thermal models of hard X-ray production in solar flares.

  3. Nuclear Science Symposium, 23rd, Scintillation and Semiconductor Counter Symposium, 15th, and Nuclear Power Systems Symposium, 8th, New Orleans, La., October 20-22, 1976, Proceedings

    NASA Technical Reports Server (NTRS)

    Wagner, L. J.

    1977-01-01

    The volume includes papers on semiconductor radiation detectors of various types, components of radiation detection and dosimetric systems, digital and microprocessor equipment in nuclear industry and science, and a wide variety of applications of nuclear radiation detectors. Semiconductor detectors of X-rays, gamma radiation, heavy ions, neutrons, and other nuclear particles, plastic scintillator arrays, drift chambers, spark wire chambers, and radiation dosimeter systems are reported on. Digital and analog conversion systems, digital data and control systems, microprocessors, and their uses in scientific research and nuclear power plants are discussed. Large-area imaging and biomedical nucleonic instrumentation, nuclear power plant safeguards, reactor instrumentation, nuclear power plant instrumentation, space instrumentation, and environmental instrumentation are dealt with. Individual items are announced in this issue.

  4. Influence of temperature on the spectral characteristics of semiconductor lasers in the visible range

    NASA Astrophysics Data System (ADS)

    Adamov, A. A.; Baranov, M. S.; Khramov, V. N.

    2018-04-01

    The results of studies on the effect of temperature on the output spectral characteristics of continuous semiconductor lasers of the visible range are presented. The paper presents the results of studying the spectral-optical radiation parameters of semiconductor lasers, their coherence lengths, and the dependence of the position of the spectral peak of the wavelength on temperature. This is necessary for the selection of the most optimal laser in order to use it for medical ophthalmologic diagnosis. The experiment was carried out using semiconductor laser modules based on a laser diode. The spectra were recorded by using a two-channel automated spectral complex based on the MDR-23 monochromator. Spectral dependences on the temperature of semiconductor lasers are obtained, in the range from 300 to 370 K. The possibility of determining the internal damage to the stabilization of laser modules without opening the case is shown, but only with the use of their spectral characteristics. The obtained data allow taking into account temperature characteristics and further optimization of parameters of such lasers when used in medical practice, in particular, in ophthalmologic diagnostics.

  5. Variable temperature semiconductor film deposition

    DOEpatents

    Li, X.; Sheldon, P.

    1998-01-27

    A method of depositing a semiconductor material on a substrate is disclosed. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  6. Variable temperature semiconductor film deposition

    DOEpatents

    Li, Xiaonan; Sheldon, Peter

    1998-01-01

    A method of depositing a semiconductor material on a substrate. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  7. A double exposed portal image comparison between electronic portal imaging hard copies and port films in radiation therapy treatment setup confirmation to determine its clinical application in a radiotherapy center.

    PubMed

    Hatherly, K E; Smylie, J C; Rodger, A; Dally, M J; Davis, S R; Millar, J L

    2001-01-01

    At the William Buckland Radiotherapy Center (WBRC), field-only electronic portal image (EPI) hard copies are used for radiation treatment field verification for whole brain, breast, chest, spine, and large pelvic fields, as determined by a previous study. A subsequent research project, addressing the quality of double exposed EPI hard copies for sites where field only EPI was not considered adequate to determine field placement, has been undertaken. The double exposed EPI hard copies were compared to conventional double exposed port films for small pelvic, partial brain, and head and neck fields and for a miscellaneous group. All double exposed EPIs were captured during routine clinical procedures using liquid ion chamber cassettes. EPI hard copies were generated using a Visiplex multi-format camera. In sites where port film remained the preferred verification format, the port films were generated as per department protocol. In addition EPIs were collected specifically for this project. Four radiation oncologists performed the evaluation of EPI and port film images independently with a questionnaire completed at each stage of the evaluation process to assess the following: Adequacy of information in the image to assess field placement. Adequacy of information for determining field placement correction. Clinician's preferred choice of imaging for field placement assessment The results indicate that double exposed EPI hard copies generally do containsufficient information to permit evaluation of field placement and can replace conventionaldouble exposed port films in a significant number of sites. These include the following:pelvis fields < 12 X 12 cm, partial brain fields, and a miscellaneous group. However forradical head and neck fields, the preferred verification image format remained port film dueto the image hard copy size and improved contrast for this media. Thus in this departmenthard copy EPI is the preferred modality of field verification for all sites

  8. Back-side readout semiconductor photomultiplier

    DOEpatents

    Choong, Woon-Seng; Holland, Stephen E

    2014-05-20

    This disclosure provides systems, methods, and apparatus related to semiconductor photomultipliers. In one aspect, a device includes a p-type semiconductor substrate, the p-type semiconductor substrate having a first side and a second side, the first side of the p-type semiconductor substrate defining a recess, and the second side of the p-type semiconductor substrate being doped with n-type ions. A conductive material is disposed in the recess. A p-type epitaxial layer is disposed on the second side of the p-type semiconductor substrate. The p-type epitaxial layer includes a first region proximate the p-type semiconductor substrate, the first region being implanted with p-type ions at a higher doping level than the p-type epitaxial layer, and a second region disposed on the first region, the second region being doped with p-type ions at a higher doping level than the first region.

  9. The semiconductor waveguide facet reflectivity problem

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Herzinger, C.M.; Lu, C.C.; DeTemple, T.A.

    1993-08-01

    The problem of the facet reflectivity of a semiconductor slab waveguide is reexamined as an extension of Ikegami's original approach but which includes radiation-like modes. The latter are included, using a guide-within-a-guide geometry, as modes bound to a thick air-cladding guide which contains the core profile of interest. In this model with a relatively simple analysis, the coupling from the fundamental mode to radiation modes can be analyzed. The cross-coupling to the radiation modes is considered in detail for the simple double heterostructure waveguide and is shown to be important only for large core-cladding index differences and for strong modalmore » confinement wherein it results in a true facet loss. The conditions for this are the same as for low threshold lasers so that the loss sets a maximum limit on the equivalent internal quantum efficiency. A separate one-dimensional finite element, numerical mode matching program, which treats evanescent and propagating radiation modes, is used as a comparison. The two methods of accounting for radiation modes are shown to be in good agreement: both predict reduced extremes in reflectivity when compared with the original Ikegami model. Modern graded core cases are treated as general examples along with the specific quantum well laser structures taken from the literature. These include II-VI and III-V structures spanning wavelengths from 0.5 [mu]m to 10.0 [mu]m.« less

  10. Fast pixelated sensors for radiation detection and imaging based on quantum confined structures in III/V semiconductors

    NASA Astrophysics Data System (ADS)

    Tortora, M.; Biasiol, G.; Cautero, G.; Menk, R. H.; Plaisier, J. R.; Antonelli, M.

    2017-03-01

    In order to improve the characterisation of the delivered beams in many types of photon sources, innovative beam profilers based on III/V semiconductor materials (InGaAs/InAlAs) have been deeply investigated. Owing to a tunable and direct band gap these devices allow radiation detection in a wide spectral range. In order to increase the sensitivity of the device in radiation detection charge amplification on the sensor level is implemented. This is obtained by exploiting In0.75Ga0.25As/In0.75Al0.25As quantum wells (QW) hosting a two-dimensional electron gas (2DEG) through molecular beam epitaxy (MBE). Internal charge-amplification mechanism can be achieved for very low applied voltages, while the high carrier mobility allows the design of very fast photon detectors with sub-nanosecond response times. This technology has been preliminarily exploited to fabricate prototype beam profilers with a strip geometry (with 50-μm-wide strips). Tests were carried out both with conventional X-ray tubes and at the Elettra synchrotron facility. The results testify how these profilers are capable of reconstructing the shape of the beam, as well as estimating the position of the beam centroid with a precision of about 400 nm. Further measurements with different samples of decreasing thickness have shown how this precision could be further improved by an optimised microfabrication. For this reason a new design, based on a membrane-photodetector, is proposed. Results regarding the spatial resolution as function of the sensor thickness will be presented and discussed.

  11. On the possibility of using the dynamic Franz - Keldysh effect to detect the parameters of high-power IR laser radiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grigor'ev, A M

    2011-05-31

    The increase in the absorption of light by a semiconductor (when the light photon energy is somewhat smaller than the semiconductor bandgap or equals it) in the presence of a strong light wave (for which the semiconductor is transparent) has been investigated. The possibility of designing novel light detectors for measuring the energy parameters and spatial and temporal characteristics of high-power IR laser radiation is demonstrated. (measurement of laser radiation parameters)

  12. Semiconductor bridge (SCB) detonator

    DOEpatents

    Bickes, Jr., Robert W.; Grubelich, Mark C.

    1999-01-01

    The present invention is a low-energy detonator for high-density secondary-explosive materials initiated by a semiconductor bridge igniter that comprises a pair of electrically conductive lands connected by a semiconductor bridge. The semiconductor bridge is in operational or direct contact with the explosive material, whereby current flowing through the semiconductor bridge causes initiation of the explosive material. Header wires connected to the electrically-conductive lands and electrical feed-throughs of the header posts of explosive devices, are substantially coaxial to the direction of current flow through the SCB, i.e., substantially coaxial to the SCB length.

  13. Semiconductor bridge (SCB) detonator

    DOEpatents

    Bickes, R.W. Jr.; Grubelich, M.C.

    1999-01-19

    The present invention is a low-energy detonator for high-density secondary-explosive materials initiated by a semiconductor bridge (SCB) igniter that comprises a pair of electrically conductive lands connected by a semiconductor bridge. The semiconductor bridge is in operational or direct contact with the explosive material, whereby current flowing through the semiconductor bridge causes initiation of the explosive material. Header wires connected to the electrically-conductive lands and electrical feed-throughs of the header posts of explosive devices, are substantially coaxial to the direction of current flow through the SCB, i.e., substantially coaxial to the SCB length. 3 figs.

  14. LDQ10: a compact ultra low-power radiation-hard 4 × 10 Gb/s driver array

    DOE PAGES

    Zeng, Z.; Zhang, T.; Wang, G.; ...

    2017-02-28

    Here, a High-speed and low-power VCSEL driver is an important component of the Versatile Link for the high-luminosity LHC (HL-LHC) experiments. A compact low-power radiation-hard 4 × 10 Gb/s VCSEL driver array (LDQ10) has been developed in 65 nm CMOS technology. Each channel in LDQ10 can provide a modulation current up to 8 mA and bias current up to 12 mA. Edge pre-emphasis is employed to compensate for the bandwidth limitations due to parasitic and the turn-on delay of VCSEL devices. LDQ10 occupies a chip area of 1900 μm × 1700 μm and consumes 130 mW power for typical currentmore » settings. The modulation amplitude degrades less than 5% after 300 Mrad total ionizing dose. LDQ10 can be directly wire-bonded to the VCSEL array and it is a suitable candidate for the Versatile Link.« less

  15. An approach for addressing hard-to-detect hot spots.

    PubMed

    Abelquist, Eric W; King, David A; Miller, Laurence F; Viars, James A

    2013-05-01

    The Multi-Agency Radiation Survey and Site Investigation Manual (MARSSIM) survey approach is comprised of systematic random sampling coupled with radiation scanning to assess acceptability of potential hot spots. Hot spot identification for some radionuclides may not be possible due to the very weak gamma or x-ray radiation they emit-these hard-to-detect nuclides are unlikely to be identified by field scans. Similarly, scanning technology is not yet available for chemical contamination. For both hard-to-detect nuclides and chemical contamination, hot spots are only identified via volumetric sampling. The remedial investigation and cleanup of sites under the Comprehensive Environmental Response, Compensation, and Liability Act typically includes the collection of samples over relatively large exposure units, and concentration limits are applied assuming the contamination is more or less uniformly distributed. However, data collected from contaminated sites demonstrate contamination is often highly localized. These highly localized areas, or hot spots, will only be identified if sample densities are high or if the environmental characterization program happens to sample directly from the hot spot footprint. This paper describes a Bayesian approach for addressing hard-to-detect nuclides and chemical hot spots. The approach begins using available data (e.g., as collected using the standard approach) to predict the probability that an unacceptable hot spot is present somewhere in the exposure unit. This Bayesian approach may even be coupled with the graded sampling approach to optimize hot spot characterization. Once the investigator concludes that the presence of hot spots is likely, then the surveyor should use the data quality objectives process to generate an appropriate sample campaign that optimizes the identification of risk-relevant hot spots.

  16. Capital investment in semiconductors: The lifeblood of the US semiconductor industry

    NASA Astrophysics Data System (ADS)

    Finan, William F.

    1990-09-01

    An analysis is given of four proposals designed to improve capital formation for U.S. industry in general, and the semiconductor industry in particular. The National Advisory Committee on Semiconductors recommendations were to make the current research and experimentation (R and E) tax credit more effective, to reduce taxes on capital gains, to increase personal savings incentives, and to improve semiconductor manufacturing equipment depreciation rules. The results of the qualitative analysis of the proposals as well as a description of the methodology employed are given.

  17. Alpha-ray spectrometry at high temperature by using a compound semiconductor detector.

    PubMed

    Ha, Jang Ho; Kim, Han Soo

    2013-11-01

    The use of conventional radiation detectors in harsh environments is limited by radiation damage to detector materials and by temperature constraints. We fabricated a wide-band gap semiconductor radiation detector based on silicon carbide. All the detector components were considered for an application in a high temperature environment like a nuclear reactor core. The radiation response, especially to alpha particles, was measured using an (241)Am source at variable operating voltages at room temperature in the air. The temperature on detector was controlled from 30°C to 250°C. The alpha-particle spectra were measured at zero bias operation. Even though the detector is operated at high temperature, the energy resolution as a function of temperature is almost constant within 3.5% deviation. Copyright © 2013 Elsevier Ltd. All rights reserved.

  18. Investigation of Hard Boron Rich Solids: Osmium Diboride and β-Rhombohedral Boron

    NASA Astrophysics Data System (ADS)

    Hebbache, M.; Živković, D.

    Recently, we succeeded in synthesizing three osmium borides, i.e., OsB1.1, Os2B3 and OsB2. Up to date, almost nothing is known about the physical properties of these materials. Microhardness measurements show that OsB2 is extremely hard. Ab initio calculations show that it is due to formation of covalent bonds between boron atoms. OsB2 is also a low compressibility material. It can be used for hard coatings. The β-rhombohedral polymorph of boron is the second hardest elemental crystal (H ≈ 33 GPa). It is also very light and a p-type semiconductor. In early 1970s, it has been shown that the doping of boron with 3d transition elements enhances its hardness by about 25%. We predict that, in general, heavily doped samples MBx, with x ≤ 31 or equivalently a dopant concentration larger than 3.2 at.%, should be ultrahard, i.e., H > 43 GPa. The relevant dopants M are Al, Cu, Sc, Mn, Mg and Li. In addition to these properties, boron-rich materials have a very low volatility, a high chemical inertness and high melting point. They are suitable for applications under extreme conditions and thermoelectric equipment.

  19. Multi-dimensional coherent optical spectroscopy of semiconductor nanostructures: Collinear and non-collinear approaches

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nardin, Gaël; Li, Hebin; Autry, Travis M.

    2015-03-21

    We review our recent work on multi-dimensional coherent optical spectroscopy (MDCS) of semiconductor nanostructures. Two approaches, appropriate for the study of semiconductor materials, are presented and compared. A first method is based on a non-collinear geometry, where the Four-Wave-Mixing (FWM) signal is detected in the form of a radiated optical field. This approach works for samples with translational symmetry, such as Quantum Wells (QWs) or large and dense ensembles of Quantum Dots (QDs). A second method detects the FWM in the form of a photocurrent in a collinear geometry. This second approach extends the horizon of MDCS to sub-diffraction nanostructures,more » such as single QDs, nanowires, or nanotubes, and small ensembles thereof. Examples of experimental results obtained on semiconductor QW structures are given for each method. In particular, it is shown how MDCS can assess coupling between excitons confined in separated QWs.« less

  20. Evaluation of optical changes of three types of lenses: hard PMMA, hydrogel, and heparin surface modified hard lenses effected by silicone oil, used clinically as a substitute of the vitreous body.

    PubMed

    Prokopowicz, Magdalena; Czarnobaj, Katarzyna; Raczyńska, Krystyna; Łukasiak, Jerzy; Przyjazny, Andrzej

    2002-01-01

    The objective of these investigations was an in vitro evaluation whether silicone oil OXANE of viscosity 5700 cSt clinically used in eye surgery as a substitute of the vitreous body, being in contact with an artificial polymer lens used as an implant of human lens, causes the changes in its optical properties. The paper presents the results of spectral analysis of transmission of visible (VIS) radiation of three types of artificial lenses: hard PMMA, hydrogel, heparin surface modified (HSM) hard PMMA, and the same lenses damaged by YAG laser radiation with an energy increasing from 1.7 mJ to 3.7 mJ, exposed to clinically applied silicone oil. The studies were carried out, in two-week intervals, over a period of 20 weeks. Hard PMMA and HSM lenses were found not to have changed their optical properties after 20 weeks of exposure to silicone oil. The measured transmittance values were within the range of instrumental error (+/- 1%). Optical properties of hydrogel lenses exposed to silicone oils deteriorated with exposure and after 20-week exposure to silicone oil the average transmittance value decreased by about 18%, reaching its final value of 67.08 +/- 2.37% (RSD = 5.56%). A minimal decrease of the initial transmittance values was observed only for the lenses exposed to laser radiation of highest energy (3.7 mJ). After completed exposure to silicone oil, two kinds of lenses were found to have a slightly improved transmittance: hard PMMA lenses by about 4% and HSM lenses by about 2%. On the other hand, in case of hydrogel lenses the deterioration of optical properties to the extent comparable to that of hydrogel lenses not damaged by laser radiation was observed.

  1. The simulation of air recirculation and fire/explosion phenomena within a semiconductor factory.

    PubMed

    I, Yet-Pole; Chiu, Yi-Long; Wu, Shi-Jen

    2009-04-30

    The semiconductor industry is the collection of capital-intensive firms that employ a variety of hazardous chemicals and engage in the design and fabrication of semiconductor devices. Owing to its processing characteristics, the fully confined structure of the fabrication area (fab) and the vertical airflow ventilation design restrict the applications of traditional consequence analysis techniques that are commonly used in other industries. The adverse situation also limits the advancement of a fire/explosion prevention design for the industry. In this research, a realistic model of a semiconductor factory with a fab, sub-fabrication area, supply air plenum, and return air plenum structures was constructed and the computational fluid dynamics algorithm was employed to simulate the possible fire/explosion range and its severity. The semiconductor factory has fan module units with high efficiency particulate air filters that can keep the airflow uniform within the cleanroom. This condition was modeled by 25 fans, three layers of porous ceiling, and one layer of porous floor. The obtained results predicted very well the real airflow pattern in the semiconductor factory. Different released gases, leak locations, and leak rates were applied to investigate their influence on the hazard range and severity. Common mitigation measures such as a water spray system and a pressure relief panel were also provided to study their potential effectiveness to relieve thermal radiation and overpressure hazards within a fab. The semiconductor industry can use this simulation procedure as a reference on how to implement a consequence analysis for a flammable gas release accident within an air recirculation cleanroom.

  2. Advanced Electronics Technologies: Challenges for Radiation Effects Testing, Modeling, and Mitigation

    NASA Technical Reports Server (NTRS)

    LaBel, Kenneth A.; Cohn, Lewis M.

    2005-01-01

    Emerging Electronics Technologies include: 1) Changes in the commercial semiconductor world; 2) Radiation Effects Sources (A sample test constraint); and 3) Challenges to Radiation Testing and Modeling: a) IC Attributes-Radiation Effects Implication b) Fault Isolation c) Scaled Geometry d) Speed e) Modeling Shortfall f) Knowledge Status

  3. Optical orientation in ferromagnet/semiconductor hybrids

    NASA Astrophysics Data System (ADS)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  4. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    NASA Astrophysics Data System (ADS)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  5. Wide Bandgap Semiconductor Detector Optimization for Flash X-Ray Measurements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roecker, Caleb Daniel; Schirato, Richard C.

    2017-11-17

    Charge trapping, resulting in a decreased and spatially dependent electric field, has long been a concern for wide bandgap semiconductor detectors. While significant work has been performed to characterize this degradation at varying temperatures and radiation environments, this work concentrates upon examining the event-to-event response in a flash X-ray environment. The following work investigates if charge trapping is a problem for CZT detectors, with particular emphasis on flash X-ray radiation fields at cold temperatures. Results are compared to a non-flash radiation field, using an Am-241 alpha source and similar temperature transitions. Our ability to determine if a response change occurredmore » was hampered by the repeatability of our flash X-ray systems; a small response change was observed with the Am-241 source. Due to contrast of these results, we are in the process of revisiting the Am-241 measurements in the presence of a high radiation environment. If the response change is more pronounced in the high radiation environment, a similar test will be performed in the flash X-ray environment.« less

  6. Resonant Compton Upscattering Models of Magnetar Hard X-ray Emission and Polarization

    NASA Astrophysics Data System (ADS)

    Baring, Matthew G.; Wadiasingh, Zorawar; Gonthier, Peter L.; Kust Harding, Alice

    2017-08-01

    Non-thermal quiescent X-ray emission extending between 10 keV and around 150 keV has been seen in about 10 magnetars by RXTE, INTEGRAL, Suzaku and Fermi-GBM. For inner magnetospheric models of such hard X-ray signals, resonant Compton upscattering is anticipated to be the most efficient process for generating the continuum radiation. This is because the scattering becomes resonant at the cyclotron frequency, and the effective cross section exceeds the classical Thomson value by over two orders of magnitude. We present angle-dependent hard X-ray upscattering model spectra for uncooled monoenergetic relativistic electrons injected in inner regions of pulsar magnetospheres. These spectra are integrated over closed field lines and obtained for different observing perspectives. The spectral cut-off energies are critically dependent on the observer viewing angles and electron Lorentz factor. We find that electrons with energies less than around 15 MeV will emit most of their radiation below 250 keV, consistent with the observed turnovers in magnetar hard X-ray tails. Moreover, electrons of higher energy still emit most of the radiation below around 1 MeV, except for quasi-equatorial emission locales for select pulses phases. In such cases, attenuation mechanisms such as pair creation will be prolific, thereby making it difficult to observe signals extending into the Fermi-LAT band. Our spectral computations use new state-of-the-art, spin-dependent formalism for the QED Compton scattering cross section in strong magnetic fields. The emission exhibits strong polarization above around 30 keV that is anticipated to be dependent on pulse phase, thereby defining science agendas for future hard X-ray polarimeters.

  7. Hard X-ray emission from the solar corona

    NASA Astrophysics Data System (ADS)

    Krucker, S.; Battaglia, M.; Cargill, P. J.; Fletcher, L.; Hudson, H. S.; MacKinnon, A. L.; Masuda, S.; Sui, L.; Tomczak, M.; Veronig, A. L.; Vlahos, L.; White, S. M.

    2008-10-01

    This review surveys hard X-ray emissions of non-thermal electrons in the solar corona. These electrons originate in flares and flare-related processes. Hard X-ray emission is the most direct diagnostic of electron presence in the corona, and such observations provide quantitative determinations of the total energy in the non-thermal electrons. The most intense flare emissions are generally observed from the chromosphere at footpoints of magnetic loops. Over the years, however, many observations of hard X-ray and even γ-ray emission directly from the corona have also been reported. These coronal sources are of particular interest as they occur closest to where the electron acceleration is thought to occur. Prior to the actual direct imaging observations, disk occultation was usually required to study coronal sources, resulting in limited physical information. Now RHESSI has given us a systematic view of coronal sources that combines high spatial and spectral resolution with broad energy coverage and high sensitivity. Despite the low density and hence low bremsstrahlung efficiency of the corona, we now detect coronal hard X-ray emissions from sources in all phases of solar flares. Because the physical conditions in such sources may differ substantially from those of the usual “footpoint” emission regions, we take the opportunity to revisit the physics of hard X-radiation and relevant theories of particle acceleration.

  8. Calibration of a time-resolved hard-x-ray detector using radioactive sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stoeckl, C., E-mail: csto@lle.rochester.edu; Theobald, W.; Regan, S. P.

    A four-channel, time-resolved, hard x-ray detector (HXRD) has been operating at the Laboratory for Laser Energetics for more than a decade. The slope temperature of the hot-electron population in direct-drive inertial confinement fusion experiments is inferred by recording the hard x-ray radiation generated in the interaction of the electrons with the target. Measuring the energy deposited by hot electrons requires an absolute calibration of the hard x-ray detector. A novel method to obtain an absolute calibration of the HXRD using single photons from radioactive sources was developed, which uses a thermoelectrically cooled, low-noise, charge-sensitive amplifier.

  9. Semiconductor nanocrystal-based phagokinetic tracking

    DOEpatents

    Alivisatos, A Paul; Larabell, Carolyn A; Parak, Wolfgang J; Le Gros, Mark; Boudreau, Rosanne

    2014-11-18

    Methods for determining metabolic properties of living cells through the uptake of semiconductor nanocrystals by cells. Generally the methods require a layer of neutral or hydrophilic semiconductor nanocrystals and a layer of cells seeded onto a culture surface and changes in the layer of semiconductor nanocrystals are detected. The observed changes made to the layer of semiconductor nanocrystals can be correlated to such metabolic properties as metastatic potential, cell motility or migration.

  10. Radiation Hardness Assurance (RHA) for Small Missions

    NASA Technical Reports Server (NTRS)

    Campola, Michael J.

    2016-01-01

    Varied mission life and complexity is growing for small spacecraft. Small missions benefit from detailed hazard definition and evaluation as done in the past. Requirements need to flow from the system down to the parts level and aid system level radiation tolerance. RHA is highlighted with increasing COTS usage.

  11. Rethinking the theoretical description of photoluminescence in compound semiconductors

    NASA Astrophysics Data System (ADS)

    Valkovskii, V.; Jandieri, K.; Gebhard, F.; Baranovskii, S. D.

    2018-02-01

    Semiconductor compounds, such as Ga(NAsP)/GaP or GaAsBi/GaAs, are in the focus of intensive research due to their unique features for optoelectronic devices. The optical spectra of compound semiconductors are strongly influenced by the random scattering potentials caused by compositional and structural disorder. The disorder potential is responsible for the red-shift (Stokes shift) of the photoluminescence (PL) peak and for the inhomogeneous broadening of the PL spectra. So far, the anomalous broadening of the PL spectra in Ga(NAsP)/GaP has been explained assuming two coexisting length scales of disorder. However, this interpretation appears in contradiction to the recently observed dependence of the PL linewidth on the excitation intensity. We suggest an alternative approach that describes the PL characteristics in the framework of a model with a single length scale of disorder. The price is the assumption of two types of localized states with different, temperature-dependent non-radiative recombination rates.

  12. Procedure for pressure contact on high-power semiconductor devices free of thermal fatigue

    NASA Technical Reports Server (NTRS)

    Knobloch, J.

    1979-01-01

    To eliminate thermal fatigue, a procedure for manufacturing semiconductor power devices with pure pressure contact without solid binding was developed. Pressure contact without the use of a solid binding to avoid a limitation of the maximum surface in the contact was examined. A silicon wafer covered with a relatively thick metal layer is imbedded with the aid of a soft silver foil between two identically sized hard contact discs (molybdenum or tungsten) which are rotationally symmetrical. The advantages of this concept are shown for large diameters. The pressure contact was tested successfully in many devices in a large variety of applications.

  13. Hardness Assurance Techniques for New Generation COTS Devices

    NASA Technical Reports Server (NTRS)

    Lee, C. I.; Rax, B. G.; Johnston, A. H.

    1996-01-01

    Hardness Assurance (HA) techniques and total dose radiation characterization data for new generation linear and COTS devices from various manufacturers are presented. A bipolar op amp showed significant degradation at HDR, not at low dose rate environment. New generation low-power op amps showed more degradation at low voltage applications. HA test techniques for COTS devices are presented in this paper.

  14. Reducing leakage current in semiconductor devices

    DOEpatents

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  15. Radiation hardness and timing studies of a monolithic TowerJazz pixel design for the new ATLAS Inner Tracker

    NASA Astrophysics Data System (ADS)

    Riegel, C.; Backhaus, M.; Van Hoorne, J. W.; Kugathasan, T.; Musa, L.; Pernegger, H.; Riedler, P.; Schaefer, D.; Snoeys, W.; Wagner, W.

    2017-01-01

    A part of the upcoming HL-LHC upgrade of the ATLAS Detector is the construction of a new Inner Tracker. This upgrade opens new possibilities, but also presents challenges in terms of occupancy and radiation tolerance. For the pixel detector inside the inner tracker, hybrid modules containing passive silicon sensors and connected readout chips are presently used, but require expensive assembly techniques like fine-pitch bump bonding. Silicon devices fabricated in standard commercial CMOS technologies, which include part or all of the readout chain, are also investigated offering a reduced cost as they are cheaper per unit area than traditional silicon detectors. If they contain the full readout chain, as for a fully monolithic approach, there is no need for the expensive flip-chip assembly, resulting in a further cost reduction and material savings. In the outer pixel layers of the ATLAS Inner Tracker, the pixel sensors must withstand non-ionising energy losses of up to 1015 n/cm2 and offer a timing resolution of 25 ns or less. This paper presents test results obtained on a monolithic test chip, the TowerJazz 180nm Investigator, towards these specifications. The presented program of radiation hardness and timing studies has been launched to investigate this technology's potential for the new ATLAS Inner Tracker.

  16. Design of high-efficiency, radiation-hard, GaInP/GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Kurtz, Sarah R.; Bertness, K. A.; Kibbler, A. E.; Kramer, C.; Olson, J. M.

    1994-01-01

    In recently years, Ga(0.5)In((0.5)P/GaAs cells have drawn increased attention both because of their high efficiencies and because they are well suited for space applications. They can be grown and processed as two-junction devices with roughly twice the voltage and half the current of GaAs cells. They have low temperature coefficients, and have good potential for radiation hardness. We have previously reported the effects of electron irradiation on test cells which were not optimally designed for space. From those results we estimated that an optimally designed cell could achieve 20 percent after irradiation with 10(exp 15) cm(exp -2) 1 MeV electrons. Modeling studies predicted that slightly higher efficiencies may be achievable. Record efficiencies for EOL performance of other types of cells are significantly lower. Even the best Si and InP cells have BOL efficiencies lower than the EOL efficiency we report here. Good GaAs cells have an EOL efficiency of 16 percent. The InP/Ga(0.5)In(0.5)As two-junction, two-terminal device has a BOL efficiency as high as 22.2 percent, but radiation results for these cells were limited. In this study we use the previous modeling and irradiation results to design a set of Ga(0.5)In(0.5)P/GaAs cells that will demonstrate the importance of the design parameters and result in high-efficiency devices. We report record AMO efficiencies: a BOL efficiency of 25.7 percent for a device optimized for BOL performance and two of different designs with EOL efficiencies of 19.6 percent (at 10(exp 15) cm(exp -2) 1MeV electrons). We vary the bottom-cell base doping and the top-cell thickness to show the effects of these two important design parameters. We get an unexpected result indicating that the dopant added to the bottom-cell base also increases the degradation of the top cell.

  17. Development of High Quantum Efficiency UV/Blue Photocathode Epitaxial Semiconductor Heterostructures for Scintillation and Cherenkov Radiation Detection

    NASA Technical Reports Server (NTRS)

    Leopold, Daniel J.

    2002-01-01

    The primary goal of this research project was to further extend the use of advanced heteroepitaxial-semiconductor crystal growth techniques such as molecular beam epitaxy (MBE) and to demonstrate significant gains in UV/blue photonic detection by designing and fabricating atomically-tailored heteroepitaxial GaAlN/GaInN photocathode device structures. This NASA Explorer technology research program has focused on the development of photocathodes for Cherenkov and scintillation radiation detection. Support from the program allowed us to enhance our MBE system to include a nitrogen plasma source and a magnetic bearing turbomolecular pump for delivery and removal of high purity atomic nitrogen during GaAlN/GaInN film growth. Under this program we have also designed, built and incorporated a cesium activation stage. In addition, a connected UHV chamber with photocathode transfer/positioner components as well as a hybrid phototube stage was designed and built to make in-situ quantum efficiency measurements without ever having to remove the photocathodes from UHV conditions. Thus we have constructed a system with the capability to couple atomically-tailored MBE-grown photocathode heterostructures with real high gain readout devices for single photon detection evaluation.

  18. Radiation hardness of Efratom M-100 rubidium frequency standard

    NASA Technical Reports Server (NTRS)

    English, T. C.; Vorwerk, H.; Rudie, N. J.

    1983-01-01

    The effects of nuclear radiation on rubidium gas cell frequency standards and components are presented, including the results of recent tests where a continuously operating rubidium frequency standard (Effratom, Model M-100) was subjected to simultaneous neutron/gamma radiation. At the highest neutron fluence 7.5 10 to the 12th power n/sq cm and total dose 11 krad(Si) tested, the unit operated satisfactorily; the total frequency change over the 2 1/2 hour test period due to all causes, including repeated retraction from and insertion into the reactor, was less than 1 x 10 to the -10th power. The effects of combined neutron/gamma radiation on rubidium frequency standard physics package components were also studied, and the results are presented.

  19. The electronic band structures of gadolinium chalcogenides: a first-principles prediction for neutron detecting

    NASA Astrophysics Data System (ADS)

    Li, Kexue; Liu, Lei; Yu, Peter Y.; Chen, Xiaobo; Shen, D. Z.

    2016-05-01

    By converting the energy of nuclear radiation to excited electrons and holes, semiconductor detectors have provided a highly efficient way for detecting them, such as photons or charged particles. However, for detecting the radiated neutrons, those conventional semiconductors hardly behave well, as few of them possess enough capability for capturing these neutral particles. While the element Gd has the highest nuclear cross section, here for searching proper neutron-detecting semiconductors, we investigate theoretically the Gd chalcogenides whose electronic band structures have never been characterized clearly. Among them, we identify that γ-phase Gd2Se3 should be the best candidate for neutron detecting since it possesses not only the right bandgap of 1.76 eV for devices working under room temperature but also the desired indirect gap nature for charge carriers surviving longer. We propose further that semiconductor neutron detectors with single-neutron sensitivity can be realized with such a Gd-chalcogenide on the condition that their crystals can be grown with good quality.

  20. The electronic band structures of gadolinium chalcogenides: a first-principles prediction for neutron detecting.

    PubMed

    Li, Kexue; Liu, Lei; Yu, Peter Y; Chen, Xiaobo; Shen, D Z

    2016-05-11

    By converting the energy of nuclear radiation to excited electrons and holes, semiconductor detectors have provided a highly efficient way for detecting them, such as photons or charged particles. However, for detecting the radiated neutrons, those conventional semiconductors hardly behave well, as few of them possess enough capability for capturing these neutral particles. While the element Gd has the highest nuclear cross section, here for searching proper neutron-detecting semiconductors, we investigate theoretically the Gd chalcogenides whose electronic band structures have never been characterized clearly. Among them, we identify that γ-phase Gd2Se3 should be the best candidate for neutron detecting since it possesses not only the right bandgap of 1.76 eV for devices working under room temperature but also the desired indirect gap nature for charge carriers surviving longer. We propose further that semiconductor neutron detectors with single-neutron sensitivity can be realized with such a Gd-chalcogenide on the condition that their crystals can be grown with good quality.

  1. Coated semiconductor devices for neutron detection

    DOEpatents

    Klann, Raymond T.; McGregor, Douglas S.

    2002-01-01

    A device for detecting neutrons includes a semi-insulated bulk semiconductor substrate having opposed polished surfaces. A blocking Schottky contact comprised of a series of metals such as Ti, Pt, Au, Ge, Pd, and Ni is formed on a first polished surface of the semiconductor substrate, while a low resistivity ("ohmic") contact comprised of metals such as Au, Ge, and Ni is formed on a second, opposed polished surface of the substrate. In one embodiment, n-type low resistivity pinout contacts comprised of an Au/Ge based eutectic alloy or multi-layered Pd/Ge/Ti/Au are also formed on the opposed polished surfaces and in contact with the Schottky and ohmic contacts. Disposed on the Schottky contact is a neutron reactive film, or coating, for detecting neutrons. The coating is comprised of a hydrogen rich polymer, such as a polyolefin or paraffin; lithium or lithium fluoride; or a heavy metal fissionable material. By varying the coating thickness and electrical settings, neutrons at specific energies can be detected. The coated neutron detector is capable of performing real-time neutron radiography in high gamma fields, digital fast neutron radiography, fissile material identification, and basic neutron detection particularly in high radiation fields.

  2. Long-Term Reliability of a Hard-Switched Boost Power Processing Unit Utilizing SiC Power MOSFETs

    NASA Technical Reports Server (NTRS)

    Ikpe, Stanley A.; Lauenstein, Jean-Marie; Carr, Gregory A.; Hunter, Don; Ludwig, Lawrence L.; Wood, William; Iannello, Christopher J.; Del Castillo, Linda Y.; Fitzpatrick, Fred D.; Mojarradi, Mohammad M.; hide

    2016-01-01

    Silicon carbide (SiC) power devices have demonstrated many performance advantages over their silicon (Si) counterparts. As the inherent material limitations of Si devices are being swiftly realized, wide-band-gap (WBG) materials such as SiC have become increasingly attractive for high power applications. In particular, SiC power metal oxide semiconductor field effect transistors' (MOSFETs) high breakdown field tolerance, superior thermal conductivity and low-resistivity drift regions make these devices an excellent candidate for power dense, low loss, high frequency switching applications in extreme environment conditions. In this paper, a novel power processing unit (PPU) architecture is proposed utilizing commercially available 4H-SiC power MOSFETs from CREE Inc. A multiphase straight boost converter topology is implemented to supply up to 10 kilowatts full-scale. High Temperature Gate Bias (HTGB) and High Temperature Reverse Bias (HTRB) characterization is performed to evaluate the long-term reliability of both the gate oxide and the body diode of the SiC components. Finally, susceptibility of the CREE SiC MOSFETs to damaging effects from heavy-ion radiation representative of the on-orbit galactic cosmic ray environment are explored. The results provide the baseline performance metrics of operation as well as demonstrate the feasibility of a hard-switched PPU in harsh environments.

  3. Homogeneous spectral spanning of terahertz semiconductor lasers with radio frequency modulation.

    PubMed

    Wan, W J; Li, H; Zhou, T; Cao, J C

    2017-03-08

    Homogeneous broadband and electrically pumped semiconductor radiation sources emitting in the terahertz regime are highly desirable for various applications, including spectroscopy, chemical sensing, and gas identification. In the frequency range between 1 and 5 THz, unipolar quantum cascade lasers employing electron inter-subband transitions in multiple-quantum-well structures are the most powerful semiconductor light sources. However, these devices are normally characterized by either a narrow emission spectrum due to the narrow gain bandwidth of the inter-subband optical transitions or an inhomogeneous broad terahertz spectrum from lasers with heterogeneous stacks of active regions. Here, we report the demonstration of homogeneous spectral spanning of long-cavity terahertz semiconductor quantum cascade lasers based on a bound-to-continuum and resonant phonon design under radio frequency modulation. At a single drive current, the terahertz spectrum under radio frequency modulation continuously spans 330 GHz (~8% of the central frequency), which is the record for single plasmon waveguide terahertz lasers with a bound-to-continuum design. The homogeneous broadband terahertz sources can be used for spectroscopic applications, i.e., GaAs etalon transmission measurement and ammonia gas identification.

  4. Homogeneous spectral spanning of terahertz semiconductor lasers with radio frequency modulation

    PubMed Central

    Wan, W. J.; Li, H.; Zhou, T.; Cao, J. C.

    2017-01-01

    Homogeneous broadband and electrically pumped semiconductor radiation sources emitting in the terahertz regime are highly desirable for various applications, including spectroscopy, chemical sensing, and gas identification. In the frequency range between 1 and 5 THz, unipolar quantum cascade lasers employing electron inter-subband transitions in multiple-quantum-well structures are the most powerful semiconductor light sources. However, these devices are normally characterized by either a narrow emission spectrum due to the narrow gain bandwidth of the inter-subband optical transitions or an inhomogeneous broad terahertz spectrum from lasers with heterogeneous stacks of active regions. Here, we report the demonstration of homogeneous spectral spanning of long-cavity terahertz semiconductor quantum cascade lasers based on a bound-to-continuum and resonant phonon design under radio frequency modulation. At a single drive current, the terahertz spectrum under radio frequency modulation continuously spans 330 GHz (~8% of the central frequency), which is the record for single plasmon waveguide terahertz lasers with a bound-to-continuum design. The homogeneous broadband terahertz sources can be used for spectroscopic applications, i.e., GaAs etalon transmission measurement and ammonia gas identification. PMID:28272492

  5. Unraveling the Origin of Magnetism in Mesoporous Cu-Doped SnO₂ Magnetic Semiconductors.

    PubMed

    Fan, Junpeng; Menéndez, Enric; Guerrero, Miguel; Quintana, Alberto; Weschke, Eugen; Pellicer, Eva; Sort, Jordi

    2017-10-25

    The origin of magnetism in wide-gap semiconductors doped with non-ferromagnetic 3d transition metals still remains intriguing. In this article, insights in the magnetic properties of ordered mesoporous Cu-doped SnO₂ powders, prepared by hard-templating, have been unraveled. Whereas, both oxygen vacancies and Fe-based impurity phases could be a plausible explanation for the observed room temperature ferromagnetism, the low temperature magnetism is mainly and unambiguously arising from the nanoscale nature of the formed antiferromagnetic CuO, which results in a net magnetization that is reminiscent of ferromagnetic behavior. This is ascribed to uncompensated spins and shape-mediated spin canting effects. The reduced blocking temperature, which resides between 30 and 5 K, and traces of vertical shifts in the hysteresis loops confirm size effects in CuO. The mesoporous nature of the system with a large surface-to-volume ratio likely promotes the occurrence of uncompensated spins, spin canting, and spin frustration, offering new prospects in the use of magnetic semiconductors for energy-efficient spintronics.

  6. Production of hard X rays in a plasma focus

    NASA Technical Reports Server (NTRS)

    Newman, C. E.; Petrosian, V.

    1975-01-01

    A model of a plasma focus is examined wherein large axial electric fields are produced by an imploding current sheet during the final nanoseconds of the collapse phase and where the fields provide a mechanism for creating a beam of electrons of highly suprathermal energies. The expected bremsstrahlung radiation above 100 keV is calculated for such a beam, which has a power-law spectrum, both from electron-deuteron collisions in the focused plasma and when the beam reaches the wall of the device. It is concluded that, since the experimental results indicate little or no radiation above 100 keV originating in the walls, that the electrons in the beam must be decelerated after leaving the plasma and before reaching the wall. Comparisons with the results and the total energy of the device yield qualitative agreement with the expected angular distribution of hard X-rays and reasonable agreement with the total energy in accelerated electrons required to produce the observed total energy in hard X-rays by this mechanism.

  7. Total-dose radiation effects data for semiconductor devices, volume 2

    NASA Technical Reports Server (NTRS)

    Price, W. E.; Martin, K. E.; Nichols, D. K.; Gauthier, M. K.; Brown, S. F.

    1981-01-01

    Total ionizing dose radiation test data on integrated circuits are analyzed. Tests were performed with the electron accelerator (Dynamitron) that provides a steady state 2.5 MeV electron beam. Some radiation exposures were made with a Cobalt-60 gamma ray source. The results obtained with the Cobalt-60 source are considered an approximate measure of the radiation damage that would be incurred by an equivalent dose of electrons.

  8. Wavelength-insensitive radiation coupling for multi-quantum well sensor based on intersubband absorption

    NASA Technical Reports Server (NTRS)

    Gunapala, Sarath D. (Inventor); Bandara, Sumith V. (Inventor); Liu, John K. (Inventor)

    2006-01-01

    Devices and techniques for coupling radiation to intraband quantum-well semiconductor sensors that are insensitive to the wavelength of the coupled radiation. At least one reflective surface is implemented in the quantum-well region to direct incident radiation towards the quantum-well layers.

  9. Room temperature triplet state spectroscopy of organic semiconductors.

    PubMed

    Reineke, Sebastian; Baldo, Marc A

    2014-01-21

    Organic light-emitting devices and solar cells are devices that create, manipulate, and convert excited states in organic semiconductors. It is crucial to characterize these excited states, or excitons, to optimize device performance in applications like displays and solar energy harvesting. This is complicated if the excited state is a triplet because the electronic transition is 'dark' with a vanishing oscillator strength. As a consequence, triplet state spectroscopy must usually be performed at cryogenic temperatures to reduce competition from non-radiative rates. Here, we control non-radiative rates by engineering a solid-state host matrix containing the target molecule, allowing the observation of phosphorescence at room temperature and alleviating constraints of cryogenic experiments. We test these techniques on a wide range of materials with functionalities spanning multi-exciton generation (singlet exciton fission), organic light emitting device host materials, and thermally activated delayed fluorescence type emitters. Control of non-radiative modes in the matrix surrounding a target molecule may also have broader applications in light-emitting and photovoltaic devices.

  10. Hybrid integration of III-V semiconductor lasers on silicon waveguides using optofluidic microbubble manipulation

    PubMed Central

    Jung, Youngho; Shim, Jaeho; Kwon, Kyungmook; You, Jong-Bum; Choi, Kyunghan; Yu, Kyoungsik

    2016-01-01

    Optofluidic manipulation mechanisms have been successfully applied to micro/nano-scale assembly and handling applications in biophysics, electronics, and photonics. Here, we extend the laser-based optofluidic microbubble manipulation technique to achieve hybrid integration of compound semiconductor microdisk lasers on the silicon photonic circuit platform. The microscale compound semiconductor block trapped on the microbubble surface can be precisely assembled on a desired position using photothermocapillary convective flows induced by focused laser beam illumination. Strong light absorption within the micro-scale compound semiconductor object allows real-time and on-demand microbubble generation. After the assembly process, we verify that electromagnetic radiation from the optically-pumped InGaAsP microdisk laser can be efficiently coupled to the single-mode silicon waveguide through vertical evanescent coupling. Our simple and accurate microbubble-based manipulation technique may provide a new pathway for realizing high precision fluidic assembly schemes for heterogeneously integrated photonic/electronic platforms as well as microelectromechanical systems. PMID:27431769

  11. Electrodes for Semiconductor Gas Sensors

    PubMed Central

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  12. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    NASA Astrophysics Data System (ADS)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  13. Ultrafast Spectroscopy of Mid-Infrared Semiconductors Using the Signal and Idler Beams of a Synchronous Optical Parametric Oscillator

    DTIC Science & Technology

    2008-03-01

    then used to fit theoretical models describing radiative and non-radiative relaxation processes. 3.2 Experimental Setup This thesis uses a mode...Russian Efforts. Master’s thesis, Naval Postgraduate School, 2005. 5. Chirsto, Farid C. “Thermochemistry and Kinetics Models for MagnesiumTe- flon/Viton...Coherent Mira Model 900-F Laser. 7. Cooley, William T. Measurement of Ultrafast Carrier Recombination Dynamics in Mid-Infrared Semiconductor Laser Material

  14. Metal-core/semiconductor-shell nanocones for broadband solar absorption enhancement.

    PubMed

    Zhou, Lin; Yu, Xiaoqiang; Zhu, Jia

    2014-02-12

    Nanostructure-based photovoltaic devices have exhibited several advantages, such as reduced reflection, extraordinary light trapping, and so forth. In particular, semiconductor nanostructures provide optical modes that have strong dependence on the size and geometry. Metallic nanostructures also attract a lot of attention because of the appealing plasmonic effect on the near-field enhancement. In this study, we propose a novel design, the metal-core/semiconductor-shell nanocones with the core radius varying in a linearly gradient style. With a thin layer of semiconductor absorber coated on a metallic cone, such a design can lead to significant and broadband absorption enhancement across the entire visible and near-infrared solar spectrum. As an example of demonstration, a layer of 16 nm thick crystalline silicon (c-Si) coated on a silver nanocone can absorb 27% of standard solar radiation across a broad spectral range of 300-1100 nm, which is equivalent to a 700 nm thick flat c-Si film. Therefore, the absorption enhancement factor approaching the Yablonovitch limit is achieved with this design. The significant absorption enhancement can be ascribed to three types of optical modes, that is, Fabry-Perot modes, plasmonic modes, and hybrid modes that combine the features of the previous two. In addition, the unique nanocone geometry enables the linearly gradient radius of the semiconductor shell, which can support multiple optical resonances, critical for the broadband absorption. Our design may find general usage as elements for the low cost, high efficiency solar conversion and water-splitting devices.

  15. Low-threshold voltage ultraviolet light-emitting diodes based on (Al,Ga)N metal-insulator-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Liang, Yu-Han; Towe, Elias

    2017-12-01

    Al-rich III-nitride-based deep-ultraviolet (UV) (275-320 nm) light-emitting diodes are plagued with a low emission efficiency and high turn-on voltages. We report Al-rich (Al,Ga)N metal-insulator-semiconductor UV light-emitting Schottky diodes with low turn-on voltages of <3 V, which are about half those of typical (Al,Ga)N p-i-n diodes. Our devices use a thin AlN film as the insulator and an n-type Al0.58Ga0.42N film as the semiconductor. To improve the efficiency, we inserted a GaN quantum-well structure between the AlN insulator and the n-type Al x Ga1- x N semiconductor. The benefits of the quantum-well structure include the potential to tune the emission wavelength and the capability to confine carriers for more efficient radiative recombination.

  16. Method of passivating semiconductor surfaces

    DOEpatents

    Wanlass, Mark W.

    1990-01-01

    A method of passivating Group III-V or II-VI semiconductor compound surfaces. The method includes selecting a passivating material having a lattice constant substantially mismatched to the lattice constant of the semiconductor compound. The passivating material is then grown as an ultrathin layer of passivating material on the surface of the Group III-V or II-VI semiconductor compound. The passivating material is grown to a thickness sufficient to maintain a coherent interface between the ultrathin passivating material and the semiconductor compound. In addition, a device formed from such method is also disclosed.

  17. Semiconductor structure and recess formation etch technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching processmore » stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.« less

  18. Phonon structures of GaN-based random semiconductor alloys

    NASA Astrophysics Data System (ADS)

    Zhou, Mei; Chen, Xiaobin; Li, Gang; Zheng, Fawei; Zhang, Ping

    2017-12-01

    Accurate modeling of thermal properties is strikingly important for developing next-generation electronics with high performance. Many thermal properties are closely related to phonon dispersions, such as sound velocity. However, random substituted semiconductor alloys AxB1-x usually lack translational symmetry, and simulation with periodic boundary conditions often requires large supercells, which makes phonon dispersion highly folded and hardly comparable with experimental results. Here, we adopt a large supercell with randomly distributed A and B atoms to investigate substitution effect on the phonon dispersions of semiconductor alloys systematically by using phonon unfolding method [F. Zheng, P. Zhang, Comput. Mater. Sci. 125, 218 (2016)]. The results reveal the extent to which phonon band characteristics in (In,Ga)N and Ga(N,P) are preserved or lost at different compositions and q points. Generally, most characteristics of phonon dispersions can be preserved with indium substitution of gallium in GaN, while substitution of nitrogen with phosphorus strongly perturbs the phonon dispersion of GaN, showing a rapid disintegration of the Bloch characteristics of optical modes and introducing localized impurity modes. In addition, the sound velocities of both (In,Ga)N and Ga(N,P) display a nearly linear behavior as a function of substitution compositions. Supplementary material in the form of one pdf file available from the Journal web page at http://https://doi.org/10.1140/epjb/e2017-80481-0.

  19. Analysis of a Statistical Relationship Between Dose and Error Tallies in Semiconductor Digital Integrated Circuits for Application to Radiation Monitoring Over a Wireless Sensor Network

    NASA Astrophysics Data System (ADS)

    Colins, Karen; Li, Liqian; Liu, Yu

    2017-05-01

    Mass production of widely used semiconductor digital integrated circuits (ICs) has lowered unit costs to the level of ordinary daily consumables of a few dollars. It is therefore reasonable to contemplate the idea of an engineered system that consumes unshielded low-cost ICs for the purpose of measuring gamma radiation dose. Underlying the idea is the premise of a measurable correlation between an observable property of ICs and radiation dose. Accumulation of radiation-damage-induced state changes or error events is such a property. If correct, the premise could make possible low-cost wide-area radiation dose measurement systems, instantiated as wireless sensor networks (WSNs) with unshielded consumable ICs as nodes, communicating error events to a remote base station. The premise has been investigated quantitatively for the first time in laboratory experiments and related analyses performed at the Canadian Nuclear Laboratories. State changes or error events were recorded in real time during irradiation of samples of ICs of different types in a 60Co gamma cell. From the error-event sequences, empirical distribution functions of dose were generated. The distribution functions were inverted and probabilities scaled by total error events, to yield plots of the relationship between dose and error tallies. Positive correlation was observed, and discrete functional dependence of dose quantiles on error tallies was measured, demonstrating the correctness of the premise. The idea of an engineered system that consumes unshielded low-cost ICs in a WSN, for the purpose of measuring gamma radiation dose over wide areas, is therefore tenable.

  20. Polymeric and Molecular Materials for Advanced Organic Electronics

    DTIC Science & Technology

    2014-10-20

    x - ray reflectivity, grazing incidence x - ray scattering, cyclic voltam- metry...6). ix These materials are characterized by AFM, conducting AFM, XPS, x - ray reflectivity (XRR), standing wave x - ray reflectivity (SWXRR), x - ray ...radiation hard - ness measurements, and quantum chemical computation of dielectric constants. Remark- ably, for semiconductors as diverse

  1. First tests of a novel radiation hard CMOS sensor process for Depleted Monolithic Active Pixel Sensors

    NASA Astrophysics Data System (ADS)

    Pernegger, H.; Bates, R.; Buttar, C.; Dalla, M.; van Hoorne, J. W.; Kugathasan, T.; Maneuski, D.; Musa, L.; Riedler, P.; Riegel, C.; Sbarra, C.; Schaefer, D.; Schioppa, E. J.; Snoeys, W.

    2017-06-01

    The upgrade of the ATLAS [1] tracking detector for the High-Luminosity Large Hadron Collider (LHC) at CERN requires novel radiation hard silicon sensor technologies. Significant effort has been put into the development of monolithic CMOS sensors but it has been a challenge to combine a low capacitance of the sensing node with full depletion of the sensitive layer. Low capacitance brings low analog power. Depletion of the sensitive layer causes the signal charge to be collected by drift sufficiently fast to separate hits from consecutive bunch crossings (25 ns at the LHC) and to avoid losing the charge by trapping. This paper focuses on the characterization of charge collection properties and detection efficiency of prototype sensors originally designed in the framework of the ALICE Inner Tracking System (ITS) upgrade [2]. The prototypes are fabricated both in the standard TowerJazz 180nm CMOS imager process [3] and in an innovative modification of this process developed in collaboration with the foundry, aimed to fully deplete the sensitive epitaxial layer and enhance the tolerance to non-ionizing energy loss. Sensors fabricated in standard and modified process variants were characterized using radioactive sources, focused X-ray beam and test beams before and after irradiation. Contrary to sensors manufactured in the standard process, sensors from the modified process remain fully functional even after a dose of 1015neq/cm2, which is the the expected NIEL radiation fluence for the outer pixel layers in the future ATLAS Inner Tracker (ITk) [4].

  2. Polarization effects on hard target calibration of lidar systems

    NASA Technical Reports Server (NTRS)

    Kavaya, Michael J.

    1987-01-01

    The theory of hard target calibration of lidar backscatter data, including laboratory measurements of the pertinent target reflectance parameters, is extended to include the effects of polarization of the transmitted and received laser radiation. The bidirectional reflectance-distribution function model of reflectance is expanded to a 4 x 4 matrix allowing Mueller matrix and Stokes vector calculus to be employed. Target reflectance parameters for calibration of lidar backscatter data are derived for various lidar system polarization configurations from integrating sphere and monostatic reflectometer measurements. It is found that correct modeling of polarization effects is mandatory for accurate calibration of hard target reflectance parameters and, therefore, for accurate calibration of lidar backscatter data.

  3. Semiconductor devices incorporating multilayer interference regions

    DOEpatents

    Biefeld, Robert M.; Drummond, Timothy J.; Gourley, Paul L.; Zipperian, Thomas E.

    1990-01-01

    A semiconductor high reflector comprising a number of thin alternating layers of semiconductor materials is electrically tunable and may be used as a temperature insensitive semiconductor laser in a Fabry-Perot configuration.

  4. Photovoltaic radiation detector element

    DOEpatents

    Agouridis, Dimitrios C.

    1983-01-01

    A radiation detector element is formed of a body of semiconductor material, a coating on the body which forms a photovoltaic junction therewith, and a current collector consisting of narrow metallic strips, the aforesaid coating having an opening therein the edge of which closely approaches but is spaced from the current collector strips.

  5. Semiconductor electrode with improved photostability characteristics

    DOEpatents

    Frank, A.J.

    1985-02-19

    An electrode is described for use in photoelectrochemical cells having an electrolyte which includes an aqueous constituent. The electrode consists of a semiconductor and a hydrophobic film disposed between the semiconductor and the aqueous constituent. The hydrophobic film is adapted to permit charges to pass therethrough while substantially decreasing the activity of the aqueous constituent at the semiconductor surface thereby decreasing the photodegradation of the semiconductor electrode.

  6. Semiconductor electrode with improved photostability characteristics

    DOEpatents

    Frank, Arthur J.

    1987-01-01

    An electrode is disclosed for use in photoelectrochemical cells having an electrolyte which includes an aqueous constituent. The electrode includes a semiconductor and a hydrophobic film disposed between the semiconductor and the aqueous constituent. The hydrophobic film is adapted to permit charges to pass therethrough while substantially decreasing the activity of the aqueous constituent at the semiconductor surface thereby decreasing the photodegradation of the semiconductor electrode.

  7. INTERNATIONAL CONFERENCE ON SEMICONDUCTOR INJECTION LASERS SELCO-87: Computer model for quasioptic waveguide lasers

    NASA Astrophysics Data System (ADS)

    Wenzel, H.; Wünsche, H. J.

    1988-11-01

    A description is given of a numerical model of a semiconductor laser with a quasioptic waveguide (index guide). This model can be used on a personal computer. The model can be used to find the radiation field distributions in the vertical and lateral directions, the pump currents at the threshold, and also to solve dynamic rate equations.

  8. Method of passivating semiconductor surfaces

    DOEpatents

    Wanlass, M.W.

    1990-06-19

    A method is described for passivating Group III-V or II-VI semiconductor compound surfaces. The method includes selecting a passivating material having a lattice constant substantially mismatched to the lattice constant of the semiconductor compound. The passivating material is then grown as an ultrathin layer of passivating material on the surface of the Group III-V or II-VI semiconductor compound. The passivating material is grown to a thickness sufficient to maintain a coherent interface between the ultrathin passivating material and the semiconductor compound. In addition, a device formed from such method is also disclosed.

  9. The NuSTAR view on Hard-TeV BL Lacs

    NASA Astrophysics Data System (ADS)

    Costamante, L.; Bonnoli, G.; Tavecchio, F.; Ghisellini, G.; Tagliaferri, G.; Khangulyan, D.

    2018-05-01

    Hard-TeV BL Lacs are a new type of blazars characterized by a hard intrinsic TeV spectrum, locating the peak of their gamma-ray emission in the spectral energy distribution (SED) above 2-10 TeV. Such high energies are problematic for the Compton emission, using a standard one-zone leptonic model. We study six examples of this new type of BL Lacs in the hard X-ray band with NuSTAR. Together with simultaneous observations with the Neil Gehrels Swift Observatory, we fully constrain the peak of the synchrotron emission in their SED, and test the leptonic synchrotron self-Compton (SSC) model. We confirm the extreme nature of 5 objects also in the synchrotron emission. We do not find evidence of additional emission components in the hard X-ray band. We find that a one-zone SSC model can in principle reproduce the extreme properties of both peaks in the SED, from X-ray up to TeV energies, but at the cost of i) extreme electron energies with very low radiative efficiency, ii) conditions heavily out of equipartition (by 3 to 5 orders of magnitude), and iii) not accounting for the simultaneous UV data, which then should belong to a different emission component, possibly the same as the far-IR (WISE) data. We find evidence of this separation of the UV and X-ray emission in at least two objects. In any case, the TeV electrons must not "see" the UV or lower-energy photons, even if coming from different zones/populations, or the increased radiative cooling would steepen the VHE spectrum.

  10. The NuSTAR view on hard-TeV BL Lacs

    NASA Astrophysics Data System (ADS)

    Costamante, L.; Bonnoli, G.; Tavecchio, F.; Ghisellini, G.; Tagliaferri, G.; Khangulyan, D.

    2018-07-01

    Hard-TeV BL Lacs are a new type of blazars characterized by a hard intrinsic TeV spectrum, locating the peak of their gamma-ray emission in the spectral energy distribution (SED) above 2-10 TeV. Such high energies are problematic for the Compton emission, using a standard one-zone leptonic model. We study six examples of this new type of BL Lacs in the hard X-ray band with NuSTAR. Together with simultaneous observations with the Neil Gehrels Swift Observatory, we fully constrain the peak of the synchrotron emission in their SED, and test the leptonic synchrotron self-Compton (SSC) model. We confirm the extreme nature of five objects also in the synchrotron emission. We do not find evidence of additional emission components in the hard X-ray band. We find that a one-zone SSC model can in principle reproduce the extreme properties of both peaks in the SED, from X-ray up to TeV energies, but at the cost of (i) extreme electron energies with very low radiative efficiency, (ii) conditions heavily out of equipartition (by three to five orders of magnitude), and (iii) not accounting for the simultaneous UV data, which then should belong to a different emission component, possibly the same as the far-IR (WISE) data. We find evidence of this separation of the UV and X-ray emission in at least two objects. In any case, the TeV electrons must not `see' the UV or lower energy photons, even if coming from different zones/populations, or the increased radiative cooling would steepen the very high energies spectrum.

  11. MOSFET and MOS capacitor responses to ionizing radiation

    NASA Technical Reports Server (NTRS)

    Benedetto, J. M.; Boesch, H. E., Jr.

    1984-01-01

    The ionizing radiation responses of metal oxide semiconductor (MOS) field-effect transistors (FETs) and MOS capacitors are compared. It is shown that the radiation-induced threshold voltage shift correlates closely with the shift in the MOS capacitor inversion voltage. The radiation-induced interface-state density of the MOSFETs and MOS capacitors was determined by several techniques. It is shown that the presence of 'slow' states can interfere with the interface-state measurements.

  12. Semiconductor devices incorporating multilayer interference regions

    DOEpatents

    Biefeld, R.M.; Drummond, T.J.; Gourley, P.L.; Zipperian, T.E.

    1987-08-31

    A semiconductor high reflector comprising a number of thin alternating layers of semiconductor materials is electrically tunable and may be used as a temperature insensitive semiconductor laser in a Fabry-Perot configuration. 8 figs.

  13. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    PubMed

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  14. The damage equivalence of electrons, protons, alphas and gamma rays in rad-hard MOS devices

    NASA Technical Reports Server (NTRS)

    Stassinopoulos, E. G.; Van Gunten, O.; Brucker, G. J.; Knudson, A. R.; Jordan, T. M.

    1983-01-01

    This paper reports on a study of damage equivalence in rad-hard MOS devices with 100,000 rads (SiO2) capability. Damage sensitivities for electrons of 1, 2, 3, 5, and 7 MeV, protons of 1, 3, 7, 22, and 40 MeV, 3.4-MeV alphas, and Co-60 gammas were measured and compared. Results indicated that qualitatively the same charge recombination effects occurred in hard oxide devices for doses of 100,000 rads (SiO2) as in soft oxide parts for doses of 1 to 4 krads (SiO2). Consequently, damage equivalency or non-equivalency depended on radiation type and energy. However, recovery effects, both during and after irradiation, controlled relative damage sensitivity and its dependency on total dose, dose rate, supply bias, gate bias, radiation type, and energy. Correction factors can be derived from these data or from similar tests of other hard oxide type, so as to properly evaluate the combined effects of the total space environment.

  15. Development of a compact radiation-hardened low-noise front-end readout ASIC for CZT-based hard X-ray imager

    NASA Astrophysics Data System (ADS)

    Gao, W.; Gan, B.; Li, X.; Wei, T.; Gao, D.; Hu, Y.

    2015-04-01

    In this paper, we present the development and performances of a radiation-hardened front-end readout application-specific integrated circuit (ASIC) dedicated to CZT detectors for a hard X-ray imager in space applications. The readout channel consists of a charge sensitive amplifier (CSA), a CR-RC shaper, a fast shaper, a discriminator and a driving buffer. With the additional digital filtering, the readout channel can achieve very low noise performances and low power dissipation. An eight-channel prototype ASIC is designed and fabricated in 0.35 μm CMOS process. The energy range of the detected X-rays is evaluated as 1.45 keV to 281 keV. The gain is larger than 100 mV/fC. The equivalent noise charge (ENC) of the ASIC is 53 e- at zero farad plus 10 e- per picofarad. The power dissipation is less than 4.4 mW/channel. Through the measurement with a CZT detector, the energy resolution is less than 3.45 keV (FWHM) under the irradiation of the radioactive source 241Am. The radiation effect experiments indicate that the proposed ASIC can resist the total ionization dose (TID) irradiation of higher than 200 krad (Si).

  16. The effects of nuclear radiation on Schottky power diodes and power MOSFETs

    NASA Astrophysics Data System (ADS)

    Kulisek, Jonathan Andrew

    NASA is exploring the potential use of nuclear reactors as power sources for future space missions. These missions will require electrical components, consisting of power circuits and semiconductor devices, to be placed in close vicinity to the reactor, in the midst of a high neutron and gamma-ray radiation field. Therefore, the primary goal of this research is to examine the effects of a mixed neutron and gamma-ray radiation field on the static and dynamic electrical performance of power Schottky diodes and power MOSFETs in order to support future design efforts of radiation-hard power semiconductors and circuits. In order to accomplish this, non-radiation hardened commercial power Si and SiC Schottky power diodes, manufactured by International Rectifier and Cree, respectively, were irradiated in the Ohio State University Research Reactor (OSURR), and their degradation in electrical performance was observed using I-V characterization. Key electrical performance parameters were extracted using least squares curve-fits of the corresponding semiconductor physics model equations to the experimental data, and these electrical performance parameters were used to model the diodes in PSpice. A half-wave rectifier circuit containing Cree SiC Schottky diodes, rated for 5 A DC forward current and 1200 V DC blocking voltage, was also tested and modeled in order to determine and analyze changes in overall circuit performance and diode power dissipation as a function of radiation dose. Also, electrical components will be exposed to charged particle radiation from space, such as high energy protons in the Van Allen Radiation Belts surrounding earth. Therefore, the results from this study, with respect to the Si and SiC Schottky power diodes, were compared to results published by NASA, which had tested the same diode models at the Indiana University Cyclotron Facility (IUCF) with a 203 MeV proton beam. The comparison was made on the basis of displacement damage dose, calculated

  17. Nuclear Forensics and Radiochemistry: Radiation Detection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rundberg, Robert S.

    Radiation detection is necessary for isotope identification and assay in nuclear forensic applications. The principles of operation of gas proportional counters, scintillation counters, germanium and silicon semiconductor counters will be presented. Methods for calibration and potential pitfalls in isotope quantification will be described.

  18. Further links between the maximum hardness principle and the hard/soft acid/base principle: insights from hard/soft exchange reactions.

    PubMed

    Chattaraj, Pratim K; Ayers, Paul W; Melin, Junia

    2007-08-07

    Ayers, Parr, and Pearson recently showed that insight into the hard/soft acid/base (HSAB) principle could be obtained by analyzing the energy of reactions in hard/soft exchange reactions, i.e., reactions in which a soft acid replaces a hard acid or a soft base replaces a hard base [J. Chem. Phys., 2006, 124, 194107]. We show, in accord with the maximum hardness principle, that the hardness increases for favorable hard/soft exchange reactions and decreases when the HSAB principle indicates that hard/soft exchange reactions are unfavorable. This extends the previous work of the authors, which treated only the "double hard/soft exchange" reaction [P. K. Chattaraj and P. W. Ayers, J. Chem. Phys., 2005, 123, 086101]. We also discuss two different approaches to computing the hardness of molecules from the hardness of the composing fragments, and explain how the results differ. In the present context, it seems that the arithmetic mean of fragment softnesses is the preferable definition.

  19. Radiation Effects in Advanced Microelectonic Technologies

    NASA Technical Reports Server (NTRS)

    Johnston, A. H.

    1997-01-01

    Several new radiation phenomena have been observed in laboratory testing of advanced microelectonics that are not yet of sufficient importance for typical space applications, but provide insight into the likely effects of scaling and device design on radiation hardness.

  20. Towards radiation hard converter material for SiC-based fast neutron detectors

    NASA Astrophysics Data System (ADS)

    Tripathi, S.; Upadhyay, C.; Nagaraj, C. P.; Venkatesan, A.; Devan, K.

    2018-05-01

    In the present work, Geant4 Monte-Carlo simulations have been carried out to study the neutron detection efficiency of the various neutron to other charge particle (recoil proton) converter materials. The converter material is placed over Silicon Carbide (SiC) in Fast Neutron detectors (FNDs) to achieve higher neutron detection efficiency as compared to bare SiC FNDs. Hydrogenous converter material such as High-Density Polyethylene (HDPE) is preferred over other converter materials due to the virtue of its high elastic scattering reaction cross-section for fast neutron detection at room temperature. Upon interaction with fast neutrons, hydrogenous converter material generates recoil protons which liberate e-hole pairs in the active region of SiC detector to provide a detector signal. The neutron detection efficiency offered by HDPE converter is compared with several other hydrogenous materials viz., 1) Lithium Hydride (LiH), 2) Perylene, 3) PTCDA . It is found that, HDPE, though providing highest efficiency among various studied materials, cannot withstand high temperature and harsh radiation environment. On the other hand, perylene and PTCDA can sustain harsh environments, but yields low efficiency. The analysis carried out reveals that LiH is a better material for neutron to other charge particle conversion with competent efficiency and desired radiation hardness. Further, the thickness of LiH has also been optimized for various mono-energetic neutron beams and Am-Be neutron source generating a neutron fluence of 109 neutrons/cm2. The optimized thickness of LiH converter for fast neutron detection is found to be ~ 500 μm. However, the estimated efficiency for fast neutron detection is only 0.1%, which is deemed to be inadequate for reliable detection of neutrons. A sensitivity study has also been done investigating the gamma background effect on the neutron detection efficiency for various energy threshold of Low-Level Discriminator (LLD). The detection

  1. Defect identification in semiconductors with positron annihilation: experiment and theory

    NASA Astrophysics Data System (ADS)

    Tuomisto, Filip

    2015-03-01

    Positron annihilation spectroscopy is a very powerful technique for the detection, identification and quantification of vacancy-type defects in semiconductors. In the past decades, it has been used to reveal the relationship between opto-electronic properties and specific defects in a wide variety of materials - examples include parasitic yellow luminescence in GaN, dominant acceptor defects in ZnO and broad-band absorption causing brown coloration in natural diamond. In typical binary compound semiconductors, the selective sensitivity of the technique is rather strongly limited to cation vacancies that possess significant open volume and suitable charge (negative of neutral). On the other hand, oxygen vacancies in oxide semiconductors are a widely debated topic. The properties attributed to oxygen vacancies include the inherent n-type conduction, poor p-type dopability, coloration (absorption), deep level luminescence and non-radiative recombination, while the only direct experimental evidence of their existence has been obtained on the crystal surface. We will present recent advances in combining state-of-the-art positron annihilation experiments and ab initio computational approaches. The latter can be used to model both the positron lifetime and the electron-positron momentum distribution - quantities that can be directly compared with experimental results. We have applied these methods to study vacancy-type defects in III-nitride semiconductors (GaN, AlN, InN) and oxides such as ZnO, SnO2, In2O3andGa2O3. We will show that cation-vacancy-related defects are important compensating centers in all these materials when they are n-type. In addition, we will show that anion (N, O) vacancies can be detected when they appear as complexes with cation vacancies.

  2. Stable surface passivation process for compound semiconductors

    DOEpatents

    Ashby, Carol I. H.

    2001-01-01

    A passivation process for a previously sulfided, selenided or tellurated III-V compound semiconductor surface. The concentration of undesired mid-gap surface states on a compound semiconductor surface is reduced by the formation of a near-monolayer of metal-(sulfur and/or selenium and/or tellurium)-semiconductor that is effective for long term passivation of the underlying semiconductor surface. Starting with the III-V compound semiconductor surface, any oxidation present thereon is substantially removed and the surface is then treated with sulfur, selenium or tellurium to form a near-monolayer of chalcogen-semiconductor of the surface in an oxygen-free atmosphere. This chalcogenated surface is then contacted with a solution of a metal that will form a low solubility chalcogenide to form a near-monolayer of metal-chalcogen-semiconductor. The resulting passivating layer provides long term protection for the underlying surface at or above the level achieved by a freshly chalcogenated compound semiconductor surface in an oxygen free atmosphere.

  3. Design and development of a hard tube flexible radiator system

    NASA Technical Reports Server (NTRS)

    Hixon, C. W.

    1980-01-01

    The construction and operational characteristics of an extended life flexible radiator panel is described. The radiator panel consists of a flexible fin laminate and stainless steel flow tubes designed for a 90 percent probability of surviving 5 years in an Earth orbit micrometeoroid environment. The radiator panel rejects 1.1 kW sub t of heat into an environmental sink temperature of 0 F. Total area is 170 square feet and the panel extends 25 feet in the fully deployed position. When retracted the panel rolls onto a 11.5 inch diameter by 52 inch long storage drum, for a final stored diameter of 22 inches.

  4. Radiation Issues Surrounding Very High Energy Ion Implantation

    NASA Astrophysics Data System (ADS)

    White, Nicholas R.; Tokoro, Nobuhiro; Bell, Edward

    2008-11-01

    The requirements for doping of semiconductor device layers have pushed the boundaries of implanter energy, for example, with the use of >5 MeV energies for fabrication of optical sensory arrays. With the higher energy comes the need to understand associated radiation risks. This paper presents original measured data quantifying the range in which safe commercial implantation can be accomplished without radiation hazards.

  5. Annihilation radiation in cosmic gamma-ray bursts

    NASA Technical Reports Server (NTRS)

    Aptekar, R. L.; Golenetskii, S. V.; Guryan, Y. A.; Ilyinskii, V. N.; Mazets, E. P.

    1985-01-01

    The pair annihilation radiation in gamma-ray bursts is seen as broad lines with extended hard wings. This radiation is suggested to escape in a collimated beam from magnetic polar regions of neutron stars.

  6. Methods of measurement for semiconductor materials, process control, and devices

    NASA Technical Reports Server (NTRS)

    Bullis, W. M. (Editor)

    1972-01-01

    Activities directed toward the development of methods of measurement for semiconductor materials, process control, and devices are described. Topics investigated include: measurements of transistor delay time; application of the infrared response technique to the study of radiation-damaged, lithium-drifted silicon detectors; and identification of a condition that minimizes wire flexure and reduces the failure rate of wire bonds in transistors and integrated circuits under slow thermal cycling conditions. Supplementary data concerning staff, standards committee activities, technical services, and publications are included as appendixes.

  7. Tailoring light-matter coupling in semiconductor and hybrid-plasmonic nanowires

    PubMed Central

    Piccione, Brian; Aspetti, Carlos O.; Cho, Chang-Hee; Agarwal, Ritesh

    2014-01-01

    Understanding interactions between light and matter is central to many fields, providing invaluable insights into the nature of matter. In its own right, a greater understanding of light-matter coupling has allowed for the creation of tailored applications, resulting in a variety of devices such as lasers, switches, sensors, modulators, and detectors. Reduction of optical mode volume is crucial to enhancing light-matter coupling strength, and among solid-state systems, self-assembled semiconductor and hybrid-plasmonic nanowires are amenable to creation of highly-confined optical modes. Following development of unique spectroscopic techniques designed for the nanowire morphology, carefully engineered semiconductor nanowire cavities have recently been tailored to enhance light-matter coupling strength in a manner previously seen in optical microcavities. Much smaller mode volumes in tailored hybrid-plasmonic nanowires have recently allowed for similar breakthroughs, resulting in sub-picosecond excited-state lifetimes and exceptionally high radiative rate enhancement. Here, we review literature on light-matter interactions in semiconductor and hybrid-plasmonic monolithic nanowire optical cavities to highlight recent progress made in tailoring light-matter coupling strengths. Beginning with a discussion of relevant concepts from optical physics, we will discuss how our knowledge of light-matter coupling has evolved with our ability to produce ever-shrinking optical mode volumes, shifting focus from bulk materials to optical microcavities, before moving on to recent results obtained from semiconducting nanowires. PMID:25093385

  8. Ellipsometric and optical study of some uncommon insulator films on 3-5 semiconductors

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Warner, J. D.; Liu, D. C.; Pouch, J. J.

    1985-01-01

    Optical properties of three types of insulating films that show promise in potential applications in the 3-4 semiconductor technology were evaluated, namely a-C:H, BN and CaF2. The plasma deposited a-C:H shows an amorphous behavior with optical energy gaps of approximately 2 to 2.4 eV. These a-C:H films have higher density and/or hardness, higher refractive index and lower optical energy gaps with increasing energy of the particles in the plasma, while the density of states remains unchanged. These results are in agreement, and give a fine-tuned positive confirmation to an existing conjecture on the nature of a-C:H films (1). Ion beam deposited BN films show amorphous behavior with energy gap of 5 eV. These films are nonstoichiometric (B/N approximately 2) and have refractive index, density and/or hardness which are dependent on the deposition conditions. The epitaxially grown CaF2 on GaAs films have optical parameters equal to bulk, but evidence of damage was found in the GaAs at the interface.

  9. Room-temperature ductile inorganic semiconductor.

    PubMed

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag 2 S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  10. Room-temperature ductile inorganic semiconductor

    NASA Astrophysics Data System (ADS)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  11. Radiation hardness study of semi-insulating GaAs detectors against 5 MeV electrons

    NASA Astrophysics Data System (ADS)

    Šagátová, A.; Zaťko, B.; Nečas, V.; Sedlačková, K.; Boháček, P.; Fülöp, M.; Pavlovič, M.

    2018-01-01

    A radiation hardness study of Semi-Insulating (SI) GaAs detectors against 5 MeV electrons is described in this paper. The influence of two parameters, the accumulative absorbed dose (from 1 to 200 kGy) and the applied dose rate (20, 40 or 80 kGy/h), on detector spectrometric properties were studied. The accumulative dose has influenced all evaluated spectrometric properties and also negatively affected the detector CCE (Charge Collection Efficiency). We have observed its systematic reduction from an initial 79% before irradiation down to about 51% at maximum dose of 200 kGy. Relative energy resolution was also influenced by electron irradiation. Its degradation was obvious in the range of doses from 24 up to a maximum dose of 200 kGy, where an increase from 19% up to 31% at 200 V reverse voltage was noticed. On the other hand, a global increase of detection efficiency with accumulative absorbed dose was observed for all samples. Concerning the actual detector degradation we can assume that the tested SI GaAs detectors will be able to operate up to a dose of 300 kGy at least, when irradiated by 5 MeV electrons. The second investigated parameter of irradiation, the dose rate of chosen ranges, did not greatly alter the spectrometric properties of studied detectors.

  12. Radiation Status of Sub-65 nm Electronics

    NASA Technical Reports Server (NTRS)

    Pellish, Jonathan A.

    2011-01-01

    Ultra-scaled complementary metal oxide semiconductor (CMOS) includes commercial foundry capabilities at and below the 65 nm technology node Radiation evaluations take place using standard products and test characterization vehicles (memories, logic/latch chains, etc.) NEPP focus is two-fold: (1) Conduct early radiation evaluations to ascertain viability for future NASA missions (i.e. leverage commercial technology development). (2) Uncover gaps in current testing methodologies and mechanism comprehension -- early risk mitigation.

  13. Performance of ASTRO-H Hard X-Ray Telescope (HXT)

    NASA Technical Reports Server (NTRS)

    Awaki, Hisamitsu; Kunieda, Hideyo; Ishida, Manabu; Matsumoto, Hironori; Furuzawa, Akihiro; Haba, Yohsito; Hayashi, Takayuki; Iizuka, Ryo; Ishibashi, Kazunori; Itoh, Masayuki; hide

    2016-01-01

    The Japanese X-ray Astronomy Satellite, Hitomi (ASTRO-H) carries hard X-ray imaging system, covering the energy band from 5 keV to 80 keV. The hard X-ray imaging system consists of two hard X-ray telescopes (HXT) and two hard X-ray imagers (HXI). The HXT employs tightly-nested, conically-approximated thin foil Wolter-I optics. The mirror surfaces of HXT were coated with PtC depth-graded multilayers. We carried out ground calibrations of HXTs at the synchrotron radiation facility SPring-8 BL20B2 in Japan, and found that total effective area of two HXTs was about 350 sq cm at 30 keV, and the half power diameter of HXT was about 1.9. After the launch of Hitomi, Hitomi observed several targets during the initial functional verification of the onboard instruments. The Hitomi software and calibration team (SCT) provided the Hitomis data of G21.5-0.9, a pulsar wind nebula, to the hardware team for the purpose of the instrument calibration. Through the analysis of the in-flight data, we have confirmed that the X-ray performance of HXTs in orbit was consistent with that estimated by the ground calibrations.

  14. Roadmap on semiconductor-cell biointerfaces

    NASA Astrophysics Data System (ADS)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  15. Ionizing radiation effects on electrical and reliability characteristics of sputtered Ta2O5/Si interface

    NASA Astrophysics Data System (ADS)

    Rao, Ashwath; Verma, Ankita; Singh, B. R.

    2015-06-01

    This paper describes the effect of ionizing radiation on the interface properties of Al/Ta2O5/Si metal oxide semiconductor (MOS) capacitors using capacitance-voltage (C-V) and current-voltage (I-V) characteristics. The devices were irradiated with X-rays at different doses ranging from 100 rad to 1 Mrad. The leakage behavior, which is an important parameter for memory applications of Al/Ta2O5/Si MOS capacitors, along with interface properties such as effective oxide charges and interface trap density with and without irradiation has been investigated. Lower accumulation capacitance and shift in flat band voltage toward negative value were observed in annealed devices after exposure to radiation. The increase in interfacial oxide layer thickness after irradiation was confirmed by Rutherford Back Scattering measurement. The effect of post-deposition annealing on the electrical behavior of Ta2O5 MOS capacitors was also investigated. Improved electrical and interface properties were obtained for samples deposited in N2 ambient. The density of interface trap states (Dit) at Ta2O5/Si interface sputtered in pure argon ambient was higher compared to samples reactively sputtered in nitrogen-containing plasma. Our results show that reactive sputtering in nitrogen-containing plasma is a promising approach to improve the radiation hardness of Ta2O5/Si MOS devices.

  16. A seven-crystal Johann-type hard x-ray spectrometer at the Stanford Synchrotron Radiation Lightsource

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sokaras, D.; Weng, T.-C.; Nordlund, D.

    2013-05-15

    We present a multicrystal Johann-type hard x-ray spectrometer ({approx}5-18 keV) recently developed, installed, and operated at the Stanford Synchrotron Radiation Lightsource. The instrument is set at the wiggler beamline 6-2 equipped with two liquid nitrogen cooled monochromators - Si(111) and Si(311) - as well as collimating and focusing optics. The spectrometer consists of seven spherically bent crystal analyzers placed on intersecting vertical Rowland circles of 1 m of diameter. The spectrometer is scanned vertically capturing an extended backscattering Bragg angular range (88 Degree-Sign -74 Degree-Sign ) while maintaining all crystals on the Rowland circle trace. The instrument operates in atmosphericmore » pressure by means of a helium bag and when all the seven crystals are used (100 mm of projected diameter each), has a solid angle of about 0.45% of 4{pi} sr. The typical resolving power is in the order of (E/{Delta}E){approx}10 000. The spectrometer's high detection efficiency combined with the beamline 6-2 characteristics permits routine studies of x-ray emission, high energy resolution fluorescence detected x-ray absorption and resonant inelastic x-ray scattering of very diluted samples as well as implementation of demanding in situ environments.« less

  17. Positron lifetime beam for defect studies in thin epitaxial semiconductor structures

    NASA Astrophysics Data System (ADS)

    Laakso, A.; Saarinen, K.; Hautojärvi, P.

    2001-12-01

    Positron annihilation spectroscopies are methods for direct identification of vacancy-type defects by measuring positron lifetime and Doppler broadening of annihilation radiation and providing information about open volume, concentration and atoms surrounding the defect. Both these techniques are easily applied to bulk samples. Only the Doppler broadening spectroscopy can be employed in thin epitaxial samples by utilizing low-energy positron beams. Here we describe the positron lifetime beam which will provide us with a method to measure lifetime in thin semiconductor layers.

  18. Radiation hardening of MOS devices by boron. [for stabilizing gate threshold potential of field effect device

    NASA Technical Reports Server (NTRS)

    Danchenko, V. (Inventor)

    1974-01-01

    A technique is described for radiation hardening of MOS devices and specifically for stabilizing the gate threshold potential at room temperature of a radiation subjected MOS field-effect device with a semiconductor substrate, an insulating layer of oxide on the substrate, and a gate electrode disposed on the insulating layer. The boron is introduced within a layer of the oxide of about 100 A-300 A thickness immediately adjacent the semiconductor-insulator interface. The concentration of boron in the oxide layer is preferably maintained on the order of 10 to the 18th power atoms/cu cm. The technique serves to reduce and substantially annihilate radiation induced positive gate charge accumulations.

  19. Introduction to Semiconductor Devices

    NASA Astrophysics Data System (ADS)

    Brennan, Kevin F.

    2005-03-01

    This volume offers a solid foundation for understanding the most important devices used in the hottest areas of electronic engineering today, from semiconductor fundamentals to state-of-the-art semiconductor devices in the telecommunications and computing industries. Kevin Brennan describes future approaches to computing hardware and RF power amplifiers, and explains how emerging trends and system demands of computing and telecommunications systems influence the choice, design and operation of semiconductor devices. In addition, he covers MODFETs and MOSFETs, short channel effects, and the challenges faced by continuing miniaturization. His book is both an excellent senior/graduate text and a valuable reference for practicing engineers and researchers.

  20. Laser-plasma-based Space Radiation Reproduction in the Laboratory

    PubMed Central

    Hidding, B.; Karger, O.; Königstein, T.; Pretzler, G.; Manahan, G. G.; McKenna, P.; Gray, R.; Wilson, R.; Wiggins, S. M.; Welsh, G. H.; Beaton, A.; Delinikolas, P.; Jaroszynski, D. A.; Rosenzweig, J. B.; Karmakar, A.; Ferlet-Cavrois, V.; Costantino, A.; Muschitiello, M.; Daly, E.

    2017-01-01

    Space radiation is a great danger to electronics and astronauts onboard space vessels. The spectral flux of space electrons, protons and ions for example in the radiation belts is inherently broadband, but this is a feature hard to mimic with conventional radiation sources. Using laser-plasma-accelerators, we reproduced relativistic, broadband radiation belt flux in the laboratory, and used this man-made space radiation to test the radiation hardness of space electronics. Such close mimicking of space radiation in the lab builds on the inherent ability of laser-plasma-accelerators to directly produce broadband Maxwellian-type particle flux, akin to conditions in space. In combination with the established sources, utilisation of the growing number of ever more potent laser-plasma-accelerator facilities worldwide as complementary space radiation sources can help alleviate the shortage of available beamtime and may allow for development of advanced test procedures, paving the way towards higher reliability of space missions. PMID:28176862

  1. Future Development of Dense Ferroelectric Memories for Space Applications

    NASA Technical Reports Server (NTRS)

    Philpy, Stephen C.; Derbenwick, Gary F.

    2001-01-01

    The availability of high density, radiation tolerant, nonvolatile memories is critical for space applications. Ferroelectric memories, when fabricated with radiation hardened complementary metal oxide semiconductors (CMOS), can be manufactured and packaged to provide high density replacements for Flash memory, which is not radiation tolerant. Previous work showed ferroelectric memory cells to be resistant to single event upsets and proton irradiation, and ferroelectric storage capacitors to be resistant to neutron exposure. In addition to radiation hardness, the fast programming times, virtually unlimited endurance, and low voltage, low power operation make ferroelectric memories ideal for space missions. Previously, a commercial double level metal 64-kilobit ferroelectric memory was presented. Although the capabilities of radiation hardened wafer fabrication facilities lag behind those of the most modern commercial wafer fabrication facilities, several paths to achieving radiation tolerant, dense ferroelectric memories are emerging. Both short and long term solutions are presented in this paper. Although worldwide major semiconductor companies are introducing commercial ferroelectric memories, funding limitations must be overcome to proceed with the development of high density, radiation tolerant ferroelectric memories.

  2. New developments in power semiconductors

    NASA Technical Reports Server (NTRS)

    Sundberg, G. R.

    1983-01-01

    This paper represents an overview of some recent power semiconductor developments and spotlights new technologies that may have significant impact for aircraft electric secondary power. Primary emphasis will be on NASA-Lewis-supported developments in transistors, diodes, a new family of semiconductors, and solid-state remote power controllers. Several semiconductor companies that are moving into the power arena with devices rated at 400 V and 50 A and above are listed, with a brief look at a few devices.

  3. Zinc Alloys for the Fabrication of Semiconductor Devices

    NASA Technical Reports Server (NTRS)

    Ryu, Yungryel; Lee, Tae S.

    2009-01-01

    ZnBeO and ZnCdSeO alloys have been disclosed as materials for the improvement in performance, function, and capability of semiconductor devices. The alloys can be used alone or in combination to form active photonic layers that can emit over a range of wavelength values. Materials with both larger and smaller band gaps would allow for the fabrication of semiconductor heterostructures that have increased function in the ultraviolet (UV) region of the spectrum. ZnO is a wide band-gap material possessing good radiation-resistance properties. It is desirable to modify the energy band gap of ZnO to smaller values than that for ZnO and to larger values than that for ZnO for use in semiconductor devices. A material with band gap energy larger than that of ZnO would allow for the emission at shorter wavelengths for LED (light emitting diode) and LD (laser diode) devices, while a material with band gap energy smaller than that of ZnO would allow for emission at longer wavelengths for LED and LD devices. The amount of Be in the ZnBeO alloy system can be varied to increase the energy bandgap of ZnO to values larger than that of ZnO. The amount of Cd and Se in the ZnCdSeO alloy system can be varied to decrease the energy band gap of ZnO to values smaller than that of ZnO. Each alloy formed can be undoped or can be p-type doped using selected dopant elements, or can be n-type doped using selected dopant elements. The layers and structures formed with both the ZnBeO and ZnCdSeO semiconductor alloys - including undoped, p-type-doped, and n-type-doped types - can be used for fabricating photonic and electronic semiconductor devices for use in photonic and electronic applications. These devices can be used in LEDs, LDs, FETs (field effect transistors), PN junctions, PIN junctions, Schottky barrier diodes, UV detectors and transmitters, and transistors and transparent transistors. They also can be used in applications for lightemitting display, backlighting for displays, UV and

  4. Multinary I-III-VI2 and I2-II-IV-VI4 Semiconductor Nanostructures for Photocatalytic Applications.

    PubMed

    Regulacio, Michelle D; Han, Ming-Yong

    2016-03-15

    Semiconductor nanostructures that can effectively serve as light-responsive photocatalysts have been of considerable interest over the past decade. This is because their use in light-induced photocatalysis can potentially address some of the most serious environmental and energy-related concerns facing the world today. One important application is photocatalytic hydrogen production from water under solar radiation. It is regarded as a clean and sustainable approach to hydrogen fuel generation because it makes use of renewable resources (i.e., sunlight and water), does not involve fossil fuel consumption, and does not result in environmental pollution or greenhouse gas emission. Another notable application is the photocatalytic degradation of nonbiodegradable dyes, which offers an effective way of ridding industrial wastewater of toxic organic pollutants prior to its release into the environment. Metal oxide semiconductors (e.g., TiO2) are the most widely studied class of semiconductor photocatalysts. Their nanostructured forms have been reported to efficiently generate hydrogen from water and effectively degrade organic dyes under ultraviolet-light irradiation. However, the wide band gap characteristic of most metal oxides precludes absorption of light in the visible region, which makes up a considerable portion of the solar radiation spectrum. Meanwhile, nanostructures of cadmium chalcogenide semiconductors (e.g., CdS), with their relatively narrow band gap that can be easily adjusted through size control and alloying, have displayed immense potential as visible-light-responsive photocatalysts, but the intrinsic toxicity of cadmium poses potential risks to human health and the environment. In developing new nanostructured semiconductors for light-driven photocatalysis, it is important to choose a semiconducting material that has a high absorption coefficient over a wide spectral range and is safe for use in real-world settings. Among the most promising candidates

  5. Microcircuit radiation effects databank

    NASA Technical Reports Server (NTRS)

    1983-01-01

    Radiation test data submitted by many testers is collated to serve as a reference for engineers who are concerned with and have some knowledge of the effects of the natural radiation environment on microcircuits. Total dose damage information and single event upset cross sections, i.e., the probability of a soft error (bit flip) or of a hard error (latchup) are presented.

  6. Four-Wave Mixing of Gigawatt Power, Long-Wave Infrared Radiation in Gases and Semiconductors

    NASA Astrophysics Data System (ADS)

    Pigeon, Jeremy James

    The nonlinear optics of gigawatt power, 10 microm, 3 and 200 ps long pulses propagating in gases and semiconductors has been studied experimentally and numerically. In this work, the development of a high-repetition rate, picosecond, CO2 laser system has enabled experiments using peak intensities in the range of 1-10 GW/cm2, approximately one thousand times greater than previous nonlinear optics experiments in the long-wave infrared (LWIR) spectral region. The first measurements of the nonlinear refractive index of the atomic and molecular gases Kr, Xe, N2, O2 and the air at a wavelength near 10 microm were accomplished by studying the four-wave mixing (FWM) of dual-wavelength, 200 ps CO2 laser pulses. These measurements indicate that the nonlinearities of the diatomic molecules N2, O2 and the air are dominated by the molecular contribution to the nonlinear refractive index. Supercontinuum (SC) generation covering the infrared spectral range, from 2-20 microm, was realized by propagating 3 ps, 10 microm pulses in an approximately 7 cm long, Cr-doped GaAs crystal. Temporal measurements of the SC radiation show that pulse splitting accompanies the generation of such broadband light in GaAs. The propagation of 3 ps, 10 microm pulses in GaAs was studied numerically by solving the Generalized Nonlinear Schrodinger Equation (GNLSE). These simulations, combined with analytic estimates, were used to determine that stimulated Raman scattering combined with a modulational instability caused by the propagation of intense LWIR radiation in the negative group velocity dispersion region of GaAs are responsible for the SC generation process. The multiple FWM of a 106 GHz, 200 ps CO2 laser beat-wave propagating in GaAs was used to generate a broadband FWM spectrum that was compressed by the negative group velocity dispersion of GaAs and NaCl crystals to form trains of high-power, picosecond pulses at a wavelength near 10 microm. Experimental FWM spectra obtained using 165 and 882

  7. Semiconductor films on flexible iridium substrates

    DOEpatents

    Goyal, Amit

    2005-03-29

    A laminate semiconductor article includes a flexible substrate, an optional biaxially textured oxide buffer system on the flexible substrate, a biaxially textured Ir-based buffer layer on the substrate or the buffer system, and an epitaxial layer of a semiconductor. Ir can serve as a substrate with an epitaxial layer of a semiconductor thereon.

  8. Charge regulation at semiconductor-electrolyte interfaces.

    PubMed

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  9. Method for removing semiconductor layers from salt substrates

    DOEpatents

    Shuskus, Alexander J.; Cowher, Melvyn E.

    1985-08-27

    A method is described for removing a CVD semiconductor layer from an alkali halide salt substrate following the deposition of the semiconductor layer. The semiconductor-substrate combination is supported on a material such as tungsten which is readily wet by the molten alkali halide. The temperature of the semiconductor-substrate combination is raised to a temperature greater than the melting temperature of the substrate but less than the temperature of the semiconductor and the substrate is melted and removed from the semiconductor by capillary action of the wettable support.

  10. Radiation Assurance for the Space Environment

    NASA Technical Reports Server (NTRS)

    Barth, Janet L.; LaBel, Kenneth A.; Poivey, Christian

    2004-01-01

    The space radiation environment can lead to extremely harsh operating conditions for spacecraft electronic systems. A hardness assurance methodology must be followed to assure that the space radiation environment does not compromise the functionality and performance of space-based systems during the mission lifetime. The methodology includes a definition of the radiation environment, assessment of the radiation sensitivity of parts, worst-case analysis of the impact of radiation effects, and part acceptance decisions which are likely to include mitigation measures.

  11. RHOBOT: Radiation hardened robotics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bennett, P.C.; Posey, L.D.

    1997-10-01

    A survey of robotic applications in radioactive environments has been conducted, and analysis of robotic system components and their response to the varying types and strengths of radiation has been completed. Two specific robotic systems for accident recovery and nuclear fuel movement have been analyzed in detail for radiation hardness. Finally, a general design approach for radiation-hardened robotics systems has been developed and is presented. This report completes this project which was funded under the Laboratory Directed Research and Development program.

  12. A Reliability Simulator for Radiation-Hard Microelectronics Development

    DTIC Science & Technology

    1991-07-01

    1 3.0 PHASE II WORK PLANS ................................................................ 2... plan . The correlation experimental details including the devices utilized, the hot-carrier stressing and the wafer-level radiation correlation procedure...channel devices, and a new lifetime extrapolation method is demonstrated for p-channel devices. 3.0 PHASE II WORK PLANS The Phase 1I program consisted of

  13. Thiophene-Based Organic Semiconductors.

    PubMed

    Turkoglu, Gulsen; Cinar, M Emin; Ozturk, Turan

    2017-10-24

    Thiophene-based π-conjugated organic small molecules and polymers are the research subject of significant current interest owing to their potential use as organic semiconductors in material chemistry. Despite simple and similar molecular structures, the hitherto reported properties of thiophene-based organic semiconductors are rather diverse. Design of high performance organic semiconducting materials requires a thorough understanding of inter- and intra-molecular interactions, solid-state packing, and the influence of both factors on the charge carrier transport. In this chapter, thiophene-based organic semiconductors, which are classified in terms of their chemical structures and their structure-property relationships, are addressed for the potential applications as organic photovoltaics (OPVs), organic field-effect transistors (OFETs) and organic light emitting diodes (OLEDs).

  14. Comparison of solar hard X-ray and UV line and continuum bursts with high time resolution

    NASA Technical Reports Server (NTRS)

    Orwig, L. E.; Woodgate, B. E.

    1986-01-01

    A comparison of data sets from the UV Spectrometer and Polarimeter and Hard X-ray Burst Spectrometer instruments on SMM has established the close relationship of the impulsive phase hard X-ray and UV continuum and OV line emissions, lending support to the notion that they have a similar origin low in the solar atmosphere. These results severely constrain models that attempt to explain impulsive phase hard X-rays and UV emission; alternative processes of impulsive-phase UV continuum production should accordingly be considered. Attention is given to an electron beam 'hole boring' mechanism and a photoionization radiation transport mechanism.

  15. Manipulating semiconductor colloidal stability through doping.

    PubMed

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2014-10-10

    The interface between a doped semiconductor material and electrolyte solution is of considerable fundamental interest, and is relevant to systems of practical importance. Both adjacent domains contain mobile charges, which respond to potential variations. This is exploited to design electronic and optoelectronic sensors, and other enabling semiconductor colloidal materials. We show that the charge mobility in both phases leads to a new type of interaction between semiconductor colloids suspended in aqueous electrolyte solutions. This interaction is due to the electrostatic response of the semiconductor interior to disturbances in the external field upon the approach of two particles. The electrostatic repulsion between two charged colloids is reduced from the one governed by the charged groups present at the particles surfaces. This type of interaction is unique to semiconductor particles and may have a substantial effect on the suspension dynamics and stability.

  16. Semiconductor Laser Low Frequency Noise Characterization

    NASA Technical Reports Server (NTRS)

    Maleki, Lute; Logan, Ronald T.

    1996-01-01

    This work summarizes the efforts in identifying the fundamental noise limit in semiconductor optical sources (lasers) to determine the source of 1/F noise and it's associated behavior. In addition, the study also addresses the effects of this 1/F noise on RF phased arrays. The study showed that the 1/F noise in semiconductor lasers has an ultimate physical limit based upon similar factors to fundamental noise generated in other semiconductor and solid state devices. The study also showed that both additive and multiplicative noise can be a significant detriment to the performance of RF phased arrays especially in regard to very low sidelobe performance and ultimate beam steering accuracy. The final result is that a noise power related term must be included in a complete analysis of the noise spectrum of any semiconductor device including semiconductor lasers.

  17. Semiconductor devices having a recessed electrode structure

    DOEpatents

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2015-05-26

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  18. Diode having trenches in a semiconductor region

    DOEpatents

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2016-03-22

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  19. Electron transport in high aspect ratio semiconductor nanowires and metal-semiconductor interfaces

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting

    We are facing variability problems for modern semiconductor transistors due to the fact that the performances of nominally identical devices in the scale of 10 100 nm could be dramatically different attributed to the small manufacturing variations. Different doping strategies give statistical variations in the number of dopant atom density ND in the channel. The material size gives variations in wire diameter dW. And the immediate environment of the material leads to an additional level of variability. E.g. vacuum-semiconductor interface causes variations in surface state density Ds, metal-semiconductor interface causes variations in Schottky barrier and dielectric semiconductor interface induces dielectric confinement at small scales. To approach these variability problems, I choose Si-doped GaAs nanowires as an example. I investigate transport in Si-doped GaAs nanowire (NW) samples contacted by lithographically patterned Gold-Titanium films as function of temperature T. I find a drastically different temperature dependence between the wire resistance RW, which is relatively weak, and the zero bias resistance RC, which is strong. I show that the data are consistent with a model based on a sharp donor energy level slightly above the bottom of the semiconductor conduction band and develop a simple method for using transport measurements for estimates of the doping density after nanowire growth. I discuss the predictions of effective free carrier density n eff as function of the surface state density Ds and wire size dW. I also describe a correction to the widely used model of Schottky contacts that improves thermodynamic consistency of the Schottky tunnel barrier profile and show that the original theory may underestimate the barrier conductance under certain conditions. I also provide analytical calculations for shallow silicon dopant energy in GaAs crystals, and find the presence of dielectrics (dielectric screening) and free carriers (Coulomb screening) cause a

  20. An Experiment in Radiation Measurement Using the Depron Instrument

    NASA Astrophysics Data System (ADS)

    Benghin, Victor V.; Nechaev, Oleg Y.; Zolotarev, Ivan A.; Amelyushkin, Alexander M.; Petrov, Vasiliy L.; Panasyuk, Milhail I.; Yashin, Ivan V.

    2018-02-01

    Most of the radiation measurements have been made onboard spacecraft flying along orbits with an inclination of up to 51.6 degrees. Due to the prospect of manned missions at orbits with larger inclinations, it is advisable to conduct preliminary detailed dosimetry measurements at a high-inclination orbit; due to its polar orbit, the Lomonosov satellite provides good opportunities for such study. We chose a method of cosmic radiation dosimetry based on semiconductor detectors. This method is widely used onboard spacecraft, including full-time radiation monitoring onboard the International Space Station (ISS). It should be noted that not only did the charged particles contribute significantly in the dose equivalent, but also did the neutrons. Semiconductor detectors have low sensitivity to neutron radiation and are not sufficient for detecting the expected flux of neutrons. We add a thermal neutron counter to the proposed device in order to provide an opportunity for estimation of neutron flux variations along the satellite trajectory. Thus, the design of the instrument DEPRON (Dosimeter of Electrons, PROtons and Neutrons) was determined. DEPRON is intended for registration of the absorbed doses and linear energy transfer spectra for high-energy electrons, protons and nuclei of space radiation, as well as registration of thermal neutrons. The present paper provides a brief description of the DEPRON instrument. Its calibration results and the first mission results of background radiation measurements are also presented.

  1. Reconfigurable, Bi-Directional Flexfet Level Shifter for Low-Power, Rad-Hard Integration

    NASA Technical Reports Server (NTRS)

    DeGregorio, Kelly; Wilson, Dale G.

    2009-01-01

    Two prototype Reconfigurable, Bi-directional Flexfet Level Shifters (ReBiLS) have been developed, where one version is a stand-alone component designed to interface between external low voltage and high voltage, and the other version is an embedded integrated circuit (IC) for interface between internal low-voltage logic and external high-voltage components. Targeting stand-alone and embedded circuits separately allows optimization for these distinct applications. Both ReBiLS designs use the commercially available 180-nm Flex fet Independently Double-Gated (IDG) SOI CMOS (silicon on insulator, complementary metal oxide semiconductor) technology. Embedded ReBiLS circuits were integrated with a Reed-Solomon (RS) encoder using CMOS Ultra-Low-Power Radiation Tolerant (CULPRiT) double-gated digital logic circuits. The scope of the project includes: creation of a new high-voltage process, development of ReBiLS circuit designs, and adjustment of the designs to maximize performance through simulation, layout, and manufacture of prototypes. The primary technical objectives were to develop a high-voltage, thick oxide option for the 180-nm Flexfet process, and to develop a stand-alone ReBiLS IC with two 8-channel I/O busses, 1.8 2.5 I/O on the low-voltage pins, 5.0-V-tolerant input and 3.3-V output I/O on the high-voltage pins, and 100-MHz minimum operation with 10-pF external loads. Another objective was to develop an embedded, rad-hard ReBiLS I/O cell with 0.5-V low-voltage operation for interface with core logic, 5.0-V-tolerant input and 3.3-V output I/O pins, and 100-MHz minimum operation with 10- pF external loads. A third objective was to develop a 0.5- V Reed-Solomon Encoder with embedded ReBilS I/O: Transfer the existing CULPRiT RS encoder from a 0.35-micron bulk-CMOS process to the ASI 180-nm Flexfet, rad-hard SOI Process. 0.5-V low-voltage core logic. 5.0-V-tolerant input and 3.3-V output I/O pins. 100-MHz minimum operation with 10- pF external loads. The stand

  2. Towards time-of-flight PET with a semiconductor detector.

    PubMed

    Ariño-Estrada, Gerard; Mitchell, Gregory S; Kwon, Sun Il; Du, Junwei; Kim, Hadong; Cirignano, Leonard J; Shah, Kanai S; Cherry, Simon R

    2018-02-16

    The feasibility of using Cerenkov light, generated by energetic electrons following 511 keV photon interactions in the semiconductor TlBr, to obtain fast timing information for positron emission tomography (PET) was evaluated. Due to its high refractive index, TlBr is a relatively good Cerenkov radiator and with its wide bandgap, has good optical transparency across most of the visible spectrum. Coupling an SiPM photodetector to a slab of TlBr (TlBr-SiPM) yielded a coincidence timing resolution of 620 ps FWHM between the TlBr-SiPM detector and a LFS reference detector. This value improved to 430 ps FWHM by applying a high pulse amplitude cut based on the TlBr-SiPM and reference detector signal amplitudes. These results are the best ever achieved with a semiconductor PET detector and already approach the performance required for time-of-flight. As TlBr has higher stopping power and better energy resolution than the conventional scintillation detectors currently used in PET scanners, a hybrid TlBr-SiPM detector with fast timing capability becomes an interesting option for further development.

  3. Towards time-of-flight PET with a semiconductor detector

    NASA Astrophysics Data System (ADS)

    Ariño-Estrada, Gerard; Mitchell, Gregory S.; Kwon, Sun Il; Du, Junwei; Kim, Hadong; Cirignano, Leonard J.; Shah, Kanai S.; Cherry, Simon R.

    2018-02-01

    The feasibility of using Cerenkov light, generated by energetic electrons following 511 keV photon interactions in the semiconductor TlBr, to obtain fast timing information for positron emission tomography (PET) was evaluated. Due to its high refractive index, TlBr is a relatively good Cerenkov radiator and with its wide bandgap, has good optical transparency across most of the visible spectrum. Coupling an SiPM photodetector to a slab of TlBr (TlBr-SiPM) yielded a coincidence timing resolution of 620 ps FWHM between the TlBr-SiPM detector and a LFS reference detector. This value improved to 430 ps FWHM by applying a high pulse amplitude cut based on the TlBr-SiPM and reference detector signal amplitudes. These results are the best ever achieved with a semiconductor PET detector and already approach the performance required for time-of-flight. As TlBr has higher stopping power and better energy resolution than the conventional scintillation detectors currently used in PET scanners, a hybrid TlBr-SiPM detector with fast timing capability becomes an interesting option for further development.

  4. Apertureless scanning microscope probe as a detector of semiconductor laser emission

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dunaevskiy, Mikhail, E-mail: Mike.Dunaeffsky@mail.ioffe.ru; National Research University of Information Technologies, Mechanics and Optics; Dontsov, Anton

    2015-04-27

    An operating semiconductor laser has been studied using a scanning probe microscope. A shift of the resonance frequency of probe that is due to its heating by laser radiation has been analyzed. The observed shift is proportional to the absorbed radiation and can be used to measure the laser near field or its output power. A periodical dependence of the measured signal has been observed as a function of distance between the probe and the surface of the laser due to the interference of the outgoing and cantilever-reflected waves. Due to the multiple reflections resulting in the interference, the lightmore » absorption by the probe cantilever is greatly enhanced compared with a single pass case. Interaction of infrared emission of a diode laser with different probes has been studied.« less

  5. VO2 microcrystals as an advanced smart window material at semiconductor to metal transition

    NASA Astrophysics Data System (ADS)

    Basu, Raktima; Magudapathy, P.; Sardar, Manas; Pandian, Ramanathaswamy; Dhara, Sandip

    2017-11-01

    Textured VO2(0 1 1) microcrystals are grown in the monoclinic, M1 phase which undergoes a reversible first order semiconductor to metal transition (SMT) accompanied by a structural phase transition to rutile tetragonal, R phase. Around the phase transition, VO2 also experiences noticeable change in its optical and electrical properties. A change in color of the VO2 micro crystals from white to cyan around the transition temperature is observed, which is further understood by absorption of red light using temperature dependent ultraviolet-visible spectroscopic analysis and photoluminescence studies. The absorption of light in the red region is explained by the optical transition between Hubbard states, confirming the electronic correlation as the driving force for SMT in VO2. The thermochromism in VO2 has been studied for smart window applications so far in the IR region, which supports the opening of the band gap in semiconducting phase; whereas there is hardly any report in the management of visible light. The filtering of blue light along with reflection of infrared above the semiconductor to metal transition temperature make VO2 applicable as advanced smart windows for overall heat management of a closure.

  6. Use of radiation in biomaterials science

    NASA Astrophysics Data System (ADS)

    Benson, Roberto S.

    2002-05-01

    Radiation is widely used in the biomaterials science for surface modification, sterilization and to improve bulk properties. Radiation is also used to design of biochips, and in situ photopolymerizable of bioadhesives. The energy sources most commonly used in the irradiation of biomaterials are high-energy electrons, gamma radiation, ultraviolet (UV) and visible light. Surface modification involves placement of selective chemical moieties on the surface of a material by chemical reactions to improve biointeraction for cell adhesion and proliferation, hemocompatibility and water absorption. The exposure of a polymer to radiation, especially ionizing radiation, can lead to chain scission or crosslinking with changes in bulk and surface properties. Sterilization by irradiation is designed to inactivate most pathogens from the surface of biomedical devices. An overview of the use of gamma and UV radiation to improve surface tissue compatibility, bulk properties and surface properties for wear resistance, formation of hydrogels and curing dental sealants and bone adhesives is presented. Gamma and vacuum ultraviolet (VUV) irradiated ultrahigh molecular weight polyethylene (UHMWPE) exhibit improvement in surface modulus and hardness. The surface modulus and hardness of UHMWPE showed a dependence on type of radiation, dosage and processing. VUV surface modified e-PTFE vascular grafts exhibit increases in hydrophilicity and improvement towards adhesion of fibrin glue.

  7. Comparison of hardness variation of ion irradiated borosilicate glasses with different projected ranges

    NASA Astrophysics Data System (ADS)

    Sun, M. L.; Peng, H. B.; Duan, B. H.; Liu, F. F.; Du, X.; Yuan, W.; Zhang, B. T.; Zhang, X. Y.; Wang, T. S.

    2018-03-01

    Borosilicate glass has potential application for vitrification of high-level radioactive waste, which attracts extensive interest in studying its radiation durability. In this study, sodium borosilicate glass samples were irradiated with 4 MeV Kr17+ ion, 5 MeV Xe26+ ion and 0.3 MeV P+ ion, respectively. The hardness of irradiated borosilicate glass samples was measured with nanoindentation in continuous stiffness mode and quasi continuous stiffness mode, separately. Extrapolation method, mean value method, squared extrapolation method and selected point method are used to obtain hardness of irradiated glass and a comparison among these four methods is conducted. The extrapolation method is suggested to analyze the hardness of ion irradiated glass. With increasing irradiation dose, the values of hardness for samples irradiated with Kr, Xe and P ions dropped and then saturated at 0.02 dpa. Besides, both the maximum variations and decay constants for three kinds of ions with different energies are similar indicates the similarity behind the hardness variation in glasses after irradiation. Furthermore, the hardness variation of low energy P ion irradiated samples whose range is much smaller than those of high energy Kr and Xe ions, has the same trend as that of Kr and Xe ions. It suggested that electronic energy loss did not play a significant role in hardness decrease for irradiation of low energy ions.

  8. Superheating Suppresses Structural Disorder in Layered BiI3 Semiconductors Grown by the Bridgman Method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johns, Paul M.; Sulekar, Soumitra; Yeo, Shinyoung

    2016-01-01

    The susceptibility of layered structures to stacking faults is a problem in some of the more attractive semiconductor materials for ambient-temperature radiation detectors. In the work presented here, Bridgman-grown BiI3 layered single crystals are investigated to understand and eliminate this structural disorder, which reduces radiation detector performance. The use of superheating gradients has been shown to improve crystal quality in non-layered semiconductor crystals; thus the technique was here explored to improve the growth of BiI3. When investigating the homogeneity of non-superheated crystals, highly geometric void defects were found to populate the bulk of the crystals. Applying a superheating gradient tomore » the melt prior to crystal growth improved structural quality and decreased defect density from the order of 4600 voids per cm3 to 300 voids per cm3. Corresponding moderate improvements to electronic properties also resulted from the superheat gradient method of crystal growth. Comparative measurements through infrared microscopy, etch-pit density, x-ray rocking curves, and sheet resistivity readings show that superheat gradients in BiI3 growth led to higher quality crystals.« less

  9. Neutron, gamma ray and post-irradiation thermal annealing effects on power semiconductor switches

    NASA Technical Reports Server (NTRS)

    Schwarze, G. E.; Frasca, A. J.

    1991-01-01

    Experimental data showing the effects of neutrons and gamma rays on the performance characteristics of power-type NPN bipolar junction transistors (BJTs), metal-oxide-semiconductor field effect transistors (MOSFETs), and static induction transistors (SITs) are given. These three types of devices were tested at radiation levels which met or exceeded the SP-100 requirements. For the SP-100 radiation requirements, the BJTs were found to be most sensitive to neutrons, the MOSFETs were most sensitive to gamma rays, and the SITs were only slightly sensitive to neutrons. Postirradiation thermal anneals at 300 K and up to 425 K were done on these devices and the effectiveness of these anneals are also discussed.

  10. Microcircuit radiation effects databank

    NASA Technical Reports Server (NTRS)

    1983-01-01

    This databank is the collation of radiation test data submitted by many testers and serves as a reference for engineers who are concerned with and have some knowledge of the effects of the natural radiation environment on microcircuits. It contains radiation sensitivity results from ground tests and is divided into two sections. Section A lists total dose damage information, and section B lists single event upset cross sections, I.E., the probability of a soft error (bit flip) or of a hard error (latchup).

  11. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2001-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  12. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2002-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  13. High Photoluminescence Quantum Yields in Organic Semiconductor-Perovskite Composite Thin Films.

    PubMed

    Longo, Giulia; La-Placa, Maria-Grazia; Sessolo, Michele; Bolink, Henk J

    2017-10-09

    One of the obstacles towards efficient radiative recombination in hybrid perovskites is a low exciton binding energy, typically in the orders of tens of meV. It has been shown that the use of electron-donor additives can lead to a substantial reduction of the non-radiative recombination in perovskite films. Herein, the approach using small molecules with semiconducting properties, which are candidates to be implemented in future optoelectronic devices, is presented. In particular, highly luminescent perovskite-organic semiconductor composite thin films have been developed, which can be processed from solution in a simple coating step. By tuning the relative concentration of methylammonium lead bromide (MAPbBr 3 ) and 9,9spirobifluoren-2-yl-diphenyl-phosphine oxide (SPPO1), it is possible to achieve photoluminescent quantum yields (PLQYs) as high as 85 %. This is attributed to the dual functions of SPPO1 that limit the grain growth while passivating the perovskite surface. The electroluminescence of these materials was investigated by fabricating multilayer LEDs, where charge injection and transport was found to be severely hindered for the perovskite/SPPO1 material. This was alleviated by partially substituting SPPO1 with a hole-transporting material, 1,3-bis(N-carbazolyl)benzene (mCP), leading to bright electroluminescence. The potential of combining perovskite and organic semiconductors to prepare materials with improved properties opens new avenues for the preparation of simple lightemitting devices using perovskites as the emitter. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Excitons and the lifetime of organic semiconductor devices.

    PubMed

    Forrest, Stephen R

    2015-06-28

    While excitons are responsible for the many beneficial optical properties of organic semiconductors, their non-radiative recombination within the material can result in material degradation due to the dumping of energy onto localized molecular bonds. This presents a challenge in developing strategies to exploit the benefits of excitons without negatively impacting the device operational stability. Here, we will briefly review the fundamental mechanisms leading to excitonic energy-driven device ageing in two example devices: blue emitting electrophosphorescent organic light emitting devices (PHOLEDs) and organic photovoltaic (OPV) cells. We describe strategies used to minimize or even eliminate this fundamental device degradation pathway. © 2015 The Author(s) Published by the Royal Society. All rights reserved.

  15. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    NASA Astrophysics Data System (ADS)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  16. EDITORIAL: The 24th Nordic Semiconductor Meeting The 24th Nordic Semiconductor Meeting

    NASA Astrophysics Data System (ADS)

    Páll Gunnlaugsson, Haraldur; Nylandsted Larsen, Arne; Uhrenfeldt, Christian

    2012-03-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a Topical Issue of Physica Scripta. All of the papers in this Topical Issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This 24th meeting of the Nordic Semiconductor community, NSM 2011, was held at Fuglsøcentret, close to Aarhus, Denmark, 19-22 June 2011. Support was provided by the Carlsberg Foundation, Danfysik and the semiconductor group at Aarhus University. Over 30 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The aim of the meeting was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. The 25th Nordic Semiconductor Meeting will be organized in June 2013 in Finland, chaired by Dr Filip Tuomisto, Aalto University. A Nordic Summer School on Semiconductor Science will be organized in connection with the conference (just before), chaired by Dr Jonatan Slotte, Aalto University. Information on these events can be found at physics.aalto.fi/nsm2013. List of participants Søren Vejling

  17. Evaluation of HardSys/HardDraw, An Expert System for Electromagnetic Interactions Modelling

    DTIC Science & Technology

    1993-05-01

    interactions ir complex systems. This report gives a description of HardSys/HardDraw and reviews the main concepts used in its design. Various aspects of its ...HardDraw, an expert system for the modelling of electromagnetic interactions in complex systems. It consists of two main components: HardSys and HardDraw...HardSys is the advisor part of the expert system. It is knowledge-based, that is it contains a database of models and properties for various types of

  18. Non-destructive phase contrast hard x-ray imaging to reveal the three-dimensional microstructure of soft and hard tissues

    NASA Astrophysics Data System (ADS)

    Khimchenko, Anna; Schulz, Georg; Deyhle, Hans; Hieber, Simone E.; Hasan, Samiul; Bikis, Christos; Schulz, Joachim; Costeur, Loïc.; Müller, Bert

    2016-04-01

    X-ray imaging in the absorption contrast mode is an established method of visualising calcified tissues such as bone and teeth. Physically soft tissues such as brain or muscle are often imaged using magnetic resonance imaging (MRI). However, the spatial resolution of MRI is insufficient for identifying individual biological cells within three-dimensional tissue. X-ray grating interferometry (XGI) has advantages for the investigation of soft tissues or the simultaneous three-dimensional visualisation of soft and hard tissues. Since laboratory microtomography (μCT) systems have better accessibility than tomography set-ups at synchrotron radiation facilities, a great deal of effort has been invested in optimising XGI set-ups for conventional μCT systems. In this conference proceeding, we present how a two-grating interferometer is incorporated into a commercially available nanotom m (GE Sensing and Inspection Technologies GmbH) μCT system to extend its capabilities toward phase contrast. We intend to demonstrate superior contrast in spiders (Hogna radiata (Fam. Lycosidae) and Xysticus erraticus (Fam. Thomisidae)), as well as the simultaneous visualisation of hard and soft tissues. XGI is an imaging modality that provides quantitative data, and visualisation is an important part of biomimetics; consequently, hard X-ray imaging provides a sound basis for bioinspiration, bioreplication and biomimetics and allows for the quantitative comparison of biofabricated products with their natural counterparts.

  19. Self-injection-locking linewidth narrowing in a semiconductor laser coupled to an external fiber-optic ring resonator

    NASA Astrophysics Data System (ADS)

    Korobko, Dmitry A.; Zolotovskii, Igor O.; Panajotov, Krassimir; Spirin, Vasily V.; Fotiadi, Andrei A.

    2017-12-01

    We develop a theoretical framework for modeling of semiconductor laser coupled to an external fiber-optic ring resonator. The developed approach has shown good qualitative agreement between theoretical predictions and experimental results for particular configuration of a self-injection locked DFB laser delivering narrow-band radiation. The model is capable of describing the main features of the experimentally measured laser outputs such as laser line narrowing, spectral shape of generated radiation, mode-hoping instabilities and makes possible exploring the key physical mechanisms responsible for the laser operation stability.

  20. Semiconductor activated terahertz metamaterials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Hou-Tong

    Metamaterials have been developed as a new class of artificial effective media realizing many exotic phenomena and unique properties not normally found in nature. Metamaterials enable functionality through structure design, facilitating applications by addressing the severe material issues in the terahertz frequency range. Consequently, prototype functional terahertz devices have been demonstrated, including filters, antireflection coatings, perfect absorbers, polarization converters, and arbitrary wavefront shaping devices. Further integration of functional materials into metamaterial structures have enabled actively and dynamically switchable and frequency tunable terahertz metamaterials through the application of external stimuli. The enhanced light-matter interactions in active terahertz metamaterials may result inmore » unprecedented control and manipulation of terahertz radiation, forming the foundation of many terahertz applications. In this paper, we review the progress during the past few years in this rapidly growing research field. We particularly focus on the design principles and realization of functionalities using single-layer and few-layer terahertz planar metamaterials, and active terahertz metamaterials through the integration of semiconductors to achieve switchable and frequency-tunable response.« less

  1. Semiconductor activated terahertz metamaterials

    DOE PAGES

    Chen, Hou-Tong

    2014-08-01

    Metamaterials have been developed as a new class of artificial effective media realizing many exotic phenomena and unique properties not normally found in nature. Metamaterials enable functionality through structure design, facilitating applications by addressing the severe material issues in the terahertz frequency range. Consequently, prototype functional terahertz devices have been demonstrated, including filters, antireflection coatings, perfect absorbers, polarization converters, and arbitrary wavefront shaping devices. Further integration of functional materials into metamaterial structures have enabled actively and dynamically switchable and frequency tunable terahertz metamaterials through the application of external stimuli. The enhanced light-matter interactions in active terahertz metamaterials may result inmore » unprecedented control and manipulation of terahertz radiation, forming the foundation of many terahertz applications. In this paper, we review the progress during the past few years in this rapidly growing research field. We particularly focus on the design principles and realization of functionalities using single-layer and few-layer terahertz planar metamaterials, and active terahertz metamaterials through the integration of semiconductors to achieve switchable and frequency-tunable response.« less

  2. Few-Photon Model of the Optical Emission of Semiconductor Quantum Dots

    NASA Astrophysics Data System (ADS)

    Richter, Marten; Carmele, Alexander; Sitek, Anna; Knorr, Andreas

    2009-08-01

    The Jaynes-Cummings model provides a well established theoretical framework for single electron two level systems in a radiation field. Similar exactly solvable models for semiconductor light emitters such as quantum dots dominated by many particle interactions are not known. We access these systems by a generalized cluster expansion, the photon-probability cluster expansion: a reliable approach for few-photon dynamics in many body electron systems. As a first application, we discuss vacuum Rabi oscillations and show that their amplitude determines the number of electrons in the quantum dot.

  3. 46 CFR 183.360 - Semiconductor rectifier systems.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 7 2011-10-01 2011-10-01 false Semiconductor rectifier systems. 183.360 Section 183.360... TONS) ELECTRICAL INSTALLATION Power Sources and Distribution Systems § 183.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents...

  4. 46 CFR 183.360 - Semiconductor rectifier systems.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 183.360 Section 183.360... TONS) ELECTRICAL INSTALLATION Power Sources and Distribution Systems § 183.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents...

  5. Radiation Effects: Overview for Space Environment Specialists

    NASA Technical Reports Server (NTRS)

    Ladbury, Ray

    2017-01-01

    Radiation Hardness Assurance (RHA) methodologies need to evolve to capitalize on the increased flexibility introduced by new models of space radiation environments. This presentation examines the characteristics of various radiation threats, the sources of error that RHA methodologies seek to control and the contributions of environment models to those errors. The influence of trends in microelectronic device technology is also considered.

  6. Room-temperature semiconductor heterostructure refrigeration

    NASA Astrophysics Data System (ADS)

    Chao, K. A.; Larsson, Magnus; Mal'shukov, A. G.

    2005-07-01

    With the proper design of semiconductor tunneling barrier structures, we can inject low-energy electrons via resonant tunneling, and take out high-energy electrons via a thermionic process. This is the operation principle of our semiconductor heterostructure refrigerator (SHR) without the need of applying a temperature gradient across the device. Even for the bad thermoelectric material AlGaAs, our calculation shows that at room temperature, the SHR can easily lower the temperature by 5-7K. Such devices can be fabricated with the present semiconductor technology. Besides its use as a kitchen refrigerator, the SHR can efficiently cool microelectronic devices.

  7. CdTe Timepix detectors for single-photon spectroscopy and linear polarimetry of high-flux hard x-ray radiation.

    PubMed

    Hahn, C; Weber, G; Märtin, R; Höfer, S; Kämpfer, T; Stöhlker, Th

    2016-04-01

    Single-photon spectroscopy of pulsed, high-intensity sources of hard X-rays - such as laser-generated plasmas - is often hampered by the pileup of several photons absorbed by the unsegmented, large-volume sensors routinely used for the detection of high-energy radiation. Detectors based on the Timepix chip, with a segmentation pitch of 55 μm and the possibility to be equipped with high-Z sensor chips, constitute an attractive alternative to commonly used passive solutions such as image plates. In this report, we present energy calibration and characterization measurements of such devices. The achievable energy resolution is comparable to that of scintillators for γ spectroscopy. Moreover, we also introduce a simple two-detector Compton polarimeter setup with a polarimeter quality of (98 ± 1)%. Finally, a proof-of-principle polarimetry experiment is discussed, where we studied the linear polarization of bremsstrahlung emitted by a laser-driven plasma and found an indication of the X-ray polarization direction depending on the polarization state of the incident laser pulse.

  8. CdTe Timepix detectors for single-photon spectroscopy and linear polarimetry of high-flux hard x-ray radiation

    NASA Astrophysics Data System (ADS)

    Hahn, C.; Weber, G.; Märtin, R.; Höfer, S.; Kämpfer, T.; Stöhlker, Th.

    2016-04-01

    Single-photon spectroscopy of pulsed, high-intensity sources of hard X-rays — such as laser-generated plasmas — is often hampered by the pileup of several photons absorbed by the unsegmented, large-volume sensors routinely used for the detection of high-energy radiation. Detectors based on the Timepix chip, with a segmentation pitch of 55 μm and the possibility to be equipped with high-Z sensor chips, constitute an attractive alternative to commonly used passive solutions such as image plates. In this report, we present energy calibration and characterization measurements of such devices. The achievable energy resolution is comparable to that of scintillators for γ spectroscopy. Moreover, we also introduce a simple two-detector Compton polarimeter setup with a polarimeter quality of (98 ± 1)%. Finally, a proof-of-principle polarimetry experiment is discussed, where we studied the linear polarization of bremsstrahlung emitted by a laser-driven plasma and found an indication of the X-ray polarization direction depending on the polarization state of the incident laser pulse.

  9. CdTe Timepix detectors for single-photon spectroscopy and linear polarimetry of high-flux hard x-ray radiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hahn, C., E-mail: christoph.hahn@uni-jena.de; Höfer, S.; Kämpfer, T.

    Single-photon spectroscopy of pulsed, high-intensity sources of hard X-rays — such as laser-generated plasmas — is often hampered by the pileup of several photons absorbed by the unsegmented, large-volume sensors routinely used for the detection of high-energy radiation. Detectors based on the Timepix chip, with a segmentation pitch of 55 μm and the possibility to be equipped with high-Z sensor chips, constitute an attractive alternative to commonly used passive solutions such as image plates. In this report, we present energy calibration and characterization measurements of such devices. The achievable energy resolution is comparable to that of scintillators for γ spectroscopy.more » Moreover, we also introduce a simple two-detector Compton polarimeter setup with a polarimeter quality of (98 ± 1)%. Finally, a proof-of-principle polarimetry experiment is discussed, where we studied the linear polarization of bremsstrahlung emitted by a laser-driven plasma and found an indication of the X-ray polarization direction depending on the polarization state of the incident laser pulse.« less

  10. Impact of Laser Radiation on Microhardness of a Semiconductor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Medvid', A.; Onufrijevs, P.; Chiradze, G.

    2011-12-23

    It was found that strongly absorbed Nd:YAG laser radiation leads to a non-monotonous dependence of microhardness of p- and n-type Si crystals on laser radiation. This dependence is characterized by two maxima for p-Si and one maximum for n-Si crystals. In both cases the increase of microhardness at higher laser intensity is explained by formation of mechanically compressed layer at the irradiated surface due to concentration of the interstitial atoms of Si at the surface in temperature gradient field. The decrease of the microhardness is explained by formation of nano-cones as a result of plastic deformation of the mechanically stressedmore » layer. The additional maximum at lower laser intensity for p-Si crystal is explained by p-n type inversion of Si conductivity.« less

  11. Pulse shaping system research of CdZnTe radiation detector for high energy x-ray diagnostic

    NASA Astrophysics Data System (ADS)

    Li, Miao; Zhao, Mingkun; Ding, Keyu; Zhou, Shousen; Zhou, Benjie

    2018-02-01

    As one of the typical wide band-gap semiconductor materials, the CdZnTe material has high detection efficiency and excellent energy resolution for the hard X-ray and the Gamma ray. The generated signal of the CdZnTe detector needs to be transformed to the pseudo-Gaussian pulse with a small impulse-width to remove noise and improve the energy resolution by the following nuclear spectrometry data acquisition system. In this paper, the multi-stage pseudo-Gaussian shaping-filter has been investigated based on the nuclear electronic principle. The optimized circuit parameters were also obtained based on the analysis of the characteristics of the pseudo-Gaussian shaping-filter in our following simulations. Based on the simulation results, the falling-time of the output pulse was decreased and faster response time can be obtained with decreasing shaping-time τs-k. And the undershoot was also removed when the ratio of input resistors was set to 1 to 2.5. Moreover, a two stage sallen-key Gaussian shaping-filter was designed and fabricated by using a low-noise voltage feedback operation amplifier LMH6628. A detection experiment platform had been built by using the precise pulse generator CAKE831 as the imitated radiation pulse which was equivalent signal of the semiconductor CdZnTe detector. Experiment results show that the output pulse of the two stage pseudo-Gaussian shaping filter has minimum 200ns pulse width (FWHM), and the output pulse of each stage was well consistent with the simulation results. Based on the performance in our experiment, this multi-stage pseudo-Gaussian shaping-filter can reduce the event-lost caused by pile-up in the CdZnTe semiconductor detector and improve the energy resolution effectively.

  12. 46 CFR 129.360 - Semiconductor-rectifier systems.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 4 2011-10-01 2011-10-01 false Semiconductor-rectifier systems. 129.360 Section 129.360... INSTALLATIONS Power Sources and Distribution Systems § 129.360 Semiconductor-rectifier systems. (a) Each semiconductor-rectifier system must have an adequate heat-removal system to prevent overheating. (b) If a...

  13. 46 CFR 120.360 - Semiconductor rectifier systems.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 4 2011-10-01 2011-10-01 false Semiconductor rectifier systems. 120.360 Section 120.360... INSTALLATION Power Sources and Distribution Systems § 120.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents overheating. (b) Where a...

  14. 46 CFR 129.360 - Semiconductor-rectifier systems.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor-rectifier systems. 129.360 Section 129.360... INSTALLATIONS Power Sources and Distribution Systems § 129.360 Semiconductor-rectifier systems. (a) Each semiconductor-rectifier system must have an adequate heat-removal system to prevent overheating. (b) If a...

  15. 46 CFR 120.360 - Semiconductor rectifier systems.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 120.360 Section 120.360... INSTALLATION Power Sources and Distribution Systems § 120.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents overheating. (b) Where a...

  16. Electronic Properties and Device Applications of III-V Compound Semiconductor Native Oxides

    DTIC Science & Technology

    2006-03-02

    MRD X-ray diffractometer with CuKa as the radiation source. The doping level in GaAs was meassured by electrochemical voltage (ECV) using an Accent... hard to prevent the gate metal from overlapping the mesa edge thus creating a parasitic leakage path to the channel42. To reduce the gate leakage

  17. Radiation Hardened Electronics for Extreme Environments

    NASA Technical Reports Server (NTRS)

    Keys, Andrew S.; Watson, Michael D.

    2007-01-01

    The Radiation Hardened Electronics for Space Environments (RHESE) project consists of a series of tasks designed to develop and mature a broad spectrum of radiation hardened and low temperature electronics technologies. Three approaches are being taken to address radiation hardening: improved material hardness, design techniques to improve radiation tolerance, and software methods to improve radiation tolerance. Within these approaches various technology products are being addressed including Field Programmable Gate Arrays (FPGA), Field Programmable Analog Arrays (FPAA), MEMS Serial Processors, Reconfigurable Processors, and Parallel Processors. In addition to radiation hardening, low temperature extremes are addressed with a focus on material and design approaches.

  18. Relativistically strong electromagnetic radiation in a plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bulanov, S. V., E-mail: svbulanov@gmail.com, E-mail: bulanov.sergei@jaea.go.jp; Esirkepov, T. Zh.; Kando, M.

    Physical processes in a plasma under the action of relativistically strong electromagnetic waves generated by high-power lasers have been briefly reviewed. These processes are of interest in view of the development of new methods for acceleration of charged particles, creation of sources of bright hard electromagnetic radiation, and investigation of macroscopic quantum-electrodynamical processes. Attention is focused on nonlinear waves in a laser plasma for the creation of compact electron accelerators. The acceleration of plasma bunches by the radiation pressure of light is the most efficient regime of ion acceleration. Coherent hard electromagnetic radiation in the relativistic plasma is generated inmore » the form of higher harmonics and/or electromagnetic pulses, which are compressed and intensified after reflection from relativistic mirrors created by nonlinear waves. In the limit of extremely strong electromagnetic waves, radiation friction, which accompanies the conversion of radiation from the optical range to the gamma range, fundamentally changes the behavior of the plasma. This process is accompanied by the production of electron–positron pairs, which is described within quantum electrodynamics theory.« less

  19. Bi-Se doped with Cu, p-type semiconductor

    DOEpatents

    Bhattacharya, Raghu Nath; Phok, Sovannary; Parilla, Philip Anthony

    2013-08-20

    A Bi--Se doped with Cu, p-type semiconductor, preferably used as an absorber material in a photovoltaic device. Preferably the semiconductor has at least 20 molar percent Cu. In a preferred embodiment, the semiconductor comprises at least 28 molar percent of Cu. In one embodiment, the semiconductor comprises a molar percentage of Cu and Bi whereby the molar percentage of Cu divided by the molar percentage of Bi is greater than 1.2. In a preferred embodiment, the semiconductor is manufactured as a thin film having a thickness less than 600 nm.

  20. Mapping residual stress fields from Vickers hardness indents using Raman microprobe spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sparks, R.G.; Enloe, W.S.; Paesler, M.A.

    Micro-Raman spectroscopy is used to map the residual stress fields in the vicinity of Vickers hardness indents. Both 514.5 and 488.0 nm, light is used to excite the effect and the resulting shifted and broadened Raman peaks are analyzed using computer deconvolution. Half-wave plates are used to vary the orientation of the incident later light`s polarization state with respect to crystal orientation. The Raman scattered light is then analyzed for polarization dependences which are indicative of the various components of the Raman scattering tensor. Such studies can yield valuable information about the orientation of stress components in a well knownmore » stress field. The results can then be applied to the determination of stress components in machined semiconductor materials.« less

  1. Silicon-on-insulator field effect transistor with improved body ties for rad-hard applications

    DOEpatents

    Schwank, James R.; Shaneyfelt, Marty R.; Draper, Bruce L.; Dodd, Paul E.

    2001-01-01

    A silicon-on-insulator (SOI) field-effect transistor (FET) and a method for making the same are disclosed. The SOI FET is characterized by a source which extends only partially (e.g. about half-way) through the active layer wherein the transistor is formed. Additionally, a minimal-area body tie contact is provided with a short-circuit electrical connection to the source for reducing floating body effects. The body tie contact improves the electrical characteristics of the transistor and also provides an improved single-event-upset (SEU) radiation hardness of the device for terrestrial and space applications. The SOI FET also provides an improvement in total-dose radiation hardness as compared to conventional SOI transistors fabricated without a specially prepared hardened buried oxide layer. Complementary n-channel and p-channel SOI FETs can be fabricated according to the present invention to form integrated circuits (ICs) for commercial and military applications.

  2. Method of producing strained-layer semiconductor devices via subsurface-patterning

    DOEpatents

    Dodson, Brian W.

    1993-01-01

    A method is described for patterning subsurface features in a semiconductor device, wherein the semiconductor device includes an internal strained layer. The method comprises creating a pattern of semiconductor material over the semiconductor device, the semiconductor material having a predetermined thickness which stabilizes areas of the strained semiconductor layer that lie beneath the pattern. Subsequently, a heating step is applied to the semiconductor device to cause a relaxation in areas of the strained layer which do not lie beneath the semiconductor material pattern, whereby dislocations result in the relaxed areas and impair electrical transport therethrough.

  3. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  4. Theoretical motivation for high spatial resolution, hard X-ray observations during solar flares

    NASA Technical Reports Server (NTRS)

    Emslie, A. G.

    1986-01-01

    The important role played by hard X-ray radiation as a diagnostic of impulsive phase energy transport mechanism is reviewed. It is argued that the sub-arc second resolution offered by an instrument such as the Pinhole/Occulter Facility (P/OF) can greatly increase our understanding of such mechanisms.

  5. Controlling the stoichiometry and doping of semiconductor materials

    DOEpatents

    Albin, David; Burst, James; Metzger, Wyatt; Duenow, Joel; Farrell, Stuart; Colegrove, Eric

    2016-08-16

    Methods for treating a semiconductor material are provided. According to an aspect of the invention, the method includes annealing the semiconductor material in the presence of a compound that includes a first element and a second element. The first element provides an overpressure to achieve a desired stoichiometry of the semiconductor material, and the second element provides a dopant to the semiconductor material.

  6. Compact endocavity diagnostic probes for nuclear radiation detection

    DOEpatents

    Cui, Yonggang; James, Ralph; Bolotnikov, Aleksey

    2014-08-26

    This invention relates to the field of radiation imaging. In particular, the invention relates to an apparatus and a method for imaging tissue or an inanimate object using a novel probe that has an integrated solid-state semiconductor detector and complete readout electronics circuitry.

  7. Radiation tolerant 1 micron CMOS technology

    NASA Astrophysics Data System (ADS)

    Crevel, P.; Rodde, K.

    1991-03-01

    Starting from a standard one micron Complementary Metal Oxide Semiconductor (CMOS) for high density, low power memory applications, the degree of radiation tolerance of the baseline process is evaluated. Implemented process modifications to improve latchup sensitivity under heavy ion irradiation as well as total dose effects without changing layout rules are described. By changing doping profiles in Metal Nitride Oxide Semiconductors (MNOS) and P-channel MOS (PMOS) device regions, it is possible to guarantee data sheet specification of a 64 K low power static RAM for total gamma dose up to 35 krad (Si) (and even higher values for the gate array family) without latch up for Linear Energy Transfer LET up to 115 MeV/(mg/cm squared).

  8. Combining experiment and optical simulation in coherent X-ray nanobeam characterization of Si/SiGe semiconductor heterostructures

    DOE PAGES

    Tilka, J. A.; Park, J.; Ahn, Y.; ...

    2016-07-06

    Here, the highly coherent and tightly focused x-ray beams produced by hard x-ray light sources enable the nanoscale characterization of the structure of electronic materials but are accompanied by significant challenges in the interpretation of diffraction and scattering patterns. X-ray nanobeams exhibit optical coherence combined with a large angular divergence introduced by the x-ray focusing optics. The scattering of nanofocused x-ray beams from intricate semiconductor heterostructures produces a complex distribution of scattered intensity. We report here an extension of coherent xray optical simulations of convergent x-ray beam diffraction patterns to arbitrary x-ray incident angles to allow the nanobeam diffraction patternsmore » of complex heterostructures to be simulated faithfully. These methods are used to extract the misorientation of lattice planes and the strain of individual layers from synchrotron x-ray nanobeam diffraction patterns of Si/SiGe heterostructures relevant to applications in quantum electronic devices. The systematic interpretation of nanobeam diffraction patterns from semiconductor heterostructures presents a new opportunity in characterizing and ultimately designing electronic materials.« less

  9. System and method of modulating electrical signals using photoconductive wide bandgap semiconductors as variable resistors

    DOEpatents

    Harris, John Richardson; Caporaso, George J; Sampayan, Stephen E

    2013-10-22

    A system and method for producing modulated electrical signals. The system uses a variable resistor having a photoconductive wide bandgap semiconductor material construction whose conduction response to changes in amplitude of incident radiation is substantially linear throughout a non-saturation region to enable operation in non-avalanche mode. The system also includes a modulated radiation source, such as a modulated laser, for producing amplitude-modulated radiation with which to direct upon the variable resistor and modulate its conduction response. A voltage source and an output port, are both operably connected to the variable resistor so that an electrical signal may be produced at the output port by way of the variable resistor, either generated by activation of the variable resistor or propagating through the variable resistor. In this manner, the electrical signal is modulated by the variable resistor so as to have a waveform substantially similar to the amplitude-modulated radiation.

  10. Narrow band gap amorphous silicon semiconductors

    DOEpatents

    Madan, A.; Mahan, A.H.

    1985-01-10

    Disclosed is a narrow band gap amorphous silicon semiconductor comprising an alloy of amorphous silicon and a band gap narrowing element selected from the group consisting of Sn, Ge, and Pb, with an electron donor dopant selected from the group consisting of P, As, Sb, Bi and N. The process for producing the narrow band gap amorphous silicon semiconductor comprises the steps of forming an alloy comprising amorphous silicon and at least one of the aforesaid band gap narrowing elements in amount sufficient to narrow the band gap of the silicon semiconductor alloy below that of amorphous silicon, and also utilizing sufficient amounts of the aforesaid electron donor dopant to maintain the amorphous silicon alloy as an n-type semiconductor.

  11. Bond-orientational analysis of hard-disk and hard-sphere structures.

    PubMed

    Senthil Kumar, V; Kumaran, V

    2006-05-28

    We report the bond-orientational analysis results for the thermodynamic, random, and homogeneously sheared inelastic structures of hard-disks and hard-spheres. The thermodynamic structures show a sharp rise in the order across the freezing transition. The random structures show the absence of crystallization. The homogeneously sheared structures get ordered at a packing fraction higher than the thermodynamic freezing packing fraction, due to the suppression of crystal nucleation. On shear ordering, strings of close-packed hard-disks in two dimensions and close-packed layers of hard-spheres in three dimensions, oriented along the velocity direction, slide past each other. Such a flow creates a considerable amount of fourfold order in two dimensions and body-centered-tetragonal (bct) structure in three dimensions. These transitions are the flow analogs of the martensitic transformations occurring in metals due to the stresses induced by a rapid quench. In hard-disk structures, using the bond-orientational analysis we show the presence of fourfold order. In sheared inelastic hard-sphere structures, even though the global bond-orientational analysis shows that the system is highly ordered, a third-order rotational invariant analysis shows that only about 40% of the spheres have face-centered-cubic (fcc) order, even in the dense and near-elastic limits, clearly indicating the coexistence of multiple crystalline orders. When layers of close-packed spheres slide past each other, in addition to the bct structure, the hexagonal-close-packed (hcp) structure is formed due to the random stacking faults. Using the Honeycutt-Andersen pair analysis and an analysis based on the 14-faceted polyhedra having six quadrilateral and eight hexagonal faces, we show the presence of bct and hcp signatures in shear ordered inelastic hard-spheres. Thus, our analysis shows that the dense sheared inelastic hard-spheres have a mixture of fcc, bct, and hcp structures.

  12. Semiconductor switch geometry with electric field shaping

    DOEpatents

    Booth, R.; Pocha, M.D.

    1994-08-23

    An optoelectric switch is disclosed that utilizes a cylindrically shaped and contoured GaAs medium or other optically active semiconductor medium to couple two cylindrically shaped metal conductors with flat and flared termination points each having an ovoid prominence centrally extending there from. Coupling the truncated ovoid prominence of each conductor with the cylindrically shaped optically active semiconductor causes the semiconductor to cylindrically taper to a triple junction circular line at the base of each prominence where the metal conductor conjoins with the semiconductor and a third medium such as epoxy or air. Tapering the semiconductor at the triple junction inhibits carrier formation and injection at the triple junction and thereby enables greater current carrying capacity through and greater sensitivity of the bulk area of the optically active medium. 10 figs.

  13. Semiconductor switch geometry with electric field shaping

    DOEpatents

    Booth, Rex; Pocha, Michael D.

    1994-01-01

    An optoelectric switch is disclosed that utilizes a cylindrically shaped and contoured GaAs medium or other optically active semiconductor medium to couple two cylindrically shaped metal conductors with flat and flared termination points each having an ovoid prominence centrally extending there from. Coupling the truncated ovoid prominence of each conductor with the cylindrically shaped optically active semiconductor causes the semiconductor to cylindrically taper to a triple junction circular line at the base of each prominence where the metal conductor conjoins with the semiconductor and a third medium such as epoxy or air. Tapering the semiconductor at the triple junction inhibits carrier formation and injection at the triple junction and thereby enables greater current carrying capacity through and greater sensitivity of the bulk area of the optically active medium.

  14. Plasma Properties of an Exploding Semiconductor Igniter

    NASA Astrophysics Data System (ADS)

    McGuirk, J. S.; Thomas, K. A.; Shaffer, E.; Malone, A. L.; Baginski, T.; Baginski, M. E.

    1997-11-01

    Requirements by the automotive industry for low-cost, pyrotechnic igniters for automotive airbags have led to the development of several semiconductor devices. The properties of the plasma produced by the vaporization of an exploding semiconductor are necessary in order to minimize the electrical energy requirements. This work considers two silicon-based semiconductor devices: the semiconductor bridge (SCB) and the semiconductor junction igniter both consisting of etched silicon with vapor deposited aluminum structures. Electrical current passing through the device heats a narrow junction region to the point of vaporization creating an aluminum and silicon low-temperature plasma. This work will investigate the electrical characteristics of both devices and infer the plasma properties. Furthermore optical spectral measurements will be taken of the exploding devices to estimate the temperature and density of the plasma.

  15. Semiconductor Lasers and Their Application in Optical Fiber Communication.

    ERIC Educational Resources Information Center

    Agrawal, Govind P.

    1985-01-01

    Working principles and operating characteristics of the extremely compact and highly efficient semiconductor lasers are explained. Topics include: the p-n junction; Fabry-Perot cavity; heterostructure semiconductor lasers; materials; emission characteristics; and single-frequency semiconductor lasers. Applications for semiconductor lasers include…

  16. DETECTION OF VERY HARD γ -RAY SPECTRUM FROM THE TEV BLAZAR MRK 501

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shukla, A.; Chitnis, V. R.; Acharya, B. S.

    2016-12-01

    The occasional hardening of the GeV-to-TeV spectrum observed from the blazar Mrk 501 has reopened the debate on the physical origin of radiation and particle acceleration processes in TeV blazars. We have used the ∼7 years of Fermi -LAT data to search for the time intervals with unusually hard spectra from the nearby TeV blazar Mrk 501. We detected hard spectral components above 10 GeV with photon index <1.5 at a significance level of more than 5 sigma on 17 occasions, each with 30 day integration time. The photon index of the hardest component reached a value of 0.89 ± 0.29. We interpretmore » these hard spectra as signatures of intermittent injection of sharply peaked and localized particle distributions from the base of the jet.« less

  17. Apparatus and method for detecting electromagnetic radiation using electron photoemission in a micromechanical sensor

    DOEpatents

    Datskos, Panagiotis G.; Rajic, Slobodan; Datskou, Irene C.; Egert, Charles M.

    2002-01-01

    A micromechanical sensor and method for detecting electromagnetic radiation involve producing photoelectrons from a metal surface in contact with a semiconductor. The photoelectrons are extracted into the semiconductor, which causes photo-induced bending. The resulting bending is measured, and a signal corresponding to the measured bending is generated and processed. A plurality of individual micromechanical sensors can be arranged in a two-dimensional matrix for imaging applications.

  18. Linear response theory for annealing of radiation damage in semiconductor devices

    NASA Technical Reports Server (NTRS)

    Litovchenko, Vitaly

    1988-01-01

    A theoretical study of the radiation/annealing response of MOS ICs is described. Although many experiments have been performed in this field, no comprehensive theory dealing with radiation/annealing response has been proposed. Many attempts have been made to apply linear response theory, but no theoretical foundation has been presented. The linear response theory outlined here is capable of describing a broad area of radiation/annealing response phenomena in MOS ICs, in particular, both simultaneous irradiation and annealing, as well as short- and long-term annealing, including the case when annealing is nearing completion. For the first time, a simple procedure is devised to determine the response function from experimental radiation/annealing data. In addition, this procedure enables us to study the effect of variable temperature and dose rate, effects which are of interest in spaceflight. In the past, the shift in threshold potential due to radiation/annealing has usually been assumed to depend on one variable: the time lapse between an impulse dose and the time of observation. While such a suggestion of uniformity in time is certainly true for a broad range of radiation annealing phenomena, it may not hold for some ranges of the variables of interest (temperature, dose rate, etc.). A response function is projected which is dependent on two variables: the time of observation and the time of the impulse dose. This dependence on two variables allows us to extend the theory to the treatment of a variable dose rate. Finally, the linear theory is generalized to the case in which the response is nonlinear with impulse dose, but is proportional to some impulse function of dose. A method to determine both the impulse and response functions is presented.

  19. Radiation signatures from a locally energized flaring loop

    NASA Technical Reports Server (NTRS)

    Emslie, A. G.; Vlahos, L.

    1980-01-01

    The radiation signatures from a locally energized solar flare loop based on the physical properties of the energy release mechanisms were consistent with hard X-ray, microwave, and EUV observations for plausible source parameters. It was found that a suprathermal tail of high energy electrons is produced by the primary energy release, and that the number of energetic charged particles ejected into the interplanetary medium in the model is consistent with observations. The radiation signature model predicts that the intrinsic polarization of the hard X-ray burst should increase over the photon energy range of 20 to 100 keV.

  20. Characterizing Surfaces of the Wide Bandgap Semiconductor Ilmenite with Scanning Probe Microcopies

    NASA Technical Reports Server (NTRS)

    Wilkins, R.; Powell, Kirk St. A.

    1997-01-01

    Ilmenite (FeTiO3) is a wide bandgap semiconductor with an energy gap of about 2.5eV. Initial radiation studies indicate that ilmenite has properties suited for radiation tolerant applications, as well as a variety of other electronic applications. Two scanning probe microscopy methods have been used to characterize the surface of samples taken from Czochralski grown single crystals. The two methods, atomic force microscopy (AFM) and scanning tunneling microscopy (STM), are based on different physical principles and therefore provide different information about the samples. AFM provides a direct, three-dimensional image of the surface of the samples, while STM give a convolution of topographic and electronic properties of the surface. We will discuss the differences between the methods and present preliminary data of each method for ilmenite samples.

  1. Optical devices featuring textured semiconductor layers

    DOEpatents

    Moustakas, Theodore D [Dover, MA; Cabalu, Jasper S [Cary, NC

    2011-10-11

    A semiconductor sensor, solar cell or emitter, or a precursor therefor, has a substrate and one or more textured semiconductor layers deposited onto the substrate. The textured layers enhance light extraction or absorption. Texturing in the region of multiple quantum wells greatly enhances internal quantum efficiency if the semiconductor is polar and the quantum wells are grown along the polar direction. Electroluminescence of LEDs of the invention is dichromatic, and results in variable color LEDs, including white LEDs, without the use of phosphor.

  2. Optical devices featuring textured semiconductor layers

    DOEpatents

    Moustakas, Theodore D [Dover, MA; Cabalu, Jasper S [Cary, NC

    2012-08-07

    A semiconductor sensor, solar cell or emitter, or a precursor therefor, has a substrate and one or more textured semiconductor layers deposited onto the substrate. The textured layers enhance light extraction or absorption. Texturing in the region of multiple quantum wells greatly enhances internal quantum efficiency if the semiconductor is polar and the quantum wells are grown along the polar direction. Electroluminescence of LEDs of the invention is dichromatic, and results in variable color LEDs, including white LEDs, without the use of phosphor.

  3. Polar semiconductor heterojunction structure energy band diagram considerations

    NASA Astrophysics Data System (ADS)

    Lin, Shuxun; Wen, Cheng P.; Wang, Maojun; Hao, Yilong

    2016-03-01

    The unique nature of built-in electric field induced positive/negative charge pairs of polar semiconductor heterojunction structure has led to a more realistic device model for hexagonal III-nitride HEMT. In this modeling approach, the distribution of charge carriers is dictated by the electrostatic potential profile instead of Femi statistics. The proposed device model is found suitable to explain peculiar properties of GaN HEMT structures, including: (1) Discrepancy in measured conventional linear transmission line model (LTLM) sheet resistance and contactless sheet resistance of GaN HEMT with thin barrier layer. (2) Below bandgap radiation from forward biased Nickel Schottky barrier diode on GaN HEMT structure. (3) GaN HEMT barrier layer doping has negligible effect on transistor channel sheet charge density.

  4. Statistical Model Selection for TID Hardness Assurance

    NASA Technical Reports Server (NTRS)

    Ladbury, R.; Gorelick, J. L.; McClure, S.

    2010-01-01

    Radiation Hardness Assurance (RHA) methodologies against Total Ionizing Dose (TID) degradation impose rigorous statistical treatments for data from a part's Radiation Lot Acceptance Test (RLAT) and/or its historical performance. However, no similar methods exist for using "similarity" data - that is, data for similar parts fabricated in the same process as the part under qualification. This is despite the greater difficulty and potential risk in interpreting of similarity data. In this work, we develop methods to disentangle part-to-part, lot-to-lot and part-type-to-part-type variation. The methods we develop apply not just for qualification decisions, but also for quality control and detection of process changes and other "out-of-family" behavior. We begin by discussing the data used in ·the study and the challenges of developing a statistic providing a meaningful measure of degradation across multiple part types, each with its own performance specifications. We then develop analysis techniques and apply them to the different data sets.

  5. Separating semiconductor devices from substrate by etching graded composition release layer disposed between semiconductor devices and substrate including forming protuberances that reduce stiction

    DOEpatents

    Tauke-Pedretti, Anna; Nielson, Gregory N; Cederberg, Jeffrey G; Cruz-Campa, Jose Luis

    2015-05-12

    A method includes etching a release layer that is coupled between a plurality of semiconductor devices and a substrate with an etch. The etching includes etching the release layer between the semiconductor devices and the substrate until the semiconductor devices are at least substantially released from the substrate. The etching also includes etching a protuberance in the release layer between each of the semiconductor devices and the substrate. The etch is stopped while the protuberances remain between each of the semiconductor devices and the substrate. The method also includes separating the semiconductor devices from the substrate. Other methods and apparatus are also disclosed.

  6. Electrochemical characterization of bilayer lipid membrane-semiconductor junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, Xiao Kang; Baral, S.; Fendler, J.H.

    Three different systems of glyceryl monooleate (GMO), bilayer lipid membrane (BLM) supported semiconductor particles have been prepared and characterized. A single composition of particulate semiconductor deposited only on one side of the BLM constituted system A, two different compositions of particulate semiconductors sequentially deposited on the same side of the BLM represented system B, and two different compositions of particulate semiconductors deposited on the opposite sides of the BLM made up system C.

  7. The Hard X-ray Imager (HXI) for the ASTRO-H mission

    NASA Astrophysics Data System (ADS)

    Kokubun, Motohide; Nakazawa, Kazuhiro; Enoto, Teruaki; Fukazawa, Yasushi; Kataoka, Jun; Kawaharada, Madoka; Laurent, Philippe; Lebrun, François; Limousin, Olivier; Makishima, Kazuo; Mizuno, Tsunefumi; Mori, Kunishiro; Nakamori, Takeshi; Odaka, Hirokazu; Ohno, Masanori; Ohta, Masayuki; Sato, Goro; Sato, Rie; Tajima, Hiroyasu; Takahashi, Hiromitsu; Takahashi, Tadayuki; Tanaka, Takaaki; Terada, Yukikatsu; Uchiyama, Hideki; Uchiyama, Yasunobu; Watanabe, Shin; Yatsu, Yoichi; Yuasa, Takayuki

    2012-09-01

    The Hard X-ray Imager (HXI) is one of the four detectors on board the ASTRO-H mission (6th Japanese X-ray satellite), which is scheduled to be launched in 2014. Using the hybrid structure composed of double-sided silicon strip detectors and a cadmium telluride double-sided strip detector, both with a high spatial resolution of 250 μm. Combined with the hard X-ray telescope (HXT), it consists a hard X-ray imaging spectroscopic instrument covering the energy range from 5 to 80 keV with an effective area of <300 cm2 in total at 30 keV. An energy resolution of 1-2 keV (FWHM) and lower threshold of 5 keV are both achieved with using a low noise front-end ASICs. In addition, the thick BGO active shields surrounding the main detector package is a heritage of the successful performance of the Hard X-ray Detector on board the Suzaku satellite. This feature enables the instrument to achieve an extremely good reduction of background caused by cosmic-ray particles, cosmic X-ray background, and in-orbit radiation activation. In this paper, we present the detector concept, design, latest results of the detector development, and the current status of the hardware.

  8. Advanced development of double-injection, deep-impurity semiconductor switches

    NASA Technical Reports Server (NTRS)

    Hanes, M. H.

    1987-01-01

    Deep-impurity, double-injection devices, commonly refered to as (DI) squared devices, represent a class of semiconductor switches possessing a very high degree of tolerance to electron and neutron irradiation and to elevated temperature operation. These properties have caused them to be considered as attractive candidates for space power applications. The design, fabrication, and testing of several varieties of (DI) squared devices intended for power switching are described. All of these designs were based upon gold-doped silicon material. Test results, along with results of computer simulations of device operation, other calculations based upon the assumed mode of operation of (DI) squared devices, and empirical information regarding power semiconductor device operation and limitations, have led to the conculsion that these devices are not well suited to high-power applications. When operated in power circuitry configurations, they exhibit high-power losses in both the off-state and on-state modes. These losses are caused by phenomena inherent to the physics and material of the devices and cannot be much reduced by device design optimizations. The (DI) squared technology may, however, find application in low-power functions such as sensing, logic, and memory, when tolerance to radiation and temperature are desirable (especially is device performance is improved by incorporation of deep-level impurities other than gold.

  9. Controlled metal-semiconductor sintering/alloying by one-directional reverse illumination

    DOEpatents

    Sopori, Bhushan L.

    1993-01-01

    Metal strips deposited on a top surface of a semiconductor substrate are sintered at one temperature simultaneously with alloying a metal layer on the bottom surface at a second, higher temperature. This simultaneous sintering of metal strips and alloying a metal layer on opposite surfaces of the substrate at different temperatures is accomplished by directing infrared radiation through the top surface to the interface of the bottom surface with the metal layer where the radiation is absorbed to create a primary hot zone with a temperature high enough to melt and alloy the metal layer with the bottom surface of the substrate. Secondary heat effects, including heat conducted through the substrate from the primary hot zone and heat created by infrared radiation reflected from the metal layer to the metal strips, as well as heat created from some primary absorption by the metal strips, combine to create secondary hot zones at the interfaces of the metal strips with the top surface of the substrate. These secondary hot zones are not as hot as the primary hot zone, but they are hot enough to sinter the metal strips to the substrate.

  10. RADECS Short Course Section 4 Radiation Hardness Assurance (RHA) for Space Systems

    NASA Technical Reports Server (NTRS)

    Poivey, Christian

    2003-01-01

    Contents include the following: Introduction. Programmatic aspects of RHA. RHA componens: requirements and specifications; mission radiation environment; and parts selection and radiation tolerance. Analysis at the function/subsystem/system level: TID/DD; SEE. Conclusion.

  11. Enhanced THz extinction in arrays of resonant semiconductor particles.

    PubMed

    Schaafsma, Martijn C; Georgiou, Giorgos; Rivas, Jaime Gómez

    2015-09-21

    We demonstrate experimentally the enhanced THz extinction by periodic arrays of resonant semiconductor particles. This phenomenon is explained in terms of the radiative coupling of localized resonances with diffractive orders in the plane of the array (Rayleigh anomalies). The experimental results are described by numerical calculations using a coupled dipole model and by Finite-Difference in Time-Domain simulations. An optimum particle size for enhancing the extinction efficiency of the array is found. This optimum is determined by the frequency detuning between the localized resonances in the individual particles and the Rayleigh anomaly. The extinction calculations and measurements are also compared to near-field simulations illustrating the optimum particle size for the enhancement of the near-field.

  12. ARTICLES: Nonlinear interaction of infrared waves on a VO2 surface at a semiconductor-metal phase transition

    NASA Astrophysics Data System (ADS)

    Berger, N. K.; Zhukov, E. A.; Novokhatskiĭ, V. V.

    1984-04-01

    The use of a semiconductor-metal phase transition for wavefront reversal of laser radiation was proposed. An investigation was made of nonlinear reflection of CO2 laser radiation at a phase transition in VO2. A three-wave interaction on a VO2 surface was achieved using low-power cw and pulsed CO2 lasers. In the first case, the intensity reflection coefficient was 0.5% for a reference wave intensity of 0.9 W/cm2 and in the second case, it was 42% for a threshold reference wave energy density of 0.6-0.8 mJ/cm2.

  13. Total-dose radiation effects data for semiconductor devices, volume 3

    NASA Technical Reports Server (NTRS)

    Price, W. E.; Martin, K. E.; Nichols, D. K.; Gauthier, M. K.; Brown, S. F.

    1982-01-01

    Volume 3 of this three-volume set provides a detailed analysis of the data in Volumes 1 and 2, most of which was generated for the Galileo Orbiter Program in support of NASA space programs. Volume 1 includes total ionizing dose radiation test data on diodes, bipolar transistors, field effect transistors, and miscellaneous discrete solid-state devices. Volume 2 includes similar data on integrated circuits and a few large-scale integrated circuits. The data of Volumes 1 and 2 are combined in graphic format in Volume 3 to provide a comparison of radiation sensitivities of devices of a given type and different manufacturer, a comparison of multiple tests for a single data code, a comparison of multiple tests for a single lot, and a comparison of radiation sensitivities vs time (date codes). All data were generated using a steady-state 2.5-MeV electron source (Dynamitron) or a Cobalt-60 gamma ray source. The data that compose Volume 3 represent 26 different device types, 224 tests, and a total of 1040 devices. A comparison of the effects of steady-state electrons and Cobat-60 gamma rays is also presented.

  14. The AMOS cell - An improved metal-semiconductor solar cell. [Antireflection coated Metal Oxide Semiconductor

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y.-C. M.

    1975-01-01

    A new fabrication process is being developed which significantly improves the efficiency of metal-semiconductor solar cells. The resultant effect, a marked increase in the open-circuit voltage, is produced by the addition of an interfacial layer oxide on the semiconductor. Cells using gold on n-type gallium arsenide have been made in small areas (0.17 sq cm) with conversion efficiencies of 15% in terrestrial sunlight.

  15. Photovoltaic healing of non-uniformities in semiconductor devices

    DOEpatents

    Karpov, Victor G.; Roussillon, Yann; Shvydka, Diana; Compaan, Alvin D.; Giolando, Dean M.

    2006-08-29

    A method of making a photovoltaic device using light energy and a solution to normalize electric potential variations in the device. A semiconductor layer having nonuniformities comprising areas of aberrant electric potential deviating from the electric potential of the top surface of the semiconductor is deposited onto a substrate layer. A solution containing an electrolyte, at least one bonding material, and positive and negative ions is applied over the top surface of the semiconductor. Light energy is applied to generate photovoltage in the semiconductor, causing a redistribution of the ions and the bonding material to the areas of aberrant electric potential. The bonding material selectively bonds to the nonuniformities in a manner such that the electric potential of the nonuniformities is normalized relative to the electric potential of the top surface of the semiconductor layer. A conductive electrode layer is then deposited over the top surface of the semiconductor layer.

  16. Electron-beam induced damage in thin insulating films on compound semiconductors. M.S. Thesis, 1988

    NASA Technical Reports Server (NTRS)

    Pantic, Dragan M.

    1989-01-01

    Phosphorus rich plasma enhanced chemical vapor deposition (PECVD) of silicon nitride and silicon dioxide films on n-type indium phosphide (InP) substrates were exposed to electron-beam irradiation in the 5 to 40 keV range for the purpose of characterizing the damage induced in the dielectric. The electron-beam exposure was on the range of 10(exp -7) to 10(exp -3) C/sq cm. The damage to the devices was characterized by capacitance-voltage (C-V) measurements of the metal insulator semiconductor (MIS) capacitors. These results were compared to results obtained for radiation damage of thermal silicon dioxide on silicon (Si) MOS capacitors with similar exposures. The radiation induced damage in the PECVD silicon nitride films on InP was successfully annealed out in an hydrogen/nitrogen (H2/N2) ambient at 400 C for 15 min. The PECVD silicon dioxide films on InP had the least radiation damage, while the thermal silicon dioxide films on Si had the most radiation damage.

  17. Radiation Testing on State-of-the-Art CMOS: Challenges, Plans, and Preliminary Results

    NASA Technical Reports Server (NTRS)

    LaBel, Kenneth A.; Cohn, Lewis M.

    2009-01-01

    At GOMAC 2007 and 2008, we discussed a variety of challenges for radiation testing of modern semiconductor devices and technologies [1, 2]. In this presentation, we provide more specific details in this on-going investigation focusing on out-of-the-box lessons observed for providing radiation effects assurances as well as preliminary test results.

  18. Semiconductor laser insert with uniform illumination for use in photodynamic therapy

    NASA Astrophysics Data System (ADS)

    Charamisinau, Ivan; Happawana, Gemunu; Evans, Gary; Rosen, Arye; Hsi, Richard A.; Bour, David

    2005-08-01

    A low-cost semiconductor red laser light delivery system for esophagus cancer treatment is presented. The system is small enough for insertion into the patient's body. Scattering elements with nanoscale particles are used to achieve uniform illumination. The scattering element optimization calculations, with Mie theory, provide scattering and absorption efficiency factors for scattering particles composed of various materials. The possibility of using randomly deformed spheres and composite particles instead of perfect spheres is analyzed using an extension to Mie theory. The measured radiation pattern from a prototype light delivery system fabricated using these design criteria shows reasonable agreement with the theoretically predicted pattern.

  19. Irradiate-anneal screening of total dose effects in semiconductor devices

    NASA Technical Reports Server (NTRS)

    Stanley, A. G.; Price, W. E.

    1976-01-01

    Judicious choice of radiation dose and parameter change acceptance criteria, absence of anomalous anneal phenomena, and absence of anomalous reirradiation effects are recognized as essential for a successful irradiation-anneal (IRAN) screening procedure to ensure that no device will fall, upon reirradiation, above parametric limits assigned for the worst case application. Reirradiation and irradiation-anneal behavior of various semiconductor devices are compared and those that do not lend themselves to IRAN screening are singled out. Information needed to judge the suitability of an IRAN type screening program is detailed. Reasons for success of the limited IRAN screening of flight parts for the Mariner Jupiter/Saturn (MJS '77) spacecraft are indicated.

  20. Controlled growth of semiconductor crystals

    DOEpatents

    Bourret-Courchesne, Edith D.

    1992-01-01

    A method for growth of III-V, II-VI and related semiconductor single crystals that suppresses random nucleation and sticking of the semiconductor melt at the crucible walls. Small pieces of an oxide of boron B.sub.x O.sub.y are dispersed throughout the comminuted solid semiconductor charge in the crucible, with the oxide of boron preferably having water content of at least 600 ppm. The crucible temperature is first raised to a temperature greater than the melt temperature T.sub.m1 of the oxide of boron (T.sub.m1 =723.degree. K. for boron oxide B.sub.2 O.sub.3), and the oxide of boron is allowed to melt and form a reasonably uniform liquid layer between the crucible walls and bottom surfaces and the still-solid semiconductor charge. The temperature is then raised to approximately the melt temperature T.sub.m2 of the semiconductor charge material, and crystal growth proceeds by a liquid encapsulated, vertical gradient freeze process. About half of the crystals grown have a dislocation density of less than 1000/cm.sup.2. If the oxide of boron has water content less than 600 ppm, the crucible material should include boron nitride, a layer of the inner surface of the crucible should be oxidized before the oxide of boron in the crucible charge is melted, and the sum of thicknesses of the solid boron oxide layer and liquid boron oxide layer should be at least 50 .mu.m.

  1. Energetics of the Semiconductor-Electrolyte Interface.

    ERIC Educational Resources Information Center

    Turner, John A.

    1983-01-01

    The use of semiconductors as electrodes for electrochemistry requires an understanding of both solid-state physics and electrochemistry, since phenomena associated with both disciplines are seen in semiconductor/electrolyte systems. The interfacial energetics of these systems are discussed. (JN)

  2. Electrical contacts for a thin-film semiconductor device

    DOEpatents

    Carlson, David E.; Dickson, Charles R.; D'Aiello, Robert V.

    1989-08-08

    A method of fabricating spaced-apart back contacts on a thin film of semiconductor material by forming strips of buffer material on top of the semiconductor material in locations corresponding to the desired dividing lines between back contacts, forming a film of metal substantially covering the semiconductor material and buffer strips, and scribing portions of the metal film overlying the buffer strips with a laser without contacting the underlying semiconductor material to separate the metal layer into a plurality of back contacts. The buffer material serves to protect the underlying semiconductor material from being damaged during the laser scribing. Back contacts and multi-cell photovoltaic modules incorporating such back contacts also are disclosed.

  3. Lattice matched semiconductor growth on crystalline metallic substrates

    DOEpatents

    Norman, Andrew G; Ptak, Aaron J; McMahon, William E

    2013-11-05

    Methods of fabricating a semiconductor layer or device and said devices are disclosed. The methods include but are not limited to providing a metal or metal alloy substrate having a crystalline surface with a known lattice parameter (a). The methods further include growing a crystalline semiconductor alloy layer on the crystalline substrate surface by coincident site lattice matched epitaxy. The semiconductor layer may be grown without any buffer layer between the alloy and the crystalline surface of the substrate. The semiconductor alloy may be prepared to have a lattice parameter (a') that is related to the lattice parameter (a). The semiconductor alloy may further be prepared to have a selected band gap.

  4. The hard x-ray imager (HXI) onboard ASTRO-H

    NASA Astrophysics Data System (ADS)

    Nakazawa, Kazuhiro; Sato, Goro; Kokubun, Motohide; Enoto, Teruaki; Fukazawa, Yasushi; Hagino, Kouichi; Harayama, Atsushi; Hayashi, Katsuhiro; Kataoka, Jun; Katsuta, Junichiro; Laurent, Philippe; Lebrun, François; Limousin, Olivier; Makishima, Kazuo; Mizuno, Tsunefumi; Mori, Kunishiro; Nakamori, Takeshi; Nakano, Toshio; Noda, Hirofumi; Odaka, Hirokazu; Ohno, Masanori; Ohta, Masayuki; Saito, Shinya; Sato, Rie; Tajima, Hiroyasu; Takahashi, Hiromitsu; Takahashi, Tadayuki; Takeda, Shin'ichiro; Terada, Yukikatsu; Uchiyama, Hideki; Uchiyama, Yasunobu; Watanabe, Shin; Yamaoka, Kazutaka; Yatsu, Yoichi; Yuasa, Takayuki

    2016-07-01

    Hitomi X-ray observatory launched in 17 February 2016 had a hard X-ray imaging spectroscopy system made of two hard X-ray imagers (HXIs) coupled with two hard X-ray telescopes (HXTs). With 12 m focal length, they provide fine (2' half-power diameter; HPD) imaging spectroscopy at 5 to 80 keV. The HXI main imagers are made of 4 layers of Si and a CdTe semiconductor double-sided strip detectors, stacked to enhance detection efficiency as well as to enable photon interaction-depth sensing. Active shield made of 9 BGO scintillators surrounds the imager to provide with low background. Following the deployment of the Extensible Optical Bench (EOB) on 28 February, the HXI was gradually turned on. Two imagers successfully started observation on 14 March, and was operational till the incident lead to Hitomo loss, on 26 March. All detector channels, 1280 ch of imager and 11 channel of active shields and others each, worked well and showed performance consistent with those seen on ground. From the first light observation of G21.5-0.9 and the following Crab observations, 5-80 keV energy coverage and good detection efficiency were confirmed. With blank sky observations, we checked our background level. In some geomagnetic region, strong background continuum, presumably caused by trapped electron with energy 100 keV, is seen. But by cutting the high-background time-intervals, the background became significantly lower, typically with 1-3 x 10-4 counts s-1 keV-1 cm-2 (here cm2 is shown with detector geometrical area). Above 30 keV, line and continuum emission originating from activation of CdTe was significantly seen, though the level of 1-4 x 10-4 counts s-1 keV-1 cm-2 is still comparable to those seen in NuSTAR. By comparing the effective area and background rate, preliminary analysis shows that the HXI had a statistical sensitivity similar to NuSTAR for point sources, and more than twice better for largely extended sources.

  5. Formation mechanisms of nano and microcones by laser radiation on surfaces of Si, Ge, and SiGe crystals

    PubMed Central

    2013-01-01

    In this work we study the mechanisms of laser radiation interaction with elementary semiconductors such as Si and Ge and their solid solution SiGe. As a result of this investigation, the mechanisms of nanocones and microcones formation on a surface of semiconductor were proposed. We have shown the possibility to control the size and the shape of cones both by the laser. The main reason for the formation of nanocones is the mechanical compressive stresses due to the atoms’ redistribution caused by the gradient of temperature induced by strongly absorbed laser radiation. According to our investigation, the nanocone formation mechanism in semiconductors is characterized by two stages. The first stage is characterized by formation of a p-n junction for elementary semiconductors or of a Ge/Si heterojunction for SiGe solid solution. The generation and redistribution of intrinsic point defects in elementary semiconductors and Ge atoms concentration on the irradiated surface of SiGe solid solution in temperature gradient field take place at this stage due to the thermogradient effect which is caused by strongly absorbed laser radiation. The second stage is characterized by formation of nanocones due to mechanical plastic deformation of the compressed Ge layer on Si. Moreover, a new 1D-graded band gap structure in elementary semiconductors due to quantum confinement effect was formed. For the formation of microcones Ni/Si structure was used. The mechanism of the formation of microcones is characterized by two stages as well. The first stage is the melting of Ni film after irradiation by laser beam and formation of Ni islands due to surface tension force. The second step is the melting of Ni and subsequent manifestations of Marangoni effect with the growth of microcones. PMID:23735193

  6. Semiconductors: A 21st Century Social Studies Topic.

    ERIC Educational Resources Information Center

    Sunal, Cynthia

    2000-01-01

    Addresses the reasons for exploring semiconductor technology and organic semiconductors in schools for either middle school or secondary students in an interdisciplinary social studies and science environment. Provides background information on transistors and semiconductors. Offers three social studies lessons and related science lessons if an…

  7. Semiconductor millimeter wavelength electronics

    NASA Astrophysics Data System (ADS)

    Rosenbaum, F. J.

    1985-12-01

    This final report summarizes the results of research carried out on topics in millimeter wavelength semiconductor electronics under an ONR Selected Research Opportunity program. Study areas included III-V compound semiconductor growth and characterization, microwave and millimeter wave device modeling, fabrication and testing, and the development of new device concepts. A new millimeter wave mixer and detector, the Gap diode was invented. Topics reported on include ballistic transport, Zener oscillations, impurities in GaAs, electron velocity-electric field calculation and measurements, etc., calculations.

  8. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  9. Radiation-hard analog-to-digital converters for space and strategic applications

    NASA Technical Reports Server (NTRS)

    Gauthier, M. K.; Dantas, A. R. V.

    1985-01-01

    During the course of the Jet Propulsion Laboratory's program to study radiation-hardened analog-to-digital converters (ADCs), numerous milestones have been reached in manufacturers' awareness and technology development and transfer, as well as in user awareness of these developments. The testing of ADCs has also continued with twenty different ADCs from seven manufacturers, all tested for total radiation dose and three tested for neutron effects. Results from these tests are reported.

  10. SiC detectors to monitor ionizing radiations emitted from nuclear events and plasmas

    NASA Astrophysics Data System (ADS)

    Torrisi, L.; Cannavò, A.

    2016-09-01

    Silicon Carbide (SiC) semiconductor detectors are increasingly employed in Nuclear Physics for their advantages with respect to traditional silicon (Si). Such detectors show an energy resolution, charge mobility, response velocity and detection efficiency similar to Si detectors. However, the higher band gap (3.26 eV), the lower leakage current (∼10 pA) maintained also at room temperature, the higher radiation hardness and the higher density with respect to Si represent some indisputable advantages characterizing such detectors. The devices can be employed at high temperatures, at high absorbed doses and in the case of high visible light intensities, for example, in plasma, for limited exposition times without damage. Generally SiC Schottky diodes are employed in reverse polarization with an active region depth of the order of 100 µm, purity below 1014 cm-3 and an active area lower than 1 cm2. Measurements in the regime of proportionality with the radiation energy released in the active region and measurements in time-of-flight configuration are employed for nuclear emission events produced at both low and high fluences. Alpha spectra demonstrated an energy resolution of about 1.3% at 5.8 MeV. Radiation emission from laser-generated plasma can be monitored in terms of detected photons, electrons and ions, using the laser pulse as a start signal and the radiation detection as a stop signal, enabling to measure the ion velocity by knowing the target-detector flight distance. SiC spectra acquired in the Messina University laboratories using radioactive ion sources and at the PALS laboratory facility in Prague (Czech Republic) are presented. A preliminary study of the use of SiC detectors, embedded in a water equivalent polymer, as a dosimeter is presented and discussed.

  11. Synthesis, Properties, and Applications Of Boron Nitride

    NASA Technical Reports Server (NTRS)

    Pouch, John J.; Alterovitz, Samuel A.

    1993-01-01

    Report describes synthesis, properties, and applications of boron nitride. Especially in thin-film form. Boron nitride films useful as masks in x-ray lithography; as layers for passivation of high-speed microelectronic circuits; insulating films; hard, wear-resistant, protective films for optical components; lubricants; and radiation detectors. Present status of single-crystal growth of boron nitride indicates promising candidate for use in high-temperature semiconductor electronics.

  12. Thienoacene-based organic semiconductors.

    PubMed

    Takimiya, Kazuo; Shinamura, Shoji; Osaka, Itaru; Miyazaki, Eigo

    2011-10-11

    Thienoacenes consist of fused thiophene rings in a ladder-type molecular structure and have been intensively studied as potential organic semiconductors for organic field-effect transistors (OFETs) in the last decade. They are reviewed here. Despite their simple and similar molecular structures, the hitherto reported properties of thienoacene-based OFETs are rather diverse. This Review focuses on four classes of thienoacenes, which are classified in terms of their chemical structures, and elucidates the molecular electronic structure of each class. The packing structures of thienoacenes and the thus-estimated solid-state electronic structures are correlated to their carrier transport properties in OFET devices. With this perspective of the molecular structures of thienoacenes and their carrier transport properties in OFET devices, the structure-property relationships in thienoacene-based organic semiconductors are discussed. The discussion provides insight into new molecular design strategies for the development of superior organic semiconductors. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. The Physics of Semiconductors

    NASA Astrophysics Data System (ADS)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  14. Creating semiconductor metafilms with designer absorption spectra

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Soo Jin; Fan, Pengyu; Kang, Ju-Hyung

    The optical properties of semiconductors are typically considered intrinsic and fixed. Here we leverage the rapid developments in the field of optical metamaterials to create ultrathin semiconductor metafilms with designer absorption spectra. We show how such metafilms can be constructed by placing one or more types of high-index semiconductor antennas into a dense array with subwavelength spacings. It is argued that the large absorption cross-section of semiconductor antennas and their weak near-field coupling open a unique opportunity to create strongly absorbing metafilms whose spectral absorption properties directly reflect those of the individual antennas. Using experiments and simulations, we demonstrate thatmore » near-unity absorption at one or more target wavelengths of interest can be achieved in a sub-50-nm-thick metafilm using judiciously sized and spaced Ge nanobeams. The ability to create semiconductor metafilms with custom absorption spectra opens up new design strategies for planar optoelectronic devices and solar cells.« less

  15. An evaluation of radiation damage to solid state components flown in low earth orbit satellites.

    PubMed

    Shin, Myung-Won; Kim, Myung-Hyun

    2004-01-01

    The effects of total ionising radiation dose upon commercial off-the-shelf semiconductors fitted to satellites operating in low Earth orbit (LEO) conditions was evaluated. The evaluation was performed for the Korea Institute of Technology SATellite-1, (KITSAT-1) which was equipped with commercial solid state components. Two approximate calculation models for space radiation shielding were developed. Verification was performed by comparing the results with detailed three-dimensional calculations using the Monte-Carlo method and measured data from KITSAT-1. It was confirmed that the developed approximate models were reliable for satellite shielding calculations. It was also found that commercial semiconductor devices, which were not radiation hardened, could be damaged within their lifetime due to the total ionising dose they are subject to in the LEO environment. To conclude, an intensive shielding analysis should be considered when commercial devices are used.

  16. A Radiation-Hard Silicon Drift Detector Array for Extraterrestrial Element Mapping

    NASA Technical Reports Server (NTRS)

    Gaskin, Jessica; Chen, Wei; De Geronimo, Gianluigi; Keister, Jeff; Li, Shaouri; Li, Zhen; Siddons, David P.; Smith, Graham

    2011-01-01

    Measurement of x-rays from the surface of objects can tell us about the chemical composition Absorption of radiation causes characteristic fluorescence from material being irradiated. By measuring the spectrum of the radiation and identifying lines in the spectrum, the emitting element (s) can be identified. This technique works for any object that has no absorbing atmosphere and significant surface irradiation : Our Moon, the icy moons of Jupiter, the moons of Mars, the planet Mercury, Asteroids and Comets

  17. Impurity gettering in semiconductors

    DOEpatents

    Sopori, B.L.

    1995-06-20

    A process for impurity gettering in a semiconductor substrate or device such as a silicon substrate or device is disclosed. The process comprises hydrogenating the substrate or device at the back side thereof with sufficient intensity and for a time period sufficient to produce a damaged back side. Thereafter, the substrate or device is illuminated with electromagnetic radiation at an intensity and for a time period sufficient to cause the impurities to diffuse to the back side and alloy with a metal there present to form a contact and capture the impurities. The impurity gettering process also can function to simultaneously passivate defects within the substrate or device, with the defects likewise diffusing to the back side for simultaneous passivation. Simultaneously, substantially all hydrogen-induced damage on the back side of the substrate or device is likewise annihilated. Also taught is an alternate process comprising thermal treatment after hydrogenation of the substrate or device at a temperature of from about 500 C to about 700 C for a time period sufficient to cause the impurities to diffuse to the damaged back side thereof for subsequent capture by an alloying metal. 1 fig.

  18. Impurity gettering in semiconductors

    DOEpatents

    Sopori, Bhushan L.

    1995-01-01

    A process for impurity gettering in a semiconductor substrate or device such as a silicon substrate or device. The process comprises hydrogenating the substrate or device at the back side thereof with sufficient intensity and for a time period sufficient to produce a damaged back side. Thereafter, the substrate or device is illuminated with electromagnetic radiation at an intensity and for a time period sufficient to cause the impurities to diffuse to the back side and alloy with a metal there present to form a contact and capture the impurities. The impurity gettering process also can function to simultaneously passivate defects within the substrate or device, with the defects likewise diffusing to the back side for simultaneous passivation. Simultaneously, substantially all hydrogen-induced damage on the back side of the substrate or device is likewise annihilated. Also taught is an alternate process comprising thermal treatment after hydrogenation of the substrate or device at a temperature of from about 500.degree. C. to about 700.degree. C. for a time period sufficient to cause the impurities to diffuse to the damaged back side thereof for subsequent capture by an alloying metal.

  19. Fast temporal correlation between hard X-ray and ultraviolet continuum brightenings

    NASA Technical Reports Server (NTRS)

    Machado, Marcos E.; Mauas, Pablo J.

    1986-01-01

    Recent Solar Maximum Mission (SMM) observations have shown fast and simultaneous increases in hard X-rays (HXR, E25 keV) and ultraviolet continuum (UVC, lambda lambda approx. equals 1600 and 1388 A) radiation. A simple and natural explanation is given for this phenomenon to happen, which does not involve extreme conditions for energy transport processes, and confirms earlier results on the effect of XUV photoionization in the solar atmosphere.

  20. A history of radiation detection instrumentation.

    PubMed

    Frame, Paul W

    2004-08-01

    A review is presented of the history of radiation detection instrumentation. Specific radiation detection systems that are discussed include the human senses, photography, calorimetry, color dosimetry, ion chambers, electrometers, electroscopes, proportional counters, Geiger Mueller counters, scalers and rate meters, barium platinocyanide, scintillation counters, semiconductor detectors, radiophotoluminescent dosimeters, thermoluminescent dosimeters, optically stimulated luminescent dosimeters, direct ion storage, electrets, cloud chambers, bubble chambers, and bubble dosimeters. Given the broad scope of this review, the coverage is limited to a few key events in the development of a given detection system and some relevant operating principles. The occasional anecdote is included for interest.