NASA Astrophysics Data System (ADS)
Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min
2018-04-01
An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.
NASA Astrophysics Data System (ADS)
Wei, Yang; Torah, Russel; Yang, Kai; Beeby, Steve; Tudor, John
2013-07-01
Free-standing cantilevers have been fabricated by screen printing sacrificial and structural layers onto a standard polyester cotton fabric. By printing additional conductive layers, a complete capacitive motion sensor on fabric using only screen printing has been fabricated. This type of free-standing structure cannot currently be fabricated using conventional fabric manufacturing processes. In addition, compared to conventional smart fabric fabrication processes (e.g. weaving and knitting), screen printing offers the advantages of geometric design flexibility and the ability to simultaneously print multiple devices of the same or different designs. Furthermore, a range of active inks exists from the printed electronics industry which can potentially be applied to create many types of smart fabric. Four cantilevers with different lengths have been printed on fabric using a five-layer structure with a sacrificial material underneath the cantilever. The sacrificial layer is subsequently removed at 160 °C for 30 min to achieve a freestanding cantilever above the fabric. Two silver electrodes, one on top of the cantilever and the other on top of the fabric, are used to capacitively detect the movement of the cantilever. In this way, an entirely printed motion sensor is produced on a standard fabric. The motion sensor was initially tested on an electromechanical shaker rig at a low frequency range to examine the linearity and the sensitivity of each design. Then, these sensors were individually attached to a moving human forearm to evaluate more representative results. A commercial accelerometer (Microstrain G-link) was mounted alongside for comparison. The printed sensors have a similar motion response to the commercial accelerometer, demonstrating the potential of a printed smart fabric motion sensor for use in intelligent clothing applications.
Reducing graphene device variability with yttrium sacrificial layers
NASA Astrophysics Data System (ADS)
Wang, Ning C.; Carrion, Enrique A.; Tung, Maryann C.; Pop, Eric
2017-05-01
Graphene technology has made great strides since the material was isolated more than a decade ago. However, despite improvements in growth quality and numerous "hero" devices, challenges of uniformity remain, restricting the large-scale development of graphene-based technologies. Here, we investigate and reduce the variability of graphene transistors by studying the effects of contact metals (with and without a Ti layer), resist, and yttrium (Y) sacrificial layers during the fabrication of hundreds of devices. We find that with optical photolithography, residual resist and process contamination are unavoidable, ultimately limiting the device performance and yield. However, using Y sacrificial layers to isolate the graphene from processing conditions improves the yield (from 73% to 97%), the average device performance (three-fold increase of mobility and 58% lower contact resistance), and the device-to-device variability (standard deviation of Dirac voltage reduced by 20%). In contrast to other sacrificial layer techniques, the removal of the Y sacrificial layer with dilute HCl does not harm surrounding materials, simplifying large-scale graphene fabrication.
Saurer, Eric M.; Flessner, Ryan M.; Buck, Maren E.; Lynn, David M.
2011-01-01
We report on the fabrication of covalently crosslinked and amine-reactive hollow microcapsules using ‘reactive’ layer-by-layer assembly to deposit thin polymer films on sacrificial microparticle templates. Our approach is based on the alternating deposition of layers of a synthetic polyamine and a polymer containing reactive azlactone functionality. Multilayered films composed of branched poly(ethylene imine) (BPEI) and poly(2-vinyl-4,4-dimethylazlactone) (PVDMA) were fabricated layer-by-layer on the surfaces of calcium carbonate and glass microparticle templates. After fabrication, these films contained residual azlactone functionality that was accessible for reaction with amine-containing molecules. Dissolution of the calcium carbonate or glass cores using aqueous ethylenediamine tetraacetic acid (EDTA) or hydrofluoric acid (HF), respectively, led to the formation of hollow polymer microcapsules. These microcapsules were robust enough to encapsulate and retain a model macromolecule (FITC-dextran) and were stable for at least 22 hours in high ionic strength environments, in low and high pH solutions, and in several common organic solvents. Significant differences in the behaviors of capsules fabricated on CaCO3 and glass cores were observed and characterized using scanning electron microscopy (SEM) and energy dispersive X-ray spectroscopy (EDS). Whereas capsules fabricated on CaCO3 templates collapsed upon drying, capsules fabricated on glass templates remained rigid and spherical. Characterization using EDS suggested that this latter behavior results, at least in part, from the presence of insoluble metal fluoride salts that are trapped or precipitate within the walls of capsules after etching of the glass cores using HF. Our results demonstrate that the assembly of BPEI/PVDMA films on sacrificial templates can be used to fabricate reactive microcapsules of potential use in a wide range of fields, including catalysis, drug and gene delivery, imaging, and
Reflective article having a sacrificial cathodic layer
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kabagambe, Benjamin; Buchanan, Michael J.; Scott, Matthew S.
The present invention relates to reflective articles, such as solar mirrors, that include a sacrificial cathodic layer. The reflective article, more particularly includes a substrate, such as glass, having a multi-layered coating thereon that includes a lead-free sacrificial cathodic layer. The sacrificial cathodic layer includes at least one transition metal, such as a particulate transition metal, which can be in the form of flakes (e.g., zinc flakes). The sacrificial cathodic layer can include an inorganic matrix formed from one or more organo-titanates. Alternatively, the sacrificial cathodic layer can include an organic polymer matrix (e.g., a crosslinked organic polymer matrix formedmore » from an organic polymer and an aminoplast crosslinking agent). The reflective article also includes an outer organic polymer coating, that can be electrodeposited over the sacrificial cathodic layer.« less
Fabrication of 3D SiO x structures using patterned PMMA sacrificial layer
NASA Astrophysics Data System (ADS)
Li, Zhiqin; Xiang, Quan; Zheng, Mengjie; Bi, Kaixi; Chen, Yiqin; Chen, Keqiu; Duan, Huigao
2018-02-01
Three-dimensional (3D) nanofabrication based on electron-beam lithography (EBL) has drawn wide attention for various applications with its high patterning resolution and design flexibility. In this work, we present a bilayer EBL process to obtain 3D freestanding SiO x structures via the release of the bottom sacrificial layer. This new kind of bilayer process enables us to define various 3D freestanding SiO x structures with high resolution and low edge roughness. As a proof of concept for applications, metal-coated freestanding SiO x microplates with an underlying air gap were fabricated to form asymmetric Fabry-Perot resonators, which can be utilized for colorimetric refractive index sensing and thus also have application potential for biochemical detection, anti-counterfeiting and smart active nano-optical devices.
A sacrificial process for fabrication of biodegradable polymer membranes with submicron thickness.
Beardslee, Luke A; Stolwijk, Judith; Khaladj, Dimitrius A; Trebak, Mohamed; Halman, Justin; Torrejon, Karen Y; Niamsiri, Nuttawee; Bergkvist, Magnus
2016-08-01
A new sacrificial molding process using a single mask has been developed to fabricate ultrathin 2-dimensional membranes from several biocompatible polymeric materials. The fabrication process is similar to a sacrificial microelectromechanical systems (MEMS) process flow, where a mold is created from a material that can be coated with a biodegradable polymer and subsequently etched away, leaving behind a very thin polymer membrane. In this work, two different sacrificial mold materials, silicon dioxide (SiO2 ) and Liftoff Resist (LOR) were used. Three different biodegradable materials; polycaprolactone (PCL), poly(lactic-co-glycolic acid) (PLGA), and polyglycidyl methacrylate (PGMA), were chosen as model polymers. We demonstrate that this process is capable of fabricating 200-500 nm thin, through-hole polymer membranes with various geometries, pore-sizes and spatial features approaching 2.5 µm using a mold fabricated via a single contact photolithography exposure. In addition, the membranes can be mounted to support rings made from either SU8 or PCL for easy handling after release. Cell culture compatibility of the fabricated membranes was evaluated with human dermal microvascular endothelial cells (HDMECs) seeded onto the ultrathin porous membranes, where the cells grew and formed confluent layers with well-established cell-cell contacts. Furthermore, human trabecular meshwork cells (HTMCs) cultured on these scaffolds showed similar proliferation as on flat PCL substrates, further validating its compatibility. All together, these results demonstrated the feasibility of our sacrificial fabrication process to produce biocompatible, ultra-thin membranes with defined microstructures (i.e., pores) with the potential to be used as substrates for tissue engineering applications. © 2015 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 104B: 1192-1201, 2016. © 2015 Wiley Periodicals, Inc.
Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin
2015-05-26
A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.
HF-Release of Sacrificial Layers in CMOS-integrated MOEMS structures
NASA Astrophysics Data System (ADS)
Döring, S.; Friedrichs, M.; Pufe, W.; Schulze, M.
2016-10-01
In this paper we will present details of the release process of SiO2 sacrificial layers we use within a multi-level MOEMS process developed by IPMS. Using such sacrificial layers gain a lot of benefits necessary for the production of high-end MOEMS devices like high surface quality and great surface planarity. However the HF-release of the sacrificial layer can be connected with specific issues. We present, which mechanisms are involved in the release process and how knowing them, can be the key for an optimized performance of the device. More-over we will present how to protect the CMOS backplane of our devices from unwanted HF attack during the release.
Spontaneous nano-gap formation in Ag film using NaCl sacrificial layer for Raman enhancement
NASA Astrophysics Data System (ADS)
Min, Kyungchan; Jeon, Wook Jin; Kim, Youngho; Choi, Jae-Young; Yu, Hak Ki
2018-03-01
We report the method of fabrication of nano-gaps (known as hot spots) in Ag thin film using a sodium chloride (NaCl) sacrificial layer for Raman enhancement. The Ag thin film (20-50 nm) on the NaCl sacrificial layer undergoes an interfacial reaction due to the AgCl formed at the interface during water molecule intercalation. The intercalated water molecules can dissolve the NaCl molecules at interfaces and form the ionic state of Na+ and Cl-, promoting the AgCl formation. The Ag atoms can migrate by the driving force of this interfacial reaction, resulting in the formation of nano-size gaps in the film. The surface-enhanced Raman scattering activity of Ag films with nano-size gaps has been investigated using Raman reporter molecules, Rhodamine 6G (R6G).
Investigation of sacrificial layer and building block for layered nanofabrication (LNF)
NASA Astrophysics Data System (ADS)
Shih, Ting-Yu
Layered Nanoscale Fabrication (LNF) is a "bottom-up" procedure that uses multiple layers to build 3-dimensional nanoscale structures. Here, in this dissertation, several candidates for sacrificial layers were explored, The thermal stability of gold nanoparticles and simple patterns are also reported. In order to obtain information on layer thickness and film quality; the samples were characterized using atomic force microscopy (AFM) and ellipsometry. Octadecyltrichlorosilane (OTS) was first investigated for use as a sacrificial layer and we studied filth growth by targeted self-replication of silane multilayers with and without the presence of thiolated gold nanoparticles on silicon oxide substrates. The particles adhered to the substrate during layer grafting. The film grew selectively on the substrate, without covering the particles. AFM was used to investigate the growth mechanism and the process of embedding the nanoparticles. OTS multilayer films up to 9 layers were grown in a linear, bilayer-by bilayer mode, free of islands and defects. We also report on studies of monolayer and multilayer formation of Methyl-11-dimethylmonochlorosilyl-undecanoate films. Flat multilayers up to 3-layers thick were grown. AFM was used to measure the height of an observable "edge" of the multilayer film and this provides and independent determination of the MOSUD layer height of 1.5 nm: However, the particles detached from the surface when we attempted to grow multilayer. One strategy of linking the particles to form 2D arrays, thermal activation in ambient air, was investigated. The morphological properties of flaked nanoparticles and structures on silicon oxide substrates before and after heating were characterized by using AFM. For widely separated 5 nm gold nanoparticles height decreased over 50% at 600 °C. Further heating to 630 °C caused most particles to completely disappear, with small amount of particle residue left on the surface. Particles positioned near to other
Peterson, Kenneth A [Albuquerque, NM
2009-02-24
A method of using sacrificial materials for fabricating internal cavities and channels in laminated dielectric structures, which can be used as dielectric substrates and package mounts for microelectronic and microfluidic devices. A sacrificial mandrel is placed in-between two or more sheets of a deformable dielectric material (e.g., unfired LTCC glass/ceramic dielectric), wherein the sacrificial mandrel is not inserted into a cutout made in any of the sheets. The stack of sheets is laminated together, which deforms the sheet or sheets around the sacrificial mandrel. After lamination, the mandrel is removed, (e.g., during LTCC burnout), thereby creating a hollow internal cavity in the monolithic ceramic structure.
Laser shock peening studies on SS316LN plate with various sacrificial layers
NASA Astrophysics Data System (ADS)
Yella, Pardhu; Venkateswarlu, P.; Buddu, Ramesh K.; Vidyasagar, D. V.; Sankara Rao, K. Bhanu; Kiran, P. Prem; Rajulapati, Koteswararao V.
2018-03-01
Laser shock peening (LSP) has been utilized to modify the surface characteristics of SS316LN plates of 6 mm thickness. Laser pulse widths employed are 30 ps and 7 ns and the laser energy was varied in the range 5-90 mJ. Peening was performed in direct ablation mode as well as with various sacrificial layers such as black paint, transparent adhesive tape and absorbing adhesive tape. The surface characteristics were greatly influenced by the type of sacrificial layer employed. The average surface roughness values are about 0.4 μm when the black paint and transparent adhesive tape were used as sacrificial layers. In contrast to this, using absorbent adhesive tape as a sacrificial layer has resulted in an average surface roughness of about 0.04 μm. Irrespective of pulse durations (30 ps or 7 ns), absorbent adhesive tape has always resulted in compressive residual stresses whereas other layers appear to be not that effective. In case of 30 ps pulse, as the laser energy was increased from 5 mJ to 25 mJ, there was a texture observed in (111) reflection of X-ray diffractograms and the center of the peak has also gradually shifted to left. X-ray line profile analysis suggests that with the increase in laser energy, lattice microstrain also has increased. This lattice microstrain appears to be resulting from the increased dislocation density in the peened sample as evidenced during transmission electron microscopic investigations. Cross-sectional scanning electron microscopy performed on peened samples suggests that absorbing adhesive tape brings no surface damage to the samples whereas other sacrificial layers have resulted in some surface damage. Based on all these structural and microstructural details, it is recommended that absorbent tape could be used as a sacrificial layer during LSP process which induces surface residual stresses with no damage to the sample surface.
NASA Astrophysics Data System (ADS)
Chung, Daehan; Gray, Bonnie L.
2017-11-01
We present a simple, fast, and inexpensive new printing-based fabrication process for flexible and wearable microfluidic channels and devices. Microfluidic devices are fabricated on textiles (fabric) for applications in clothing-based wearable microfluidic sensors and systems. The wearable and flexible microfluidic devices are comprised of water-insoluable screen-printable plastisol polymer. Sheets of paper are used as sacrificial substrates for multiple layers of polymer on the fabric’s surface. Microfluidic devices can be made within a short time using simple processes and inexpensive equipment that includes a laser cutter and a thermal laminator. The fabrication process is characterized to demonstrate control of microfluidic channel thickness and width. Film thickness smaller than 100 micrometers and lateral dimensions smaller than 150 micrometers are demonstrated. A flexible microfluidic mixer is also developed on fabric and successfully tested on both flat and curved surfaces at volumetric flow rates ranging from 5.5-46 ml min-1.
Sacrificial component fabrication for optimised production of micro-vascular polymer composite
NASA Astrophysics Data System (ADS)
Dalton, B.; Dixon, D.; McIlhagger, A.; Archer, E.
2015-02-01
Smart functional materials are a viable future goal for advanced applications in aerospace, space and medical applications. In this work micro-vascular polymer composite systems have been developed using sacrificial fibres produced from catalyst loaded Poly(lactic acid). The sacrificial fibres have been produced via a published technique which treated PLA in a solvent catalyst mixture of 60% Trifluoroethanol, 40% H2O dispersed with 10 wt% tin (II) oxalate catalyst. A second process of polymer extrusion of PLA using graded fill contents of tin (II) oxalate has also been developed for the up scaled production of fibres as an alternative to solution treatment. Thermal analysis (TGA) was used to compare sacrificial fibre specimens. PLA fibres produced via the polymer extrusion method outperformed solution treated fibres displaying a lower degradation onset temperature (average 25°C lower), higher degradation rates (observed through a derivative curve comparison) and lower residual catalyst content (0.67% solvent treated fibre against 0.16% extruded fibre). The continuous extrusion process is solvent free and is suitable for high volume production. This work has been carried out to fully understand the fabrication issues with sacrificial components.
Huang, Hai; Lin, Saisai; Zhang, Lin; Hou, Li'an
2017-03-22
Improving chlorine stability is a high priority for aromatic polyamide (PA) reverse osmosis (RO) membranes especially in long-term desalination. In this Research Article, PA RO membranes of sustainable chlorine resistance was synthesized. Glycylglycine (Gly) was grafted onto the membrane surface as a regenerative chlorine sacrificial layer, and the zeta-potential was used to monitor the membrane performance and to conduct timely regeneration operations for chlorinated Gly. The Gly-grafted PA membrane exhibited ameliorative chlorine resistance in which the N-H moiety of glycylglycine served as sacrificial pendants against chlorine attacks. Cyclic chlorination experiments, combined with FT-IR and XPS analysis, were carried out to characterize the membrane. Results indicated that the resulting N-halamines could be fast regenerated by a simple alkaline reduction step (pH 10). A synchronous relationship between the zeta-potential and the chlorination extent of the sacrificial layer was observed. This indicated that the zeta-potential can be used as an on-site sensor to conduct a timely regeneration operation. The intrinsic mechanism of the surface sacrificial process was also studied.
Sacrificial adhesive bonding: a powerful method for fabrication of glass microchips
Lima, Renato S.; Leão, Paulo A. G. C.; Piazzetta, Maria H. O.; Monteiro, Alessandra M.; Shiroma, Leandro Y.; Gobbi, Angelo L.; Carrilho, Emanuel
2015-01-01
A new protocol for fabrication of glass microchips is addressed in this research paper. Initially, the method involves the use of an uncured SU-8 intermediate to seal two glass slides irreversibly as in conventional adhesive bonding-based approaches. Subsequently, an additional step removes the adhesive layer from the channels. This step relies on a selective development to remove the SU-8 only inside the microchannel, generating glass-like surface properties as demonstrated by specific tests. Named sacrificial adhesive layer (SAB), the protocol meets the requirements of an ideal microfabrication technique such as throughput, relatively low cost, feasibility for ultra large-scale integration (ULSI), and high adhesion strength, supporting pressures on the order of 5 MPa. Furthermore, SAB eliminates the use of high temperature, pressure, or potential, enabling the deposition of thin films for electrical or electrochemical experiments. Finally, the SAB protocol is an improvement on SU-8-based bondings described in the literature. Aspects such as substrate/resist adherence, formation of bubbles, and thermal stress were effectively solved by using simple and inexpensive alternatives. PMID:26293346
System and Method for Fabricating Super Conducting Circuitry on Both Sides of an Ultra-Thin Layer
NASA Technical Reports Server (NTRS)
Brown, Ari D. (Inventor); Mikula, Vilem (Inventor)
2017-01-01
A method of fabricating circuitry in a wafer includes depositing a superconducting metal on a silicon on insulator wafer having a handle wafer, coating the wafer with a sacrificial layer and bonding the wafer to a thermally oxide silicon wafer with a first epoxy. The method includes flipping the wafer, thinning the flipped wafer by removing a handle wafer, etching a buried oxide layer, depositing a superconducting layer, bonding the wafer to a thermally oxidized silicon wafer having a handle wafer using an epoxy, flipping the wafer again, thinning the flipped wafer, etching a buried oxide layer from the wafer and etching the sacrificial layer from the wafer. The result is a wafer having superconductive circuitry on both sides of an ultra-thin silicon layer.
Release of MEMS devices with hard-baked polyimide sacrificial layer
NASA Astrophysics Data System (ADS)
Boroumand Azad, Javaneh; Rezadad, Imen; Nath, Janardan; Smith, Evan; Peale, Robert E.
2013-03-01
Removal of polyimides used as sacrificial layer in fabricating MEMS devices can be challenging after hardbaking, which may easily result by the end of multiple-step processing. We consider the specific commercial co-developable polyimide ProLift 100 (Brewer Science). Excessive heat hardens this material, so that during wet release in TMAH based solvents, intact sheets break free from the substrate, move around in the solution, and break delicate structures. On the other hand, dry reactive-ion etching of hard-baked ProLift is so slow, that MEMS structures are damaged from undesirably-prolonged physical bombardment by plasma ions. We found that blanket exposure to ultraviolet light allows rapid dry etch of the ProLift surrounding the desired structures without damaging them. Subsequent removal of ProLift from under the devices can then be safely performed using wet or dry etch. We demonstrate the approach on PECVD-grown silicon-oxide cantilevers of 100 micron × 100 micron area supported 2 microns above the substrate by ~100-micron-long 8-micron-wide oxide arms.
Fabrication of a printed capacitive air-gap touch sensor
NASA Astrophysics Data System (ADS)
Lee, Sang Hoon; Seo, Hwiwon; Lee, Sangyoon
2018-05-01
Unlike lithography-based processes, printed electronics does not require etching, which makes it difficult to fabricate electronic devices with an air gap. In this study, we propose a method to fabricate capacitive air-gap touch sensors via printing and coating. First, the bottom electrode was fabricated on a flexible poly(ethylene terephthalate) (PET) substrate using roll-to-roll gravure printing with silver ink. Then poly(dimethylsiloxane) (PDMS) was spin coated to form a sacrificial layer. The top electrode was fabricated on the sacrificial layer by spin coating with a stretchable silver ink. The sensor samples were then put in a tetrabutylammonium (TBAF) bath to generate the air gap by removing the sacrificial layer. The capacitance of the samples was measured for verification, and the results show that the capacitance increases in proportion to the applied force from 0 to 2.5 N.
Lift-off process for fine-patterned PZT film using metal oxide as a sacrificial layer
NASA Astrophysics Data System (ADS)
Trong Tue, Phan; Shimoda, Tatsuya; Takamura, Yuzuru
2017-01-01
Patterning of lead zirconium titanate (PZT) films is crucial for highly integrated piezoelectric/ferroelectric micro-devices. In this work, we report a novel lift-off method using solution-processed indium zinc oxide (IZO) thin film as a sacrificial layer for sub-5 µm fine-patterning PZT film. The processes include IZO layer deposition and patterning, PZT film preparation, and final lift-off. The results reveal that the lift-off PZT processes provide better structural and electrical properties than those formed by the conventional wet-etching method. The successful patterning by the lift-off was mainly due to the fact that the IZO sacrificial layer is easy to etch and has a high-temperature resistance. This finding shows great promise for highly integrated electronic devices.
Metallization and Biopatterning on Ultra-Flexible Substrates via Dextran Sacrificial Layers
Tseng, Peter; Pushkarsky, Ivan; Di Carlo, Dino
2014-01-01
Micro-patterning tools adopted from the semiconductor industry have mostly been optimized to pattern features onto rigid silicon and glass substrates, however, recently the need to pattern on soft substrates has been identified in simulating cellular environments or developing flexible biosensors. We present a simple method of introducing a variety of patterned materials and structures into ultra-flexible polydimethylsiloxane (PDMS) layers (elastic moduli down to 3 kPa) utilizing water-soluble dextran sacrificial thin films. Dextran films provided a stable template for photolithography, metal deposition, particle adsorption, and protein stamping. These materials and structures (including dextran itself) were then readily transferrable to an elastomer surface following PDMS (10 to 70∶1 base to crosslinker ratios) curing over the patterned dextran layer and after sacrificial etch of the dextran in water. We demonstrate that this simple and straightforward approach can controllably manipulate surface wetting and protein adsorption characteristics of PDMS, covalently link protein patterns for stable cell patterning, generate composite structures of epoxy or particles for study of cell mechanical response, and stably integrate certain metals with use of vinyl molecular adhesives. This method is compatible over the complete moduli range of PDMS, and potentially generalizable over a host of additional micro- and nano-structures and materials. PMID:25153326
Film transfer enabled by nanosheet seed layers on arbitrary sacrificial substrates
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dral, A. P.; Nijland, M.; Koster, G.
An approach for film transfer is demonstrated that makes use of seed layers of nanosheets on arbitrary sacrificial substrates. Epitaxial SrTiO{sub 3}, SrRuO{sub 3}, and BiFeO{sub 3} films were grown on Ca{sub 2}Nb{sub 3}O{sub 10} nanosheet seed layers on phlogopite mica substrates. Cleavage of the mica substrates enabled film transfer to flexible polyethylene terephthalate substrates. Electron backscatter diffraction, X-ray diffraction, and atomic force microscopy confirmed that crystal orientation and film morphology remained intact during transfer. The generic nature of this approach is illustrated by growing films on zinc oxide substrates with a nanosheet seed layer. Film transfer to a flexiblemore » substrate was accomplished via acid etching.« less
Method for fabricating a microscale anemometer
NASA Technical Reports Server (NTRS)
Liu, Chang (Inventor); Chen, Jack (Inventor)
2008-01-01
Method for fabricating a microscale anemometer on a substrate. A sacrificial layer is formed on the substrate, and a metal thin film is patterned to form a sensing element. At least one support for the sensing element is patterned. The sacrificial layer is removed, and the sensing element is lifted away from the substrate by raising the supports, thus creating a clearance between the sensing element and the substrate to allow fluid flow between the sensing element and the substrate. The supports are raised preferably by use of a magnetic field applied to magnetic material patterned on the supports.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Swerts, J., E-mail: Johan.Swerts@imec.be; Mertens, S.; Lin, T.
Perpendicularly magnetized MgO-based tunnel junctions are envisaged for future generation spin-torque transfer magnetoresistive random access memory devices. Achieving a high tunnel magneto resistance and preserving it together with the perpendicular magnetic anisotropy during BEOL CMOS processing are key challenges to overcome. The industry standard technique to deposit the CoFeB/MgO/CoFeB tunnel junctions is physical vapor deposition. In this letter, we report on the use of an ultrathin Mg layer as free layer cap to protect the CoFeB free layer from sputtering induced damage during the Ta electrode deposition. When Ta is deposited directly on CoFeB, a fraction of the surface ofmore » the CoFeB is sputtered even when Ta is deposited with very low deposition rates. When depositing a thin Mg layer prior to Ta deposition, the sputtering of CoFeB is prevented. The ultra-thin Mg layer is sputtered completely after Ta deposition. Therefore, the Mg acts as a sacrificial layer that protects the CoFeB from sputter-induced damage during the Ta deposition. The Ta-capped CoFeB free layer using the sacrificial Mg interlayer has significantly better electrical and magnetic properties than the equivalent stack without protective layer. We demonstrate a tunnel magneto resistance increase up to 30% in bottom pinned magnetic tunnel junctions and tunnel magneto resistance values of 160% at resistance area product of 5 Ω.μm{sup 2}. Moreover, the free layer maintains perpendicular magnetic anisotropy after 400 °C annealing.« less
Zhang, Shouwei; Demoustier-Champagne, Sophie; Jonas, Alain M
2015-08-10
We report on the fabrication of enzyme nanotubes in nanoporous polycarbonate membranes via the layer-by-layer (LbL) alternate assembly of polyethylenimine (PEI) and glucose oxidase (GOX), followed by dissolution of the sacrificial template in CH2Cl2, collection, and final dispersion in water. An adjuvant-assisted filtration methodology is exploited to extract quantitatively the nanotubes without loss of activity and morphology. Different water-soluble CH2Cl2-insoluble adjuvants are tested for maximal enzyme activity and nanotube stability; whereas NaCl disrupts the tubes by screening electrostatic interactions, the high osmotic pressure created by fructose also contributes to loosening the nanotubular structures. These issues are solved when using neutral, high molar mass dextran. The enzymatic activity of intact free nanotubes in water is then quantitatively compared to membrane-embedded nanotubes, showing that the liberated nanotubes have a higher catalytic activity in proportion to their larger exposed surface. Our study thus discloses a robust and general methodology for the fabrication and quantitative collection of enzymatic nanotubes and shows that LbL assembly provides access to efficient enzyme carriers for use as catalytic swarming agents.
Güder, Firat; Yang, Yang; Krüger, Michael; Stevens, Gregory B; Zacharias, Margit
2010-12-01
A versatile, low-cost, and flexible approach is presented for the fabrication of millimeter-long, sub-100 nm wide 1D nanochannels with tunable wall properties (wall thickness and material) over wafer-scale areas on glass, alumina, and silicon surfaces. This approach includes three fabrication steps. First, sub-100 nm photoresist line patterns were generated by near-field contact phase-shift lithography (NFC-PSL) using an inexpensive homemade borosilicate mask (NFC-PSM). Second, various metal oxides were directly coated on the resist patterns with low-temperature atomic layer deposition (ALD). Finally, the remaining photoresist was removed via an acetone dip, and then planar nanochannel arrays were formed on the substrate. In contrast to all the previous fabrication routes, the sub-100 nm photoresist line patterns produced by NFC-PSL are directly employed as a sacrificial layer for the creation of nanochannels. Because both the NFC-PSL and the ALD deposition are highly reproducible processes, the strategy proposed here can be regarded as a general route for nanochannel fabrication in a simplified and reliable manner. In addition, the fabricated nanochannels were used as templates to synthesize various organic and inorganic 1D nanostructures on the substrate surface.
NASA Technical Reports Server (NTRS)
Prokopuk, Nicholas (Inventor); Son, Kyung-Ah (Inventor)
2008-01-01
Methods of fabricating nano-gap electrode structures in array configurations, and the structures so produced. The fabrication method involves depositing first and second pluralities of electrodes comprising nanowires using processes such as lithography, deposition of metals, lift-off processes, and chemical etching that can be performed using conventional processing tools applicable to electronic materials processing. The gap spacing in the nano-gap electrode array is defined by the thickness of a sacrificial spacer layer that is deposited between the first and second pluralities of electrodes. The sacrificial spacer layer is removed by etching, thereby leaving a structure in which the distance between pairs of electrodes is substantially equal to the thickness of the sacrificial spacer layer. Electrode arrays with gaps measured in units of nanometers are produced. In one embodiment, the first and second pluralities of electrodes are aligned in mutually orthogonal orientations.
NASA Astrophysics Data System (ADS)
Harada, Takayuki; Tsukazaki, Atsushi
2018-02-01
Oxides provide various fascinating physical properties that could find use in future device applications. However, the physical properties of oxides are often affected by formation of oxygen vacancies during device fabrication processes. In this study, to develop a damage-free patterning process for oxides, we focus on a lift-off process using a sacrificial template layer, by which we can pattern oxide thin films without severe chemical treatment or plasma bombardment. As oxides need high thin-film growth temperature, a sacrificial template needs to be made of thermally stable and easily etchable materials. To meet these requirements, we develop a sacrificial template with a carefully designed bilayer structure. Combining a thermally and chemically stable LaAlO3 and a water-soluble BaOx, we fabricated a LaAlO3/BaOx sacrificial bilayer. The patterned LaAlO3/BaOx sacrificial bilayers were prepared on oxide substrates by room-temperature pulsed laser deposition and standard photolithography process. The structure of the sacrificial bilayer can be maintained even in rather tough conditions needed for oxide thin film growth: several hundred degrees Celsius under high oxygen pressure. Indeed, the LaAlO3/BaOx bilayer is easily removable by sonication in water. We applied the lift-off method using the LaAlO3/BaOx sacrificial bilayer to a representative oxide conductor SrRuO3 and fabricated micron-scale Hall-bar devices. The SrRuO3 channels with the narrowest line width of 5 μm exhibit an almost identical transport property to that of the pristine film, evidencing that the developed process is beneficial for patterning oxides. We show that the LaAlO3/BaOx lift-off process is applicable to various oxide substrates: SrTiO3, MgO, and Al2O3. The new versatile patterning process will expand the range of application of oxide thin films in electronic and photonic devices.
Growth and sacrificial oxidation of transition metal nanolayers
NASA Astrophysics Data System (ADS)
Tsarfati, Tim; Zoethout, Erwin; van de Kruijs, Robbert; Bijkerk, Fred
2009-04-01
Growth and oxidation of Au, Pt, Pd, Rh, Cu, Ru, Ni and Co layers of 0.3-4.3 nm thickness on Mo have been investigated with ARPES and AFM. Co and Ni layers oxidize while the Mo remains metallic. For nobler metals, the on top O and oxidation state of subsurface Mo increase, suggesting sacrificial e - donation by Mo. Au and Cu, in spite of their significantly lower surface free energy, grow in islands on Mo and actually promote Mo oxidation. Applications of the sacrificial oxidation in nanometer thin layers exist in a range of nanoscopic devices, such as nano-electronics and protection of e.g. multilayer X-ray optics for astronomy, medicine and lithography.
Sacrificial-layer free transfer of mammalian cells using near infrared femtosecond laser pulses
Zhang, Jun; Hartmann, Bastian; Siegel, Julian; Marchi, Gabriele; Clausen-Schaumann, Hauke; Sudhop, Stefanie; Huber, Heinz P.
2018-01-01
Laser-induced cell transfer has been developed in recent years for the flexible and gentle printing of cells. Because of the high transfer rates and the superior cell survival rates, this technique has great potential for tissue engineering applications. However, the fact that material from an inorganic sacrificial layer, which is required for laser energy absorption, is usually transferred to the printed target structure, constitutes a major drawback of laser based cell printing. Therefore alternative approaches using deep UV laser sources and protein based acceptor films for energy absorption, have been introduced. Nevertheless, deep UV radiation can introduce DNA double strand breaks, thereby imposing the risk of carcinogenesis. Here we present a method for the laser-induced transfer of hydrogels and mammalian cells, which neither requires any sacrificial material for energy absorption, nor the use of UV lasers. Instead, we focus a near infrared femtosecond (fs) laser pulse (λ = 1030 nm, 450 fs) directly underneath a thin cell layer, suspended on top of a hydrogel reservoir, to induce a rapidly expanding cavitation bubble in the gel, which generates a jet of material, transferring cells and hydrogel from the gel/cell reservoir to an acceptor stage. By controlling laser pulse energy, well-defined cell-laden droplets can be transferred with high spatial resolution. The transferred human (SCP1) and murine (B16F1) cells show high survival rates, and good cell viability. Time laps microscopy reveals unaffected cell behavior including normal cell proliferation. PMID:29718923
Mohanty, Soumyaranjan; Larsen, Layla Bashir; Trifol, Jon; Szabo, Peter; Burri, Harsha Vardhan Reddy; Canali, Chiara; Dufva, Marin; Emnéus, Jenny; Wolff, Anders
2015-10-01
One of the major challenges in producing large scale engineered tissue is the lack of ability to create large highly perfused scaffolds in which cells can grow at a high cell density and viability. Here, we explore 3D printed polyvinyl alcohol (PVA) as a sacrificial mould in a polymer casting process. The PVA mould network defines the channels and is dissolved after curing the polymer casted around it. The printing parameters determined the PVA filament density in the sacrificial structure and this density resulted in different stiffness of the corresponding elastomer replica. It was possible to achieve 80% porosity corresponding to about 150 cm(2)/cm(3) surface to volume ratio. The process is easily scalable as demonstrated by fabricating a 75 cm(3) scaffold with about 16,000 interconnected channels (about 1m(2) surface area) and with a channel to channel distance of only 78 μm. To our knowledge this is the largest scaffold ever to be produced with such small feature sizes and with so many structured channels. The fabricated scaffolds were applied for in-vitro culturing of hepatocytes over a 12-day culture period. Smaller scaffolds (6×4 mm) were tested for cell culturing and could support homogeneous cell growth throughout the scaffold. Presumably, the diffusion of oxygen and nutrient throughout the channel network is rapid enough to support cell growth. In conclusion, the described process is scalable, compatible with cell culture, rapid, and inexpensive. Copyright © 2015. Published by Elsevier B.V.
Micromachining of silicon carbide on silicon fabricated by low-pressure chemical vapour deposition
NASA Astrophysics Data System (ADS)
Behrens, Ingo; Peiner, Erwin; Bakin, Andrey S.; Schlachetzki, Andreas
2002-07-01
We describe the fabrication of silicon carbide layers for micromechanical applications using low-pressure metal-organic chemical vapour deposition at temperatures below 1000 °C. The layers can be structured by lift-off using silicon dioxide as a sacrificial layer. A large selectivity with respect to silicon can be exploited for bulk micromachining. Thin membranes are fabricated which exhibit high mechanical quality, as necessary for applications in harsh environments.
Metallic dielectric photonic crystals and methods of fabrication
Chou, Jeffrey Brian; Kim, Sang-Gook
2017-12-05
A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.
Metallic dielectric photonic crystals and methods of fabrication
Chou, Jeffrey Brian; Kim, Sang-Gook
2016-12-20
A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.
Selective etchant for oxide sacrificial material in semiconductor device fabrication
Clews, Peggy J.; Mani, Seethambal S.
2005-05-17
An etching composition and method is disclosed for removing an oxide sacrificial material during manufacture of semiconductor devices including micromechanical, microelectromechanical or microfluidic devices. The etching composition and method are based on the combination of hydrofluoric acid (HF) and sulfuric acid (H.sub.2 SO.sub.4). These acids can be used in the ratio of 1:3 to 3:1 HF:H.sub.2 SO.sub.4 to remove all or part of the oxide sacrificial material while providing a high etch selectivity for non-oxide materials including polysilicon, silicon nitride and metals comprising aluminum. Both the HF and H.sub.2 SO.sub.4 can be provided as "semiconductor grade" acids in concentrations of generally 40-50% by weight HF, and at least 90% by weight H.sub.2 SO.sub.4.
Sacrificial template method of fabricating a nanotube
Yang, Peidong [Berkeley, CA; He, Rongrui [Berkeley, CA; Goldberger, Joshua [Berkeley, CA; Fan, Rong [El Cerrito, CA; Wu, Yi-Ying [Albany, CA; Li, Deyu [Albany, CA; Majumdar, Arun [Orinda, CA
2007-05-01
Methods of fabricating uniform nanotubes are described in which nanotubes were synthesized as sheaths over nanowire templates, such as using a chemical vapor deposition process. For example, single-crystalline zinc oxide (ZnO) nanowires are utilized as templates over which gallium nitride (GaN) is epitaxially grown. The ZnO templates are then removed, such as by thermal reduction and evaporation. The completed single-crystalline GaN nanotubes preferably have inner diameters ranging from 30 nm to 200 nm, and wall thicknesses between 5 and 50 nm. Transmission electron microscopy studies show that the resultant nanotubes are single-crystalline with a wurtzite structure, and are oriented along the <001> direction. The present invention exemplifies single-crystalline nanotubes of materials with a non-layered crystal structure. Similar "epitaxial-casting" approaches could be used to produce arrays and single-crystalline nanotubes of other solid materials and semiconductors. Furthermore, the fabrication of multi-sheath nanotubes are described as well as nanotubes having multiple longitudinal segments.
Lin, Chia-Feng; Lee, Wen-Che; Shieh, Bing-Cheng; Chen, Danti; Wang, Dili; Han, Jung
2014-12-24
We report here a simple and robust process to convert embedded conductive GaN epilayers into insulating GaOx and demonstrate its efficacy in vertical current blocking and lateral current steering in a working LED device. The fabrication processes consist of laser scribing, electrochemical (EC) wet-etching, photoelectrochemical (PEC) oxidation, and thermal oxidization of a sacrificial n(+)-GaN:Si layer. The conversion of GaN is made possible through an intermediate stage of porosification where the standard n-type GaN epilayers can be laterally and selectively anodized into a nanoporous (NP) texture while keeping the rest of the layers intact. The fibrous texture of NP GaN with an average wall thickness of less than 100 nm dramatically increases the surface-to-volume ratio and facilitates a rapid oxidation process of GaN into GaOX. The GaOX aperture was formed on the n-side of the LED between the active region and the n-type GaN layer. The wavelength blueshift phenomena of electroluminescence spectra is observed in the treated aperture-emission LED structure (441.5 nm) when compared to nontreated LED structure (443.7 nm) at 0.1 mA. The observation of aperture-confined electroluminescence from an InGaN LED structure suggests that the NP GaN based oxidation will play an enabling role in the design and fabrication of III-nitride photonic devices.
Islam, Md Ashraful; Kim, Jung Han; Schropp, Anthony; Kalita, Hirokjyoti; Choudhary, Nitin; Weitzman, Dylan; Khondaker, Saiful I; Oh, Kyu Hwan; Roy, Tania; Chung, Hee-Suk; Jung, Yeonwoong
2017-10-11
Two-dimensional (2D) transition metal dichalcogenides (TMDs) such as molybdenum or tungsten disulfides (MoS 2 or WS 2 ) exhibit extremely large in-plane strain limits and unusual optical/electrical properties, offering unprecedented opportunities for flexible electronics/optoelectronics in new form factors. In order for them to be technologically viable building-blocks for such emerging technologies, it is critically demanded to grow/integrate them onto flexible or arbitrary-shaped substrates on a large wafer-scale compatible with the prevailing microelectronics processes. However, conventional approaches to assemble them on such unconventional substrates via mechanical exfoliations or coevaporation chemical growths have been limited to small-area transfers of 2D TMD layers with uncontrolled spatial homogeneity. Moreover, additional processes involving a prolonged exposure to strong chemical etchants have been required for the separation of as-grown 2D layers, which is detrimental to their material properties. Herein, we report a viable strategy to universally combine the centimeter-scale growth of various 2D TMD layers and their direct assemblies on mechanically deformable substrates. By exploring the water-assisted debonding of gold (Au) interfaced with silicon dioxide (SiO 2 ), we demonstrate the direct growth, transfer, and integration of 2D TMD layers and heterostructures such as 2D MoS 2 and 2D MoS 2 /WS 2 vertical stacks on centimeter-scale plastic and metal foil substrates. We identify the dual function of the Au layer as a growth substrate as well as a sacrificial layer which facilitates 2D layer transfer. Furthermore, we demonstrate the versatility of this integration approach by fabricating centimeter-scale 2D MoS 2 /single walled carbon nanotube (SWNT) vertical heterojunctions which exhibit current rectification and photoresponse. This study opens a pathway to explore large-scale 2D TMD van der Waals layers as device building blocks for emerging
McNamara, Stephanie L; Rnjak-Kovacina, Jelena; Schmidt, Daniel F; Lo, Tim J; Kaplan, David L
2014-08-01
Limitations of current clinical methods for bone repair continue to fuel the demand for a high strength, bioactive bone replacement material. Recent attempts to produce porous scaffolds for bone regeneration have been limited by the intrinsic weakness associated with high porosity materials. In this study, ceramic scaffold fabrication techniques for potential use in load-bearing bone repairs have been developed using naturally derived silk from Bombyx mori. Silk was first employed for ceramic grain consolidation during green body formation, and later as a sacrificial polymer to impart porosity during sintering. These techniques allowed preparation of hydroxyapatite (HA) scaffolds that exhibited a wide range of mechanical and porosity profiles, with some displaying unusually high compressive strength up to 152.4 ± 9.1 MPa. Results showed that the scaffolds exhibited a wide range of compressive strengths and moduli (8.7 ± 2.7 MPa to 152.4 ± 9.1 MPa and 0.3 ± 0.1 GPa to 8.6 ± 0.3 GPa) with total porosities of up to 62.9 ± 2.7% depending on the parameters used for fabrication. Moreover, HA-silk scaffolds could be molded into large, complex shapes, and further machined post-sinter to generate specific three-dimensional geometries. Scaffolds supported bone marrow-derived mesenchymal stem cell attachment and proliferation, with no signs of cytotoxicity. Therefore, silk-fabricated HA scaffolds show promise for load bearing bone repair and regeneration needs. Copyright © 2014 Elsevier Ltd. All rights reserved.
Silk as a biocohesive sacrificial binder in the fabrication of hydroxyapatite load bearing scaffolds
McNamara, Stephanie L.; Rnjak-Kovacina, Jelena; Schmidt, Daniel; Lo, Tim J.; Kaplan, David L.
2014-01-01
Limitations of current clinical methods for bone repair continue to fuel the demand for a high strength, bioactive bone replacement material. Recent attempts to produce porous scaffolds for bone regeneration have been limited by the intrinsic weakness associated with high porosity materials. In this study, ceramic scaffold fabrication techniques for potential use in load-bearing bone repairs have been developed using naturally derived silk from Bombyx mori. Silk was first employed for ceramic grain consolidation during green body formation, and later as a sacrificial polymer to impart porosity during sintering. These techniques allowed preparation of hydroxyapatite (HA) scaffolds that exhibited a wide range of mechanical and porosity profiles, with some displaying unusually high compressive strength up to 152.4 ± 9.1 MPa. Results showed that the scaffolds exhibited a wide range of compressive strengths and moduli (8.7 ± 2.7 MPa to 152.4 ± 9.1 MPa and 0.3 ± 0.1 GPa to 8.6 ± 0.3 GPa) with total porosities of up to 62.9 ± 2.7% depending on the parameters used for fabrication. Moreover, HA-silk scaffolds could be molded into large, complex shapes, and further machined post-sinter to generate specific three-dimensional geometries. Scaffolds supported bone marrow-derived mesenchymal stem cell attachment and proliferation, with no signs of cytotoxicity. Therefore, silk-fabricated HA scaffolds show promise for load bearing bone repair and regeneration needs. PMID:24881027
Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
Habermehl, Scott D.; Sniegowski, Jeffry J.
2001-01-01
The use of silicon oxynitride (SiO.sub.x N.sub.y) as a sacrificial material for forming a microelectromechanical (MEM) device is disclosed. Whereas conventional sacrificial materials such as silicon dioxide and silicate glasses are compressively strained, the composition of silicon oxynitride can be selected to be either tensile-strained or substantially-stress-free. Thus, silicon oxynitride can be used in combination with conventional sacrificial materials to limit an accumulation of compressive stress in a MEM device; or alternately the MEM device can be formed entirely with silicon oxynitride. Advantages to be gained from the use of silicon oxynitride as a sacrificial material for a MEM device include the formation of polysilicon members that are substantially free from residual stress, thereby improving the reliability of the MEM device; an ability to form the MEM device with a higher degree of complexity and more layers of structural polysilicon than would be possible using conventional compressively-strained sacrificial materials; and improved manufacturability resulting from the elimination of wafer distortion that can arise from an excess of accumulated stress in conventional sacrificial materials. The present invention is useful for forming many different types of MEM devices including accelerometers, sensors, motors, switches, coded locks, and flow-control devices, with or without integrated electronic circuitry.
Design and fabrication of Ni nanowires having periodically hollow nanostructures
NASA Astrophysics Data System (ADS)
Sada, Takao; Fujigaya, Tsuyohiko; Nakashima, Naotoshi
2014-09-01
We propose a concept for the design and fabrication of metal nanowires having periodically hollow nanostructures inside the pores of an anodic aluminum oxide (AAO) membrane using a sacrificial metal. In this study, nickel (Ni) and silver (Ag) were used as the base metal and the sacrificial metal, respectively. Alternating an applied potential between -0.4 and -1.0 V provided alternatively deposited Ni and Ag segments in a Ni-Ag `barcode' nanowire with a diameter of 18 or 35 nm. After etching away the Ag segments, we fabricated Ni nanowires with nanopores of 12 +/- 5.3 nm. Such nanostructure formation is explained by the formation of a Ni shell layer over the surface of the Ag segments due to the strong affinity of Ni2+ for the interior surfaces of AAO. The Ni shell layer allows the Ni segments to remain even after dissolution of the Ag segments. Because the electroplating conditions can be easily controlled, we could carefully adjust the size and pitch of the periodically hollow nanospaces. We also describe a method for the fabrication of Ni nanorods by forming an Ag shell instead of a Ni shell on the Ni-Ag barcode nanowire, in which the interior of the AAO surfaces was modified with a compound bearing a thiol group prior to electroplating.We propose a concept for the design and fabrication of metal nanowires having periodically hollow nanostructures inside the pores of an anodic aluminum oxide (AAO) membrane using a sacrificial metal. In this study, nickel (Ni) and silver (Ag) were used as the base metal and the sacrificial metal, respectively. Alternating an applied potential between -0.4 and -1.0 V provided alternatively deposited Ni and Ag segments in a Ni-Ag `barcode' nanowire with a diameter of 18 or 35 nm. After etching away the Ag segments, we fabricated Ni nanowires with nanopores of 12 +/- 5.3 nm. Such nanostructure formation is explained by the formation of a Ni shell layer over the surface of the Ag segments due to the strong affinity of Ni2+ for the
Improving Efficiency of Aluminium Sacrificial Anode Using Cold Work Process
NASA Astrophysics Data System (ADS)
Asmara, Y. P.; Siregar, J. P.; Tezara, C.; Ann, Chang Tai
2016-02-01
Aluminium is one of the preferred materials to be used as sacrificial anode for carbon steel protection. The efficiency of these can be low due to the formation of oxide layer which passivate the anodes. Currently, to improve its efficiency, there are efforts using a new technique called surface modifications. The objective of this research is to study corrosion mechanism of aluminium sacrificial anode which has been processed by cold work. The cold works are applied by reducing the thickness of aluminium sacrificial anodes at 20% and 40% of thickness reduction. The cathodic protection experiments were performed by immersion of aluminium connected to carbon steel cylinder in 3% NaCl solutions. Visual inspections using SEM had been conducted during the experiments and corrosion rate data were taken in every week for 8 weeks of immersion time. Corrosion rate data were measured using weight loss and linear polarization technique (LPR). From the results, it is observed that cold worked aluminium sacrificial anode have a better corrosion performance. It shows higher corrosion rate and lower corrosion potential. The anodes also provided a long functional for sacrificial anode before it stop working. From SEM investigation, it is shown that cold works have changed the microstructure of anodes which is suspected in increasing corrosion rate and cause de-passivate of the surface anodes.
2012-01-01
The aims of this work are to getter undesirable impurities from low-cost multicrystalline silicon (mc-Si) wafers and then enhance their electronic properties. We used an efficient process which consists of applying phosphorus diffusion into a sacrificial porous silicon (PS) layer in which the gettered impurities have been trapped after the heat treatment. As we have expected, after removing the phosphorus-rich PS layer, the electrical properties of the mc-Si wafers were significantly improved. The PS layers, realized on both sides of the mc-Si substrates, were formed by the stain-etching technique. The phosphorus treatment was achieved using a liquid POCl3-based source on both sides of the mc-Si wafers. The realized phosphorus/PS/Si/PS/phosphorus structures were annealed at a temperature ranging between 700°C and 950°C under a controlled O2 atmosphere, which allows phosphorus to diffuse throughout the PS layers and to getter eventual metal impurities towards the phosphorus-doped PS layer. The effect of this gettering procedure was investigated by means of internal quantum efficiency and the dark current–voltage (I-V) characteristics. The minority carrier lifetime measurements were made using a WTC-120 photoconductance lifetime tester. The serial resistance and the shunt resistance carried out from the dark I-V curves confirm this gettering-related solar cell improvement. It has been shown that the photovoltaic parameters of the gettered silicon solar cells were improved with regard to the ungettered one, which proves the beneficial effect of this gettering process on the conversion efficiency of the multicrystalline silicon solar cells. PMID:22846070
Fabrication of Buried Nanochannels From Nanowire Patterns
NASA Technical Reports Server (NTRS)
Choi, Daniel; Yang, Eui-Hyeok
2007-01-01
A method of fabricating channels having widths of tens of nanometers in silicon substrates and burying the channels under overlying layers of dielectric materials has been demonstrated. With further refinement, the method might be useful for fabricating nanochannels for manipulation and analysis of large biomolecules at single-molecule resolution. Unlike in prior methods, burying the channels does not involve bonding of flat wafers to the silicon substrates to cover exposed channels in the substrates. Instead, the formation and burying of the channels are accomplished in a more sophisticated process that is less vulnerable to defects in the substrates and less likely to result in clogging of, or leakage from, the channels. In this method, the first step is to establish the channel pattern by forming an array of sacrificial metal nanowires on an SiO2-on-Si substrate. In particular, the wire pattern is made by use of focused-ion-beam (FIB) lithography and a subsequent metallization/lift-off process. The pattern of metal nanowires is then transferred onto the SiO2 layer by reactive-ion etching, which yields sacrificial SiO2 nanowires covered by metal. After removal of the metal covering the SiO2 nanowires, what remains are SiO2 nanowires on an Si substrate. Plasma-enhanced chemical vapor deposition (PECVD) is used to form a layer of a dielectric material over the Si substrate and over the SiO2 wires on the surface of the substrate. FIB milling is then performed to form trenches at both ends of each SiO2 wire. The trenches serve as openings for the entry of chemicals that etch SiO2 much faster than they etch Si. Provided that the nanowires are not so long that the diffusion of the etching chemicals is blocked, the sacrificial SiO2 nanowires become etched out from between the dielectric material and the Si substrate, leaving buried channels. At the time of reporting the information for this article, channels 3 m long, 20 nm deep, and 80 nm wide (see figure) had been
Polymer micromold and fabrication process
Lee, Abraham P.; Northrup, M. Allen; Ahre, Paul E.; Dupuy, Peter C.
1997-01-01
A mold assembly with micro-sized features in which the hollow portion thereof is fabricated from a sacrificial mandrel which is surface treated and then coated to form an outer shell. The sacrificial mandrel is then selectively etched away leaving the outer shell as the final product. The sacrificial mandrel is fabricated by a precision lathe, for example, so that when removed by etching the inner or hollow area has diameters as small as 10's of micros (.mu.m). Varying the inside diameter contours of the mold can be accomplished with specified ramping slopes formed on the outer surface of the sacrificial mandrel, with the inside or hollow section being, for example, 275 .mu.m in length up to 150 .mu.m in diameter within a 6 mm outside diameter (o.d.) mold assembly. The mold assembly itself can serve as a micronozzle or microneedle, and plastic parts, such as microballoons for angioplasty, polymer microparts, and microactuators, etc., may be formed within the mold assembly.
Design and fabrication of Ni nanowires having periodically hollow nanostructures.
Sada, Takao; Fujigaya, Tsuyohiko; Nakashima, Naotoshi
2014-10-07
We propose a concept for the design and fabrication of metal nanowires having periodically hollow nanostructures inside the pores of an anodic aluminum oxide (AAO) membrane using a sacrificial metal. In this study, nickel (Ni) and silver (Ag) were used as the base metal and the sacrificial metal, respectively. Alternating an applied potential between -0.4 and -1.0 V provided alternatively deposited Ni and Ag segments in a Ni-Ag 'barcode' nanowire with a diameter of 18 or 35 nm. After etching away the Ag segments, we fabricated Ni nanowires with nanopores of 12 ± 5.3 nm. Such nanostructure formation is explained by the formation of a Ni shell layer over the surface of the Ag segments due to the strong affinity of Ni(2+) for the interior surfaces of AAO. The Ni shell layer allows the Ni segments to remain even after dissolution of the Ag segments. Because the electroplating conditions can be easily controlled, we could carefully adjust the size and pitch of the periodically hollow nanospaces. We also describe a method for the fabrication of Ni nanorods by forming an Ag shell instead of a Ni shell on the Ni-Ag barcode nanowire, in which the interior of the AAO surfaces was modified with a compound bearing a thiol group prior to electroplating.
Layer-by-layer strippable Ag multilayer films fabricated by modular assembly.
Li, Yan; Chen, Xiaoyan; Li, Qianqian; Song, Kai; Wang, Shihui; Chen, Xiaoyan; Zhang, Kai; Fu, Yu; Jiao, Yong-Hua; Sun, Ting; Liu, Fu-Chun; Han, En-Hou
2014-01-21
We have developed a new method to fabricate multilayer films, which uses prepared thin films as modular blocks and transfer as operation mode to build up multilayer structures. In order to distinguish it from the in situ fabrication manner, this method is called modular assembly in this study. On the basis of such concept, we have fabricated a multilayer film using the silver mirror film as the modular block and poly(lactic acid) as the transfer tool. Due to the special double-layer structure of the silver mirror film, the resulting multilayer film had a well-defined stratified architecture with alternate porous/compact layers. As a consequence of the distinct structure, the interaction between the adjacent layers was so weak that the multilayer film could be layer-by-layer stripped. In addition, the top layer in the film could provide an effective protection on the morphology and surface property of the underlying layers. This suggests that if the surface of the film was deteriorated, the top layer could be peeled off and the freshly exposed surface would still maintain the original function. The successful preparation of the layer-by-layer strippable silver multilayer demonstrates that modular assembly is a feasible and effective method to build up multilayer films capable of creating novel and attractive micro/nanostructures, having great potential in the fabrication of nanodevices and coatings.
Innovative layer-by-layer processing for flame retardant behavior of cotton fabric
USDA-ARS?s Scientific Manuscript database
Flame retardant behavior has been prepared by the layer-by layer assemblies of kaolin/casein with inorganic chemicals on cotton fabrics. Three different kinds of cotton fabrics (print cloth, mercerized print cloth, and mercerized twill fabric) were prepared with solutions of mixture of BPEI, urea, ...
76 FR 70117 - Notice of Intent To Grant an Exclusive License; Voltage Networking, LLC
Federal Register 2010, 2011, 2012, 2013, 2014
2011-11-10
... dielectric layer and device made therefrom''; Patent No. 6,541,288 entitled ``Method of determining... sacrificial spacer layer''; Patent No. 7,442,577 entitled ``Method of fabricating a patterned device using sacrificial spacer layer''; Patent No. 7,678,593 entitled ``Method of fabricating optical device using...
Method to fabricate layered material compositions
Fleming, James G.; Lin, Shawn-Yu
2004-11-02
A new class of processes suited to the fabrication of layered material compositions is disclosed. Layered material compositions are typically three-dimensional structures which can be decomposed into a stack of structured layers. The best known examples are the photonic lattices. The present invention combines the characteristic features of photolithography and chemical-mechanical polishing to permit the direct and facile fabrication of, e.g., photonic lattices having photonic bandgaps in the 0.1-20.mu. spectral range.
Parylene C as a Sacrificial Material for Microfabrication
NASA Technical Reports Server (NTRS)
Beamesderfer, Michael
2005-01-01
Parylene C has been investigated for use as a sacrificial material in microfabrication. Although Parylene C cannot be patterned lithographically like photoresists, it nevertheless extends the range of processing options by offering a set of properties that are suitable for microfabrication and are complementary to those of photoresists. The compatibility of Parylene C with several microfabrication processes was demonstrated in experiments in which a thin film of Parylene C was deposited on a silicon wafer, then several thin metal films were deposited and successfully patterned, utilizing the Parylene C pads as a sacrificial layer. The term "parylene" -- a contraction of "poly(para-xylene)" -- denotes a family of vapor-deposited polymers. In Parylene C (the most common form of parylene), a chlorine atom is substituted for one of the hydrogen atoms on the benzene ring of each para-xylene moiety. Heretofore, parylenes have been used as conformal coating materials in diverse applications.
Salvatore, Giovanni A; Münzenrieder, Niko; Barraud, Clément; Petti, Luisa; Zysset, Christoph; Büthe, Lars; Ensslin, Klaus; Tröster, Gerhard
2013-10-22
Recently, transition metal dichalcogenides (TMDCs) have attracted interest thanks to their large field effective mobility (>100 cm(2)/V · s), sizable band gap (around 1-2 eV), and mechanical properties, which make them suitable for high performance and flexible electronics. In this paper, we present a process scheme enabling the fabrication and transfer of few-layers MoS2 thin film transistors from a silicon template to any arbitrary organic or inorganic and flexible or rigid substrate or support. The two-dimensional semiconductor is mechanically exfoliated from a bulk crystal on a silicon/polyvinyl alcohol (PVA)/polymethyl methacrylane (PMMA) stack optimized to ensure high contrast for the identification of subnanometer thick flakes. Thin film transistors (TFTs) with structured source/drain and gate electrodes are fabricated following a designed procedure including steps of UV lithography, wet etching, and atomic layer deposited (ALD) dielectric. Successively, after the dissolution of the PVA sacrificial layer in water, the PMMA film, with the devices on top, can be transferred to another substrate of choice. Here, we transferred the devices on a polyimide plastic foil and studied the performance when tensile strain is applied parallel to the TFT channel. We measured an electron field effective mobility of 19 cm(2)/(V s), an I(on)/I(off)ratio greater than 10(6), a gate leakage current as low as 0.3 pA/μm, and a subthreshold swing of about 250 mV/dec. The devices continue to work when bent to a radius of 5 mm and after 10 consecutive bending cycles. The proposed fabrication strategy can be extended to any kind of 2D materials and enable the realization of electronic circuits and optical devices easily transferrable to any other support.
Polymer micromold and fabrication process
Lee, A.P.; Northrup, M.A.; Ahre, P.E.; Dupuy, P.C.
1997-08-19
A mold assembly is disclosed with micro-sized features in which the hollow portion thereof is fabricated from a sacrificial mandrel which is surface treated and then coated to form an outer shell. The sacrificial mandrel is then selectively etched away leaving the outer shell as the final product. The sacrificial mandrel is fabricated by a precision lathe, for example, so that when removed by etching the inner or hollow area has diameters as small as 10`s of micros ({micro}m). Varying the inside diameter contours of the mold can be accomplished with specified ramping slopes formed on the outer surface of the sacrificial mandrel, with the inside or hollow section being, for example, 275 {micro}m in length up to 150 {micro}m in diameter within a 6 mm outside diameter (o.d.) mold assembly. The mold assembly itself can serve as a micronozzle or microneedle, and plastic parts, such as microballoons for angioplasty, polymer microparts, and microactuators, etc., may be formed within the mold assembly. 6 figs.
Fabrication of scrolled magnetic thin film patterns
NASA Astrophysics Data System (ADS)
Min, Seonggi; Lim, Jin-Hee; Gaffney, John; Kinttle, Kristofer; Wiley, John B.; Malkinski, Leszek
2012-04-01
Magnetic film scrolls have been fabricated via a deterministic release of rectangular patterns of bimetallic Ti (20 nm)/Ni (20 , 30 or 40 nm) films from a sacrificial Cu underlayer. The diameter of the scrolls varied from 2.64 μm to 4.28 μm with increasing thickness of the Ni layer from 20 to 40 nm. This behavior was found to be consistent with the model of bilayered film with interfacial strain between the Ti and Ni layers of about Δɛ = 0.01. Changing the geometry of the patterns from flat patterns to scrolls led to changes in their magnetic properties.
Gu, Longjun; Yu, Guodong; Li, Cheuk-Wing
2018-01-02
Since polydimethylsiloxane (PDMS) is notorious for its severe sorption to biological compounds and even nanoparticles, thermoplastics become a promising substrate for microdevices. Although CO 2 laser engraving is an efficient method for thermoplastic device fabrication, it accompanies with poor bonding issues due to severe bulging and large feature size determined by the diameter of laser beam. In this study, a low-cost microfabrication method is proposed by reversibly sealing a 1 mm thick polymethylmethacrylate (PMMA) over an engraving substrate to reduce channel feature size and minimize bulges of laser engraved channels. PMMA, polycarbonate (PC), polystyrene (PS), perfluoroalkoxy alkane (PFA), cyclic-olefin polymers (COP) and polylactic acid (PLA) were found compatible with this sacrificial layer assisted laser engraving technique. Microchannel width as small as ∼40 μm was attainable by a laser beam that was 5 times larger in diameter. Bulging height was significantly reduced to less 5 μm for most substrates, which facilitated leak proof device bonding without channel deformation. Microdevices with high aspect ratio channels were prepared to demonstrate the applicability of this microfabrication method. We believe this fast and low-cost fabrication approach for thermoplastics will be of interest to researchers who have encountered problem with polydimethylsiloxane based microdevices in their applications. Copyright © 2017 Elsevier B.V. All rights reserved.
Agarwal, Rachit; Singh, Vikramjit; Jurney, Patrick; Shi, Li; Sreenivasan, S V; Roy, Krishnendu
2012-03-27
There is increasing interest in fabricating shape-specific polymeric nano- and microparticles for efficient delivery of drugs and imaging agents. The size and shape of these particles could significantly influence their transport properties and play an important role in in vivo biodistribution, targeting, and cellular uptake. Nanoimprint lithography methods, such as jet-and-flash imprint lithography (J-FIL), provide versatile top-down processes to fabricate shape-specific, biocompatible nanoscale hydrogels that can deliver therapeutic and diagnostic molecules in response to disease-specific cues. However, the key challenges in top-down fabrication of such nanocarriers are scalable imprinting with biological and biocompatible materials, ease of particle-surface modification using both aqueous and organic chemistry as well as simple yet biocompatible harvesting. Here we report that a biopolymer-based sacrificial release layer in combination with improved nanocarrier-material formulation can address these challenges. The sacrificial layer improves scalability and ease of imprint-surface modification due to its switchable solubility through simple ion exchange between monovalent and divalent cations. This process enables large-scale bionanoimprinting and efficient, one-step harvesting of hydrogel nanoparticles in both water- and organic-based imprint solutions. © 2012 American Chemical Society
NASA Astrophysics Data System (ADS)
Morcrette, Mélissa; Ortiz, Guillermo; Tallegas, Salomé; Joisten, Hélène; Tiron, Raluca; Baron, Thierry; Hou, Yanxia; Lequien, Stéphane; Bsiesy, Ahmad; Dieny, Bernard
2017-07-01
This paper describes a fabrication process of monodisperse magnetic nanoparticles released in solution, based on combined ‘top-down’ and ‘bottom-up’ approaches. The process involves the use of a self-assembled PS-PMMA block copolymer formed on a sacrificial layer. Such an approach was so far mostly explored for the preparation of patterned magnetic media for ultrahigh density magnetic storage. It is here extended to the preparation of released monodisperse nanoparticles for biomedical applications. A special sacrificial layer had to be developed compatible with the copolymer self-organization. The resulting nanoparticles exhibit very narrow size dispersion (≈7%) and can be good candidates as contrast agents for medical imaging i.e. magnetic resonance imaging or magnetic particle imaging. The approach provides a great freedom in the choice of the particles shapes and compositions. In particular, they can be made of biocompatible magnetic material.
Method of manufacturing a fully integrated and encapsulated micro-fabricated vacuum diode
Resnick, Paul J.; Langlois, Eric
2014-08-26
Disclosed is an encapsulated micro-diode and a method for producing same. The method comprises forming a plurality columns in the substrate with a respective tip disposed at a first end of the column, the tip defining a cathode of the diode; disposing a sacrificial oxide layer on the substrate, plurality of columns and respective tips; forming respective trenches in the sacrificial oxide layer around the columns; forming an opening in the sacrificial oxide layer to expose a portion of the tips; depositing a conductive material in of the opening and on a surface of the substrate to form an anode of the diode; and removing the sacrificial oxide layer.
Vertically aligned carbon nanofibers as sacrificial templates for nanofluidic structures
NASA Astrophysics Data System (ADS)
Melechko, A. V.; McKnight, T. E.; Guillorn, M. A.; Merkulov, V. I.; Ilic, B.; Doktycz, M. J.; Lowndes, D. H.; Simpson, M. L.
2003-02-01
We report a method to fabricate nanoscale pipes ("nanopipes") suitable for fluidic transport. Vertically aligned carbon nanofibers grown by plasma-enhanced chemical vapor deposition are used as sacrificial templates for nanopipes with internal diameters as small as 30 nm and lengths up to several micrometers that are oriented perpendicular to the substrate. This method provides a high level of control over the nanopipe location, number, length, and diameter, permitting them to be deterministically positioned on a substrate and arranged into arrays.
Condenser optic with sacrificial reflective surface
Tichenor, Daniel A.; Kubiak, Glenn D.; Lee, Sang Hun
2006-07-25
Employing collector optics that have a sacrificial reflective surface can significantly prolong the useful life of the collector optics and the overall performance of the condenser in which the collector optics are incorporated. The collector optics are normally subject to erosion by debris from laser plasma source of radiation. The presence of an upper sacrificial reflective surface over the underlying reflective surface effectively increases the life of the optics while relaxing the constraints on the radiation source. Spatial and temporally varying reflectivity that results from the use of the sacrificial reflective surface can be accommodated by proper condenser design.
Condenser optic with sacrificial reflective surface
Tichenor, Daniel A [Castro Valley, CA; Kubiak, Glenn D [Livermore, CA; Lee, Sung Hun [Sunnyvale, CA
2007-07-03
Employing collector optics that has a sacrificial reflective surface can significantly prolong the useful life of the collector optics and the overall performance of the condenser in which the collector optics are incorporated. The collector optics is normally subject to erosion by debris from laser plasma source of radiation. The presence of an upper sacrificial reflective surface over the underlying reflective surface effectively increases the life of the optics while relaxing the constraints on the radiation source. Spatial and temporally varying reflectivity that results from the use of the sacrificial reflective surface can be accommodated by proper condenser design.
Fully integrated and encapsulated micro-fabricated vacuum diode and method of manufacturing same
Resnick, Paul J.; Langlois, Eric
2015-12-01
Disclosed is an encapsulated micro-diode and a method for producing same. The method comprises forming a plurality columns in the substrate with a respective tip disposed at a first end of the column, the tip defining a cathode of the diode; disposing a sacrificial oxide layer on the substrate, plurality of columns and respective tips; forming respective trenches in the sacrificial oxide layer around the columns; forming an opening in the sacrificial oxide layer to expose a portion of the tips; depositing a conductive material in of the opening and on a surface of the substrate to form an anode of the diode; and removing the sacrificial oxide layer.
Palmeri, Marc J; Putz, Karl W; Brinson, L Catherine
2010-07-27
Many natural composites, such as nacre or bone, achieve exceptional toughening enhancements through the rupture of noncovalent secondary bonds between chain segments in the organic phase. This "sacrificial bond" rupture dissipates enormous amounts of energy and reveals significant hidden lengths due to unraveling of the highly coiled macromolecules, leaving the structural integrity of their covalent backbones intact to large extensions. In this work, we present the first evidence of similar sacrificial bond mechanisms in the inorganic phase of composites using inexpensive stacked-cup carbon nanofibers (CNF), which are composed of helically coiled graphene sheets with graphitic spacing between adjacent layers. These CNFs are dispersed in a series of high-performance epoxy systems containing trifunctional and tetrafunctional resins, which are traditionally difficult to toughen in light of their highly cross-linked networks. Nonetheless, the addition of only 0.68 wt % CNF yields toughness enhancements of 43-112% for the various blends. Analysis of the relevant toughening mechanisms reveals two heretofore unseen mechanisms using sacrificial bonds that complement the observed crack deflection, rupture, and debonding/pullout that are common to many composite systems. First, embedded nanofibers can splay discretely between adjacent graphitic layers in the side walls; second, crack-bridging nanofibers can unravel continuously. Both of these mechanisms entail the dissipation of the pi-pi interactions between layers in the side walls without compromising the structural integrity of the graphene sheets. Moreover, increases in electrical conductivity of approximately 7-10 orders of magnitude were found, highlighting the multifunctionality of CNFs as reinforcements for the design of tough, inexpensive nanocomposites with improved electrical properties.
Method of fabricating porous silicon carbide (SiC)
NASA Technical Reports Server (NTRS)
Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)
1995-01-01
Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.
UV-Enhanced Sacrificial Layer Stabilised Graphene Oxide Hollow Fibre Membranes for Nanofiltration
NASA Astrophysics Data System (ADS)
Chong, J. Y.; Aba, N. F. D.; Wang, B.; Mattevi, C.; Li, K.
2015-11-01
Graphene oxide (GO) membranes have demonstrated great potential in gas separation and liquid filtration. For upscale applications, GO membranes in a hollow fibre geometry are of particular interest due to the high-efficiency and easy-assembly features at module level. However, GO membranes were found unstable in dry state on ceramic hollow fibre substrates, mainly due to the drying-related shrinkage, which has limited the applications and post-treatments of GO membranes. We demonstrate here that GO hollow fibre membranes can be stabilised by using a porous poly(methyl methacrylate) (PMMA) sacrificial layer, which creates a space between the hollow fibre substrate and the GO membrane thus allowing stress-free shrinkage. Defect-free GO hollow fibre membrane was successfully determined and the membrane was stable in a long term (1200 hours) gas-tight stability test. Post-treatment of the GO membranes with UV light was also successfully accomplished in air, which induced the creation of controlled microstructural defects in the membrane and increased the roughness factor of the membrane surface. The permeability of the UV-treated GO membranes was greatly enhanced from 0.07 to 2.8 L m-2 h-1 bar-1 for water, and 0.14 to 7.5 L m-2 h-1 bar-1 for acetone, with an unchanged low molecular weight cut off (~250 Da).
Preparation of Flame Retardant Polyacrylonitrile Fabric Based on Sol-Gel and Layer-by-Layer Assembly
Ren, Yuanlin; Huo, Tongguo; Qin, Yiwen; Liu, Xiaohui
2018-01-01
This paper aims to develop a novel method, i.e., sol-gel combined with layer-by-layer assembly technology, to impart flame retardancy on polyacrylonitrile (PAN) fabrics. Silica-sol was synthesized via the sol-gel process and acted as cationic solution, and phytic acid (PA) was used as the anionic medium. Flame-retardant-treated PAN fabric (FR-PAN) could achieve excellent flame retardancy with 10 bilayer (10BL) coating through layer-by-layer assembly. The structure of the fabrics was characterized by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR). The thermal stability and flame retardancy were evaluated by thermogravimetric (TG) analysis, cone calorimetry (CC) and limiting oxygen index (LOI). The LOI value of the coated fabric was up to 33.2 vol % and the char residue at 800 °C also increased to 57 wt %. Cone calorimetry tests revealed that, compared to the control fabric, the peak of heat release rate (PHRR) and total heat release (THR) of FR-PAN decreased by 66% and 73%, respectively. These results indicated that sol-gel combined with layer-by-layer assembly technique could impart PAN fabric with satisfactory flame-retardant properties, showing an efficient flame retardant strategy for PAN fabric. PMID:29570646
Ren, Yuanlin; Huo, Tongguo; Qin, Yiwen; Liu, Xiaohui
2018-03-23
This paper aims to develop a novel method, i.e., sol-gel combined with layer-by-layer assembly technology, to impart flame retardancy on polyacrylonitrile (PAN) fabrics. Silica-sol was synthesized via the sol-gel process and acted as cationic solution, and phytic acid (PA) was used as the anionic medium. Flame-retardant-treated PAN fabric (FR-PAN) could achieve excellent flame retardancy with 10 bilayer (10BL) coating through layer-by-layer assembly. The structure of the fabrics was characterized by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR). The thermal stability and flame retardancy were evaluated by thermogravimetric (TG) analysis, cone calorimetry (CC) and limiting oxygen index (LOI). The LOI value of the coated fabric was up to 33.2 vol % and the char residue at 800 °C also increased to 57 wt %. Cone calorimetry tests revealed that, compared to the control fabric, the peak of heat release rate (PHRR) and total heat release (THR) of FR-PAN decreased by 66% and 73%, respectively. These results indicated that sol-gel combined with layer-by-layer assembly technique could impart PAN fabric with satisfactory flame-retardant properties, showing an efficient flame retardant strategy for PAN fabric.
Electroless atomic layer deposition
Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.
2017-10-31
A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.
Possibility of High Phosphorus Pig Iron as Sacrificial Anode
NASA Astrophysics Data System (ADS)
Prasad, Nisheeth Kr.; Pathak, A. S.; Kundu, S.; Mondal, K.
2018-05-01
Cathodic protection is an effective method to control the corrosion of underground pipelines and submerged structures. In the present work, high phosphorus containing pig iron was utilized as sacrificial anode for cathodic protection of underground mild steel plates and the results were compared with that of a commercially pure magnesium sacrificial anode. Driving potential and current between the galvanically coupled sacrificial anodes and mild steel plates were continuously monitored in real time for one month. Microstructure and morphology of the corrosion products formed on the surface of pig iron, magnesium sacrificial anodes and mild steel plates were observed with the help of optical microscope and scanning electron microscopy, and phase identification were performed using x-ray diffraction, Raman spectroscopy and Fourier transform infrared spectroscopy. The distribution of phosphorus in the pig iron matrix and soluble rust formation on the surface of pig iron under buried condition were critical from the point of sacrificial effect, indicating the possible scientific reasons for high phosphorous pig iron to be used as sacrificial anode.
Sniegowski, Jeffrey J.; Rodgers, Murray S.; McWhorter, Paul J.; Aeschliman, Daniel P.; Miller, William M.
2002-01-01
A microturbine fabricated by a three-level semiconductor batch-fabrication process based on polysilicon surface-micromachining. The microturbine comprises microelectromechanical elements formed from three polysilicon multi-layer surfaces applied to a silicon substrate. Interleaving sacrificial oxide layers provides electrical and physical isolation, and selective etching of both the sacrificial layers and the polysilicon layers allows formation of individual mechanical and electrical elements as well as the required space for necessary movement of rotating turbine parts and linear elements.
NASA Astrophysics Data System (ADS)
Grotepaß, T.; Förster-Zügel, F.; Mößinger, H.; Schlaak, H. F.
2015-04-01
Multilayer dielectric elastomer stack transducers (DESTs) are a promising new transducer technology with many applications in different industry sectors, like medical devices, human-machine-interaction, etc. Stacked dielectric elastomer transducers show larger thickness contraction driven by lower voltages than transducers made from a single dielectric layer. Traditionally multilayered DESTs are produced by repeatedly cross-linking a liquid elastomeric pre-polymer into the required shape. Our recent research focusses on a novel fabrication method for large scale stack transducers with a surface area over 200 x 300 mm by processing pre-fabricated elastomeric thin films of less than 50 μm thicknesses. The thin films are provided as two- or three-layer composites, where the elastomer is sandwiched between one or two sacrificial liners. Separating the elastomeric film from the residual layers and assembling them into dielectric elastomer stack transducers poses many challenges concerning adhesion, since the dielectric film merely separates from the liner if the adhesive forces between them are overcome. Conversely, during the assembly of a dielectric elastomer stack transducer, adhesive forces have to be established between two elastomeric layers or between the dielectric and the electrode layer. The very low Young's modulus of at least one adhesion partner requires suitable means of increasing the adhesive forces between the different adhesive layers of a dielectric elastomer stack transducer to prevent a delamination of the transducer during its lifetime. This work evaluates different surface activation treatments - corona, low-pressure plasma and UV-light - and their applicability in the production of large scale DESTs made from pre-fabricated elastomeric films.
Mu, Bin; Lu, Chunyin; Liu, Peng
2011-02-01
The disintegration-controllable stimuli-responsive polyelectrolyte multilayer microcapsules have been fabricated via the covalent layer-by-layer assembly between the amino groups of chitosan (CS) and the aldehyde groups of the oxidized sodium alginate (OSA) onto the sacrificial templates (polystyrene sulfonate, PSS) which was removed by dialysis subsequently. The covalent crosslinking bonds of the multilayer microcapsules were confirmed by FTIR analysis. The TEM analysis showed that the diameter of the multilayer microcapsules was <200nm. The diameter of the multilayer microcapsules decreased with the increasing of the pH values or the ionic strength. The pH and ionic strength dual-responsive multilayer microcapsules were stable in acidic and neutral media while they could disintegrate only at strong basic media. Copyright © 2010 Elsevier B.V. All rights reserved.
Meso scale MEMS inertial switch fabricated using an electroplated metal-on-insulator process
NASA Astrophysics Data System (ADS)
Gerson, Y.; Schreiber, D.; Grau, H.; Krylov, S.
2014-02-01
In this work, we report on a novel simple yet robust two-mask metal-on-insulator (MOI) process and illustrate its implementation for the fabrication of a meso scale MEMS inertial switch. The devices were fabricated of a ˜40 µm thick layer of nickel electrodeposited on top of a 4 µm thick thermal field oxide (TOX) covering a single crystal silicon wafer. A 40 µm thick layer of KMPR® resist was used as a mold and allowed the formation of high-aspect-ratio (1:5) metal structures. The devices were released by the sacrificial etching of the TOX layer in hydrofluoric acid. The fabricated devices were mounted in a ceramic enclosure and were characterized using both an electromagnet shaker and a drop tester. The functionality of the switch, aimed to trigger an electrical circuit when subjected to an acceleration pulse with amplitude of 300 g and duration of 200 µs, was demonstrated experimentally and the performance targets were achieved. The experimental results were consistent with the model predictions obtained through finite element simulations.
Superconducting Qubit with Integrated Single Flux Quantum Controller Part I: Theory and Fabrication
NASA Astrophysics Data System (ADS)
Beck, Matthew; Leonard, Edward, Jr.; Thorbeck, Ted; Zhu, Shaojiang; Howington, Caleb; Nelson, Jj; Plourde, Britton; McDermott, Robert
As the size of quantum processors grow, so do the classical control requirements. The single flux quantum (SFQ) Josephson digital logic family offers an attractive route to proximal classical control of multi-qubit processors. Here we describe coherent control of qubits via trains of SFQ pulses. We discuss the fabrication of an SFQ-based pulse generator and a superconducting transmon qubit on a single chip. Sources of excess microwave loss stemming from the complex multilayer fabrication of the SFQ circuit are discussed. We show how to mitigate this loss through judicious choice of process workflow and appropriate use of sacrificial protection layers. Present address: IBM T.J. Watson Research Center.
Thermoelectric Device Fabrication Using Thermal Spray and Laser Micromachining
NASA Astrophysics Data System (ADS)
Tewolde, Mahder; Fu, Gaosheng; Hwang, David J.; Zuo, Lei; Sampath, Sanjay; Longtin, Jon P.
2016-02-01
Thermoelectric generators (TEGs) are solid-state devices that convert heat directly into electricity. They are used in many engineering applications such as vehicle and industrial waste-heat recovery systems to provide electrical power, improve operating efficiency and reduce costs. State-of-art TEG manufacturing is based on prefabricated materials and a labor-intensive process involving soldering, epoxy bonding, and mechanical clamping for assembly. This reduces their durability and raises costs. Additive manufacturing technologies, such as thermal spray, present opportunities to overcome these challenges. In this work, TEGs have been fabricated for the first time using thermal spray technology and laser micromachining. The TEGs are fabricated directly onto engineering component surfaces. First, current fabrication techniques of TEGs are presented. Next, the steps required to fabricate a thermal spray-based TEG module, including the formation of the metallic interconnect layers and the thermoelectric legs are presented. A technique for bridging the air gap between two adjacent thermoelectric elements for the top layer using a sacrificial filler material is also demonstrated. A flat 50.8 mm × 50.8 mm TEG module is fabricated using this method and its performance is experimentally characterized and found to be in agreement with expected values of open-circuit voltage based on the materials used.
NASA Astrophysics Data System (ADS)
Ganji, Bahram Azizollah; Sedaghat, Sedighe Babaei; Roncaglia, Alberto; Belsito, Luca; Ansari, Reza
2018-01-01
This paper presents design, modeling, and fabrication of a crab-shape microphone using silicon-on-isolator (SOI) wafer. SOI wafer is used to prevent the additional deposition of sacrificial and diaphragm layers. The holes have been made on diaphragm to prevent back plate etching. Dry etching is used for removing the sacrificial layer, because wet etching causes adhesion between the diaphragm and the back plate. Crab legs around the perforated diaphragm allow for improving the microphone performance and reducing the mechanical stiffness and air damping of the microphone. In this structure, the supply voltage is decreased due to the uniform deflection of the diaphragm due to the designed low-K (spring constant) structure. An analytical model of the structure for description of microphone behavior is presented. The proposed method for estimating the basic parameters of the microphone is based on the calculation of the spring constant using the energy method. The microphone is fabricated using only one mask to pattern the crab-shape diaphragm, resulting in a low-cost and easy fabrication process. The diaphragm size is 0.3 mm×0.3 mm, which is smaller than the conventional microelectromechanical systems capacitive microphone. The results show that the analytical equations have a good agreement with measurement results. The device has the pull-in voltage of 14.3 V, a resonant frequency of 90 kHz, an open-circuit sensitivity of 1.33 mV/Pa under bias voltage of 5 V. Comparing with previous works, this microphone has several advantages: SOI wafer decreases the fabrication process steps, the microphone is smaller than the previous works, and crab-shape diaphragm improves the microphone performances.
CMUTs with high-K atomic layer deposition dielectric material insulation layer.
Xu, Toby; Tekes, Coskun; Degertekin, F
2014-12-01
Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (Six)Ny)) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2) such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD Six)Ny) and 100-nm HfO2) insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure.
CMUTs with High-K Atomic Layer Deposition Dielectric Material Insulation Layer
Xu, Toby; Tekes, Coskun; Degertekin, F. Levent
2014-01-01
Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (SixNy) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2 such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD SixNy and 100-nm HfO2 insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure. PMID:25474786
Sacrificial plastic mold with electroplatable base
Domeier, Linda A.; Hruby, Jill M.; Morales, Alfredo M.
2002-01-01
A sacrificial plastic mold having an electroplatable backing is provided. One embodiment consists of the infusion of a softened or molten thermoplastic through a porous metal substrate (sheet, screen, mesh or foam) and into the features of a micro-scale molding tool contacting the porous metal substrate. Upon demolding, the porous metal substrate will be embedded within the thermoplastic and will project a plastic structure with features determined by the mold tool. This plastic structure, in turn, provides a sacrificial plastic mold mechanically bonded to the porous metal substrate which provides a conducting support suitable for electroplating either contiguous or non-contiguous metal replicates. After electroplating and lapping, the sacrificial plastic can be dissolved to leave the desired metal structure bonded to the porous metal substrate. Optionally, the electroplated structures may be debonded from the porous substrate by selective dissolution of the porous substrate or a coating thereon.
Sacrificial Plastic Mold With Electroplatable Base
Domeier, Linda A.; Hruby, Jill M.; Morales, Alfredo M.
2005-08-16
A sacrificial plastic mold having an electroplatable backing is provided. One embodiment consists of the infusion of a softened or molten thermoplastic through a porous metal substrate (sheet, screen, mesh or foam) and into the features of a micro-scale molding tool contacting the porous metal substrate. Upon demolding, the porous metal substrate will be embedded within the thermoplastic and will project a plastic structure with features determined by the mold tool. This plastic structure, in turn, provides a sacrificial plastic mold mechanically bonded to the porous metal substrate which provides a conducting support suitable for electroplating either contiguous or non-contiguous metal replicates. After electroplating and lapping, the sacrificial plastic can be dissolved to leave the desired metal structure bonded to the porous metal substrate. Optionally, the electroplated structures may be debonded from the porous substrate by selective dissolution of the porous substrate or a coating thereon.
Logan, Andrew; Yeow, John T W
2009-05-01
We report the fabrication and experimental testing of 1-D 23-element capacitive micromachined ultrasonic transducer (CMUT) arrays that have been fabricated using a novel wafer-bonding process whereby the membrane and the insulation layer are both silicon nitride. The membrane and cell cavities are deposited and patterned on separate wafers and fusion-bonded in a vacuum environment to create CMUT cells. A user-grown silicon-nitride membrane layer avoids the need for expensive silicon-on-insulator (SOI) wafers, reduces parasitic capacitance, and reduces dielectric charging. It allows more freedom in selecting the membrane thickness while also providing the benefits of wafer-bonding fabrication such as excellent fill factor, ease of vacuum sealing, and a simplified fabrication process when compared with the more standard sacrificial release process. The devices fabricated have a cell diameter of 22 microm, a membrane thickness of 400 nm, a gap depth of 150 nm, and an insulation thickness of 250 nm. The resonant frequency of the CMUT in air is 17 MHz and has an attenuation compensated center frequency of approximately 9 MHz in immersion with a -6 dB fractional bandwidth of 123%. This paper presents the fabrication process and some characterization results.
Zhan, Wangcheng; He, Qian; Liu, Xiaofei; ...
2016-11-22
Supported gold (Au) nanocatalysts hold great promise for heterogeneous catalysis; however, their practical application is greatly hampered by poor thermodynamic stability. Herein, a general synthetic strategy is reported where discrete metal nanoparticles are made resistant to sintering, preserving their catalytic activities in high-temperature oxidation processes. Taking advantage of the unique coating chemistry of dopamine, sacrificial carbon layers are constructed on the material surface, stabilizing the supported catalyst. Upon annealing at high temperature under an inert atmosphere, the interactions between support and metal nanoparticle are dramatically enhanced, while the sacrificial carbon layers can be subsequently removed through oxidative calcination in air.more » Owing to the improved metal-support contact and strengthened electronic interactions, the resulting Au nanocatalysts are resistant to sintering and exhibit excellent durability for catalytic combustion of propylene at elevated temperatures. Moreover, the facile synthetic strategy can be extended to the stabilization of other supported catalysts on a broad range of supports, providing a general approach to enhancing the thermal stability and sintering resistance of supported nanocatalysts.« less
Water-Soluble Epitaxial NaCl Thin Film for Fabrication of Flexible Devices.
Lee, Dong Kyu; Kim, Sungjoo; Oh, Sein; Choi, Jae-Young; Lee, Jong-Lam; Yu, Hak Ki
2017-08-18
We studied growth mechanisms of water-soluble NaCl thin films on single crystal substrates. Epitaxial growth of NaCl(100) on Si(100) and domain-matched growth of NaCl(111) on c-sapphire were obtained at thicknesses below 100 nm even at room temperature from low lattice mismatches in both cases. NaCl thin film, which demonstrates high solubility selectivity for water, was successfully applied as a water-soluble sacrificial layer for fabrication of several functional materials, such as WO 3 nano-helix and Sn doped In 2 O 3 nano-branches.
Fabrication and characterization of polyaniline/PVA humidity microsensors.
Yang, Ming-Zhi; Dai, Ching-Liang; Lin, Wei-Yi
2011-01-01
This study presents the fabrication and characterization of a humidity microsensor that consists of interdigitated electrodes and a sensitive film. The area of the humidity microsensor is about 2 mm(2). The sensitive film is polyaniline doping polyvinyl alcohol (PVA) that is prepared by the sol-gel method, and the film has nanofiber and porous structures that help increase the sensing reaction. The commercial 0.35 μm Complimentary Metal Oxide Semiconductor (CMOS) process is used to fabricate the humidity microsensor. The sensor needs a post-CMOS process to etch the sacrificial layer and to coat the sensitive film on the interdigitated electrodes. The sensor produces a change in resistance as the polyaniline/PVA film absorbs or desorbs vapor. Experimental results show that the sensitivity of the humidity sensor is about 12.6 kΩ/%RH at 25 °C.
Laser-assisted fabrication of single-layer flexible touch sensor
Son, Seokwoo; Park, Jong Eun; Lee, Joohyung; Yang, Minyang; Kang, Bongchul
2016-01-01
Single-layer flexible touch sensor that is designed for the indium-tin-oxide (ITO)-free, bendable, durable, multi-sensible, and single layer transparent touch sensor was developed via a low-cost and one-step laser-induced fabrication technology. To this end, an entirely novel approach involving material, device structure, and even fabrication method was adopted. Conventional metal oxides based multilayer touch structure was substituted by the single layer structure composed of integrated silver wire networks of sensors and bezel interconnections. This structure is concurrently fabricated on a glass substitutive plastic film via the laser-induced fabrication method using the low-cost organometallic/nanoparticle hybrid complex. In addition, this study addresses practical solutions to heterochromia and interference problem with a color display unit. As a result, a practical touch sensor is successfully demonstrated through resolving the heterochromia and interference problems with color display unit. This study could provide the breakthrough for early realization of wearable device. PMID:27703204
Methods for making thin layers of crystalline materials
Lagally, Max G; Paskiewicz, Deborah M; Tanto, Boy
2013-07-23
Methods for making growth templates for the epitaxial growth of compound semiconductors and other materials are provided. The growth templates are thin layers of single-crystalline materials that are themselves grown epitaxially on a substrate that includes a thin layer of sacrificial material. The thin layer of sacrificial material, which creates a coherent strain in the single-crystalline material as it is grown thereon, includes one or more suspended sections and one or more supported sections.
Two-step fabrication of single-layer rectangular SnSe flakes
NASA Astrophysics Data System (ADS)
Jiang, Jizhou; Wong, Calvin Pei Yu; Zou, Jing; Li, Shisheng; Wang, Qixing; Chen, Jianyi; Qi, Dianyu; Wang, Hongyu; Eda, Goki; Chua, Daniel H. C.; Shi, Yumeng; Zhang, Wenjing; Thye Shen Wee, Andrew
2017-06-01
Recent findings about ultrahigh thermoelectric performances in SnSe single crystals have stimulated research on this binary semiconductor material. Furthermore, single-layer SnSe is an interesting analogue of phosphorene, with potential applications in two-dimensional (2D) nanoelectronics. Although significant advances in the synthesis of SnSe nanocrystals have been made, fabrication of well-defined large-sized single-layer SnSe flakes in a facile way still remains a challenge. The growth of single-layer rectangular SnSe flakes with a thickness of ~6.8 Å and lateral dimensions of about 30 µm × 50 µm is demonstrated by a two-step synthesis method, where bulk rectangular SnSe flakes were synthesized first by a vapor transport deposition method followed by a nitrogen etching technique to fabricate single-layer rectangular SnSe flakes in an atmospheric pressure system. The as-obtained rectangular SnSe flakes exhibited a pure crystalline phase oriented along the a-axis direction. Field-effect transistor devices fabricated on individual single-layer rectangular SnSe flakes using gold electrodes exhibited p-doped ambipolar behavior and a hole mobility of about 0.16 cm2 V-1 s-1. This two-step fabrication method can be helpful for growing other similar 2D large-sized single-layer materials.
SOI-silicon as structural layer for NEMS applications
NASA Astrophysics Data System (ADS)
Villarroya, Maria; Figueras, Eduard; Perez-Murano, Francesc; Campabadal, Francesca; Esteve, Jaume; Barniol, Nuria
2003-04-01
The objective of this paper is to present the compatibilization between a standard CMOS on bulk silicon process and the fabrication of nanoelectromechanical systems using Silicon On Insulator (SOI) wafers as substrate. This compatibilization is required as first step to fabricate a very high sensitive mass sensor based on a resonant cantilever with nanometer dimensions using the crystal silicon COI layer as the structural layer. The cantilever is driven electrostatically to its resonance frequency by an electrode placed parallel to the cantilever. A capacitive readout is performed. To achieve very high resolution, very small dimensions of the cantilever (nanometer range) are needed. For this reason, the control and excitation circuitry has to be integrated on the same substrate than the cantilever. Prior to the development of this sensor, it is necessary to develop a substrate able to be used first to integrate a standard CMOS circuit and afterwards to fabricate the nano-resonator. Starting from a SOI wafer and using very simple processes, the SOI silicon layer is removed, except from the areas in which nano-structures will be fabricated; obtaining a silicon substrate with islands with a SOI structure. The CMOS circuitry will be integrated on the bulk silicon region, while the remainder SOI region will be used for the nanoresonator. The silicon oxide of this SOI region is used as insulator; and as sacrificial layer, etched to release the cantilever from the substrate. To assure the cover of the different CMOS layers over the step of the islands, it is essential to avoid very sharp steps.
Köller, Manfred; Bellova, Petri; Javid, Siyamak Memar; Motemani, Yahya; Khare, Chinmay; Sengstock, Christina; Tschulik, Kristina; Schildhauer, Thomas A; Ludwig, Alfred
2017-05-01
Five different Ag dots arrays (16 to 400dots/mm 2 ) were fabricated on a continuous platinum, palladium, or iridium thin film and for comparison also on titanium film by sputter deposition and photolithographic patterning. To analyze the antibacterial activity of these microstructured films Staphylococcus aureus (S. aureus) were placed onto the array surfaces and cultivated overnight. To analyze the viability of planktonic as well as surface adherent bacteria, the applied bacterial fluid was subsequently aspirated, plated on blood agar plates and adherent bacteria were detected by fluorescence microscopy. A particular antibacterial effect towards S. aureus was induced by Ag dot arrays on each of the platinum group thin film (sacrificial anode system for Ag) in contrast to Ag dot arrays fabricated on the Ti thin films (non-sacrificial anode system for Ag). Among platinum group elements the Ir-Ag system exerted the highest antibacterial activity which was accompanied by most advanced dissolution of the Ag dots and Ag ion release compared to Ag dots on Pt or Pd. Copyright © 2016 Elsevier B.V. All rights reserved.
Abrasion Testing of Candidate Outer Layer Fabrics for Lunar EVA Space Suits
NASA Technical Reports Server (NTRS)
Mitchell, Kathryn
2009-01-01
During the Apollo program, the space suit outer layer fabrics were severely abraded after just a few Extravehicular Activities (EVAs). For example, the Apollo 12 commander reported abrasive wear on the boots, which penetrated the outer layer fabric into the thermal protection layers after less than eight hours of surface operations. Current plans for the Constellation Space Suit Element require the space suits to support hundreds of hours of EVA on the Lunar surface, creating a challenge for space suit designers to utilize materials advances made over the last forty years and improve upon the space suit fabrics used in the Apollo program. A test methodology has been developed by the NASA Johnson Space Center Crew and Thermal Systems Division for establishing comparative abrasion wear characteristics between various candidate space suit outer layer fabrics. The abrasion test method incorporates a large rotary drum tumbler with rocks and loose lunar simulant material to induce abrasion in fabric test cylinder elements, representative of what might occur during long term planetary surface EVAs. Preliminary materials screening activities were conducted to determine the degree of wear on representative space suit outer layer materials and the corresponding dust permeation encountered between subsequent sub-layers of thermal protective materials when exposed to a simulated worst case eight hour EVA. The test method was used to provide a preliminary evaluation of four candidate outer layer fabrics for future planetary surface space suit applications. This paper provides a review of previous abrasion studies on space suit fabrics, details the methodologies used for abrasion testing in this particular study, shares the results of the testing, and provides recommendations for future work.
Abrasion Testing of Candidate Outer Layer Fabrics for Lunar EVA Space Suits
NASA Technical Reports Server (NTRS)
Mitchell, Kathryn C.
2010-01-01
During the Apollo program, the space suit outer layer fabrics were badly abraded after just a few Extravehicular Activities (EVAs). For example, the Apollo 12 commander reported abrasive wear on the boots, which penetrated the outer layer fabric into the thermal protection layers after less than eight hours of surface operations. Current plans for the Constellation Space Suit Element require the space suits to support hundreds of hours of EVA on the Lunar surface, creating a challenge for space suit designers to utilize materials advances made over the last forty years and improve upon the space suit fabrics used in the Apollo program. A test methodology has been developed by the NASA Johnson Space Center Crew and Thermal Systems Division for establishing comparative abrasion wear characteristics between various candidate space suit outer layer fabrics. The abrasion test method incorporates a large rotary drum tumbler with rocks and loose lunar simulant material to induce abrasion in fabric test cylinder elements, representative of what might occur during long term planetary surface EVAs. Preliminary materials screening activities were conducted to determine the degree of wear on representative space suit outer layer materials and the corresponding dust permeation encountered between subsequent sub -layers of thermal protective materials when exposed to a simulated worst case eight hour EVA. The test method was used to provide a preliminary evaluation of four candidate outer layer fabrics for future planetary surface space suit applications. This Paper provides a review of previous abrasion studies on space suit fabrics, details the methodologies used for abrasion testing in this particular study, and shares the results and conclusions of the testing.
NASA Astrophysics Data System (ADS)
Akranata, Ahmad Ridho; Sulistijono, Awali, Jatmoko
2018-04-01
Sacrificial anode is sacirifial component that used to protect steel from corrosion. Generally, the component are made of aluminium and zinc in water environment. Sacrificial anode change the protected metal structure become cathodic with giving current. The advantages of aluminium is corrosion resistance, non toxicity and easy forming. Zinc generally used for coating in steel to prevent steel from corrosion. This research was conducted to analyze the effect of zinc content to the value of cell potential and efficiency aluminium sacrificial anode with sand casting method in 0.2 M sulphuric acid environment. The sacrificial anode fabrication made with alloying aluminium and zinc metals with variation composition of alloy with pure Al, Al-3Zn, Al-6Zn, and Al-9Zn with open die sand casting process. The component installed with ASTM A36 steel. After the research has been done the result showed that addition of zinc content increase the cell potential, protection efficiency, and anode efficiency from steel plate. Cell potential value measurement and weight loss measurement showed that addition of zinc content increase the cell potential value into more positive that can protected the ASTM A36 steel more efficiently that showed in weight loss measurement where the protection efficiency and anodic efficiency of Al-9Zn sacrificial anode is better than protection efficiency and anodic efficiency of pure Al. The highest protection efficiency gotten by Al-9Zn alloy
Use of chemical mechanical polishing in micromachining
Nasby, Robert D.; Hetherington, Dale L.; Sniegowski, Jeffry J.; McWhorter, Paul J.; Apblett, Christopher A.
1998-01-01
A process for removing topography effects during fabrication of micromachines. A sacrificial oxide layer is deposited over a level containing functional elements with etched valleys between the elements such that the sacrificial layer has sufficient thickness to fill the valleys and extend in thickness upwards to the extent that the lowest point on the upper surface of the oxide layer is at least as high as the top surface of the functional elements in the covered level. The sacrificial oxide layer is then polished down and planarized by chemical-mechanical polishing. Another layer of functional elements is then formed upon this new planarized surface.
Use of chemical mechanical polishing in micromachining
Nasby, R.D.; Hetherington, D.L.; Sniegowski, J.J.; McWhorter, P.J.; Apblett, C.A.
1998-09-08
A process for removing topography effects during fabrication of micromachines. A sacrificial oxide layer is deposited over a level containing functional elements with etched valleys between the elements such that the sacrificial layer has sufficient thickness to fill the valleys and extend in thickness upwards to the extent that the lowest point on the upper surface of the oxide layer is at least as high as the top surface of the functional elements in the covered level. The sacrificial oxide layer is then polished down and planarized by chemical-mechanical polishing. Another layer of functional elements is then formed upon this new planarized surface. 4 figs.
Baek, David J.; Lu, Di; Hikita, Yasuyuki; ...
2016-12-22
Incorporating oxides with radically different physical and chemical properties into heterostructures offers tantalizing possibilities to derive new functions and structures. Recently, we have fabricated freestanding 2D oxide membranes using the water-soluble perovskite Sr 3Al 2O 6 as a sacrificial buffer layer. Here, with atomic-resolution spectroscopic imaging, we observe that direct growth of oxide thin films on Sr 3Al 2O 6 can cause complete phase transformation of the buffer layer, rendering it water-insoluble. More importantly, we demonstrate that an ultrathin SrTiO 3 layer can be employed as an effective barrier to preserve Sr 3Al 2O 6 during subsequent growth, thus allowingmore » its integration in a wider range of oxide heterostructures.« less
Cellulose fiber-enzyme composites fabricated through layer-by-layer nanoassembly.
Xing, Qi; Eadula, Sandeep R; Lvov, Yuri M
2007-06-01
Cellulose microfibers were coated with enzymes, laccase and urease, through layer-by-layer assembly by alternate adsorption with oppositely charged polycations. The formation of organized polyelectrolyte and enzyme multilayer films of 15-20 nm thickness was demonstrated by quartz crystal microbalance, zeta-potential analysis, and confocal laser scanning microscopy. These biocomposites retained enzymatic catalytic activity, which was proportional to the number of coated enzyme layers. For laccase-fiber composites, around 50% of its initial activity was retained after 2 weeks of storage at 4 degrees C. The synthesis of calcium carbonate microparticles on urease-fiber composites confirmed urease functionality and demonstrated its possible applications. This strategy could be employed to fabricate fiber-based composites with novel biological functions.
Fabrication of 20 nm embedded longitudinal nanochannels transferred from metal nanowire patterns
NASA Technical Reports Server (NTRS)
Choi, D.; Yang, E. H.
2003-01-01
bstract we describe a technique for fabricating nanometer-scale channels embedded by dielectric materials. Longitudinal 'embedded ' nanochannels with an opening size 20 nm x 80 nm have been successfully fabricated on silicon wafer by transferring sacrificial nanowire structures.
NASA Astrophysics Data System (ADS)
Song, Jing; Jańczewski, Dominik; Guo, Yuanyuan; Xu, Jianwei; Vancso, G. Julius
2013-11-01
Redox responsive nanotubes were fabricated by the template assisted layer-by-layer (LbL) assembly method and employed as platforms for molecular payload release. Positively and negatively charged organometallic poly(ferrocenylsilane)s (PFS) were used to construct the nanotubes, in combination with other polyions. During fabrication, multilayers of these polyions were deposited onto the inner pores of template porous membranes, followed by subsequent removal of the template. Anodized porous alumina and track-etched polycarbonate membranes were used as templates. The morphology, electrochemistry, composition and other properties of the obtained tubular structure were characterized by fluorescence microscopy, scanning (SEM) and transmission electron microscopy (TEM) and energy-dispersive X-ray (EDX) spectroscopy. Composite nanotubes, consisting of poly(acrylic acid) anions with PFS+ and nanoparticles including fluorophore labelled dextran and decorated quantum dots, with PFS polyelectrolytes were also fabricated, broadening the scope of the structures. Cyclic voltammograms of PFS containing nanotubes showed similar redox responsive behaviour to thin LbL assembled films. Redox triggered release of labelled macromolecules from these tubular structures demonstrated application potential in controlled molecular delivery.Redox responsive nanotubes were fabricated by the template assisted layer-by-layer (LbL) assembly method and employed as platforms for molecular payload release. Positively and negatively charged organometallic poly(ferrocenylsilane)s (PFS) were used to construct the nanotubes, in combination with other polyions. During fabrication, multilayers of these polyions were deposited onto the inner pores of template porous membranes, followed by subsequent removal of the template. Anodized porous alumina and track-etched polycarbonate membranes were used as templates. The morphology, electrochemistry, composition and other properties of the obtained tubular
Linking magnetic fabric and cumulate texture in layered mafic-ultramafic intrusions (Invited)
NASA Astrophysics Data System (ADS)
O Driscoll, B.; Stevenson, C.; Magee, C.
2013-12-01
Research on the magnetic fabrics of igneous rocks, pioneered by Balsley and Buddington[1] and Khan[2], has greatly contributed to our understanding of magma dynamics in lava flows, sheet intrusions and plutons over the past five decades. However, considerably few magnetic fabric studies have focused on layered mafic-ultramafic intrusions, particularly ';lopolithic' intrusions, despite the fact that such rocks may preserve a large range of small-scale kinematic structures potentially related to important magma chamber processes. This may be partly due to the fact that mafic-ultramafic cumulates commonly exhibit visible planar fabrics (mineral lamination), as well as compositional layering, in contrast to the frequent absence of such features in granite bodies or fine-grained mafic lava flows. Indeed, debates in the 1970s and 1980s on the development of layering and mineral fabrics in mafic-ultramafic intrusions, focused around the crystal settling versus in situ crystallisation paradigms, are classic in the subject of igneous petrology. Central to these debates is the notion that a wide range of magma chamber processes occur in layered mafic-ultramafic intrusions that are not frequently considered to occur in their relatively viscous granitic counterparts; in essence, the latter have historically been viewed as much more likely to ';freeze-in' a primary magma flow fabric whilst mafic-ultramafic intrusions are subjected to a more protracted solidification history. This wide array of potential initial sources for layering and mineral fabrics in layered mafic-ultramafic intrusions, together with the possible modification of textures at the postcumulus stage, demands a cautious application of any fabric analysis and presents a problem well-suited to interrogation by the AMS technique. The purpose of this contribution is to provide specific context on the application of AMS to elucidating the formation of cumulates in layered mafic-ultramafic intrusions. Examples of AMS
CMUT Fabrication Based On A Thick Buried Oxide Layer.
Kupnik, Mario; Vaithilingam, Srikant; Torashima, Kazutoshi; Wygant, Ira O; Khuri-Yakub, Butrus T
2010-10-01
We introduce a versatile fabrication process for direct wafer-bonded CMUTs. The objective is a flexible fabrication platform for single element transducers, 1D and 2D arrays, and reconfigurable arrays. The main process features are: A low number of litho masks (five for a fully populated 2D array); a simple fabrication sequence on standard MEMS tools without complicated wafer handling (carrier wafers); an improved device reliability; a wide design space in terms of operation frequency and geometric parameters (cell diameter, gap height, effective insulation layer thickness); and a continuous front face of the transducer (CMUT plate) that is connected to ground (shielding for good SNR and human safety in medical applications). All of this is achieved by connecting the hot electrodes individually through a thick buried oxide layer, i.e. from the handle layer of an SOI substrate to silicon electrodes located in each CMUT cell built in the device layer. Vertical insulation trenches are used to isolate these silicon electrodes from the rest of the substrate. Thus, the high electric field is only present where required - in the evacuated gap region of the device and not in the insulation layer of the post region. Array elements (1D and 2D) are simply defined be etching insulation trenches into the handle wafer of the SOI substrate.
CMUT Fabrication Based On A Thick Buried Oxide Layer
Kupnik, Mario; Vaithilingam, Srikant; Torashima, Kazutoshi; Wygant, Ira O.; Khuri-Yakub, Butrus T.
2010-01-01
We introduce a versatile fabrication process for direct wafer-bonded CMUTs. The objective is a flexible fabrication platform for single element transducers, 1D and 2D arrays, and reconfigurable arrays. The main process features are: A low number of litho masks (five for a fully populated 2D array); a simple fabrication sequence on standard MEMS tools without complicated wafer handling (carrier wafers); an improved device reliability; a wide design space in terms of operation frequency and geometric parameters (cell diameter, gap height, effective insulation layer thickness); and a continuous front face of the transducer (CMUT plate) that is connected to ground (shielding for good SNR and human safety in medical applications). All of this is achieved by connecting the hot electrodes individually through a thick buried oxide layer, i.e. from the handle layer of an SOI substrate to silicon electrodes located in each CMUT cell built in the device layer. Vertical insulation trenches are used to isolate these silicon electrodes from the rest of the substrate. Thus, the high electric field is only present where required – in the evacuated gap region of the device and not in the insulation layer of the post region. Array elements (1D and 2D) are simply defined be etching insulation trenches into the handle wafer of the SOI substrate. PMID:22685377
Weaving multi-layer fabrics for reinforcement of engineering components
NASA Technical Reports Server (NTRS)
Hill, B. J.; Mcilhagger, R.; Mclaughlin, P.
1993-01-01
The performance of interlinked, multi-layer fabrics and near net shape preforms for engineering applications, woven on a 48 shaft dobby loom using glass, aramid, and carbon continuous filament yarns is assessed. The interlinking was formed using the warp yarns. Two basic types of structure were used. The first used a single warp beam and hence each of the warp yarns followed a similar path to form four layer interlinked reinforcements and preforms. In the second two warp beams were used, one for the interlinking yarns which pass from the top to the bottom layer through-the-thickness of the fabric and vice versa, and the other to provide 'straight' yarns in the body of the structure to carry the axial loading. Fabrics up to 15mm in thickness were constructed with varying amounts of through-the-thickness reinforcement. Tapered T and I sections were also woven, with the shaping produced by progressive removal of ends during construction. These fabrics and preforms were impregnated with resin and cured to form composite samples for testing. Using these two basic types of construction, the influence of reinforcement construction and the proportion and type of interlinking yarn on the performance of the composite was assessed.
2013-01-01
Anode aluminum oxide-supported thin-film fuel cells having a sub-500-nm-thick bilayered electrolyte comprising a gadolinium-doped ceria (GDC) layer and an yttria-stabilized zirconia (YSZ) layer were fabricated and electrochemically characterized in order to investigate the effect of the YSZ protective layer. The highly dense and thin YSZ layer acted as a blockage against electron and oxygen permeation between the anode and GDC electrolyte. Dense GDC and YSZ thin films were fabricated using radio frequency sputtering and atomic layer deposition techniques, respectively. The resulting bilayered thin-film fuel cell generated a significantly higher open circuit voltage of approximately 1.07 V compared with a thin-film fuel cell with a single-layered GDC electrolyte (approximately 0.3 V). PMID:23342963
46 CFR 35.01-25 - Sacrificial anode installations-TB/ALL.
Code of Federal Regulations, 2013 CFR
2013-10-01
... installation of magnesium sacrificial anodes in cargo tanks utilized for the carriage of flammable or... analysis of the alloy composition shall be submitted for approval. The anode should be magnesium free and... consideration. (c) Sacrificial anodes using materials other than those having aluminum and/or magnesium in whole...
46 CFR 35.01-25 - Sacrificial anode installations-TB/ALL.
Code of Federal Regulations, 2014 CFR
2014-10-01
... installation of magnesium sacrificial anodes in cargo tanks utilized for the carriage of flammable or... analysis of the alloy composition shall be submitted for approval. The anode should be magnesium free and... consideration. (c) Sacrificial anodes using materials other than those having aluminum and/or magnesium in whole...
Liang, Chih-Peng; Yamauchi, Yusuke; Liu, Chia-Hung; Wu, Kevin C-W
2013-06-28
This study focuses on the incorporation of gold nanoparticles (Au NPs) into our previously synthesized mesoporous titania thin films consisting of titania nanopillars and inverse mesospace (C. W. Wu, T. Ohsuna, M. Kuwabara and K. Kuroda, J. Am. Chem. Soc., 2006, 128, 4544-4545, denoted as MTTFs). Recently, mesoporous titania materials doped with noble metals such as gold have attracted considerable attention because noble metals can enhance the efficiency of mesoporous titania-based devices. In this research, we attempted to use four different reduction methods (i.e., thermal treatment, photo irradiation, liquid immersion, and vapor contacting) to introduce gold nanoparticles (Au NPs) into MTTFs. The synthesized Au@MTTFs were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). We further systematically investigated the formation mechanism of gold nanoparticles on the external and internal surfaces of the MTTFs. With the assistance of a silica sacrificial layer, well-dispersed Au NPs with sizes of 4.1 nm were obtained inside the MTTF by photo irradiation. The synthesized Au@MTTF materials show great potential in various photo-electronic and photo-catalytic applications.
Panzer, Fabian; Hanft, Dominik; Gujar, Tanaji P; Kahle, Frank-Julian; Thelakkat, Mukundan; Köhler, Anna; Moos, Ralf
2016-04-08
We present the successful fabrication of CH₃NH₃PbI₃ perovskite layers by the aerosol deposition method (ADM). The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.
Kang, Hyun-Wook
2012-01-01
Tissue engineering, which is the study of generating biological substitutes to restore or replace tissues or organs, has the potential to meet current needs for organ transplantation and medical interventions. Various approaches have been attempted to apply three-dimensional (3D) solid freeform fabrication technologies to tissue engineering for scaffold fabrication. Among these, the stereolithography (SL) technology not only has the highest resolution, but also offers quick fabrication. However, a lack of suitable biomaterials is a barrier to applying the SL technology to tissue engineering. In this study, an indirect SL method that combines the SL technology and a sacrificial molding process was developed to address this challenge. A sacrificial mold with an inverse porous shape was fabricated from an alkali-soluble photopolymer by the SL technology. A sacrificial molding process was then developed for scaffold construction using a variety of biomaterials. The results indicated a wide range of biomaterial selectivity and a high resolution. Achievable minimum pore and strut sizes were as large as 50 and 65 μm, respectively. This technology can also be used to fabricate three-dimensional organ shapes, and combined with traditional fabrication methods to construct a new type of scaffold with a dual-pore size. Cytotoxicity tests, as well as nuclear magnetic resonance and gel permeation chromatography analyses, showed that this technology has great potential for tissue engineering applications. PMID:22443315
NASA Astrophysics Data System (ADS)
Bich Do, Danh; Lin, Jian Hung; Diep Lai, Ngoc; Kan, Hung-Chih; Hsu, Chia Chen
2011-08-01
We demonstrate the fabrication of a three-dimensional (3D) polymer quadratic nonlinear (χ(2)) grating structure. By performing layer-by-layer direct laser writing (DLW) and spin-coating approaches, desired photobleached grating patterns were embedded in the guest--host dispersed-red-1/poly(methylmethacrylate) (DR1/PMMA) active layers of an active-passive alternative multilayer structure through photobleaching of DR1 molecules. Polyvinyl-alcohol and SU8 thin films were deposited between DR1/PMMA layers serving as a passive layer to separate DR1/PMMA active layers. After applying the corona electric field poling to the multilayer structure, nonbleached DR1 molecules in the active layers formed polar distribution, and a 3D χ(2) grating structure was obtained. The χ(2) grating structures at different DR1/PMMA nonlinear layers were mapped by laser scanning second harmonic (SH) microscopy, and no cross talk was observed between SH images obtained from neighboring nonlinear layers. The layer-by-layer DLW technique is favorable to fabricating hierarchical 3D polymer nonlinear structures for optoelectronic applications with flexible structural design.
Do, Danh Bich; Lin, Jian Hung; Lai, Ngoc Diep; Kan, Hung-Chih; Hsu, Chia Chen
2011-08-10
We demonstrate the fabrication of a three-dimensional (3D) polymer quadratic nonlinear (χ(2)) grating structure. By performing layer-by-layer direct laser writing (DLW) and spin-coating approaches, desired photobleached grating patterns were embedded in the guest-host dispersed-red-1/poly(methylmethacrylate) (DR1/PMMA) active layers of an active-passive alternative multilayer structure through photobleaching of DR1 molecules. Polyvinyl-alcohol and SU8 thin films were deposited between DR1/PMMA layers serving as a passive layer to separate DR1/PMMA active layers. After applying the corona electric field poling to the multilayer structure, nonbleached DR1 molecules in the active layers formed polar distribution, and a 3D χ(2) grating structure was obtained. The χ(2) grating structures at different DR1/PMMA nonlinear layers were mapped by laser scanning second harmonic (SH) microscopy, and no cross talk was observed between SH images obtained from neighboring nonlinear layers. The layer-by-layer DLW technique is favorable to fabricating hierarchical 3D polymer nonlinear structures for optoelectronic applications with flexible structural design.
Direct single-layered fabrication of 3D concavo convex patterns in nano-stereolithography
NASA Astrophysics Data System (ADS)
Lim, T. W.; Park, S. H.; Yang, D. Y.; Kong, H. J.; Lee, K. S.
2006-09-01
A nano-surfacing process (NSP) is proposed to directly fabricate three-dimensional (3D) concavo convex-shaped microstructures such as micro-lens arrays using two-photon polymerization (TPP), a promising technique for fabricating arbitrary 3D highly functional micro-devices. In TPP, commonly utilized methods for fabricating complex 3D microstructures to date are based on a layer-by-layer accumulating technique employing two-dimensional sliced data derived from 3D computer-aided design data. As such, this approach requires much time and effort for precise fabrication. In this work, a novel single-layer exposure method is proposed in order to improve the fabricating efficiency for 3D concavo convex-shaped microstructures. In the NSP, 3D microstructures are divided into 13 sub-regions horizontally with consideration of the heights. Those sub-regions are then expressed as 13 characteristic colors, after which a multi-voxel matrix (MVM) is composed with the characteristic colors. Voxels with various heights and diameters are generated to construct 3D structures using a MVM scanning method. Some 3D concavo convex-shaped microstructures were fabricated to estimate the usefulness of the NSP, and the results show that it readily enables the fabrication of single-layered 3D microstructures.
Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy
Ohno, Takeo; Oyama, Yutaka
2012-01-01
In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466
Design and fabrication of giant micromirrors using electroplating-based technology
NASA Astrophysics Data System (ADS)
Ilias, Samir; Topart, Patrice A.; Larouche, Carl; Leclair, Sebastien; Jerominek, Hubert
2005-01-01
Giant micromirrors with large scanning deflection and good flatness are required for many space and terrestrial applications. A novel approach to manufacturing this category of micromirrors is proposed. The approach combines selective electroplating and flip-chip based technologies. It allows for large air gaps, flat and smooth active micromirror surfaces and permits independent fabrication of the micromirrors and control electronics, avoiding temperature and sacrificial layer incompatibilities between them. In this work, electrostatically actuated piston and torsion micromirrors were designed and simulated. The simulated structures were designed to allow large deflection, i.e. piston displacement larger than 10 um and torsional deflection up to 35°. To achieve large micromirror deflections, up to seventy micron-thick resists were used as a micromold for nickel and solder electroplating. Smooth micromirror surfaces (roughness lower than 5 nm rms) and large radius of curvature (R as large as 23 cm for a typical 1000x1000 um2 micromirror fabricated without address circuits) were achieved. A detailed fabrication process is presented. First piston mirror prototypes were fabricated and a preliminary evaluation of static deflection of a piston mirror is presented.
Porous double-layer polymer tubing for the potential use in heterogeneous continuous flow reactions.
Herwig, Gordon; Hornung, Christian H; Peeters, Gary; Ebdon, Nicholas; Savage, G Paul
2014-12-24
Functional polymer tubing with an OD of 1/16 or 1/8 in. was fabricated by a simple polymer coextrusion process. The tubing was made of an outer impervious polypropylene layer and an inner layer, consisting of a blend of a functional polymer, polyethylene-co-methacrylic acid, and a sacrificial polymer, polystyrene. After a simple solvent leaching step using common organic solvents, the polystyrene was removed, leaving behind a porous inner layer that contains functional carboxylic acid groups, which could then be used for the immobilization of target molecules. Solution-phase reactions using amines or isocyanates have proven successful for the immobilization of a series of small molecules and polymers. This flexible multilayered functional tubing can be easily cut to the desired length and connected via standard microfluidic fittings.
NASA Astrophysics Data System (ADS)
Xie, Wan-Chen; Guo, Xu-Yi; Yan, Tao; Zhang, Shang-Yong
2017-09-01
This article is based on the structure of three-dimensional angle-interlock longitudinal.The 3-layer, 5-layer, 7-layer and 9-layer of angle-interlock 3D fabrics are woven on sample weaving machine respectively with the 1500D Kevlar fiber twist filament produced by United States DuPont. At the same time, Kevlar plain weave fabric is woven, and three, five, seven and nine layers’ fabric are to be compared. In the process of VARTM composite technology, epoxy resin is matrix material, acetone is diluent, triethylene tetramine is curing agent and the five different fabrics are the reinforced materials respectively. Finally, eight different three-dimensional woven fabric composites were prepared. In this paper, the tensile properties of eight kinds of three-dimensional woven fabric composites were tested respectively.Finally, it is concluded that the five-layer angle-interlock woven fabric prepared by Kevlar fiber shows the best tensile property.
Fabrication of nanocrystalline surface composite layer on Cu plate under ball collisions.
Romankov, S; Park, Y C; Yoon, J M
2014-10-01
It was demonstrated that the severe plastic deformation of a surface induced by repeated ball collisions can be effectively used for fabrication of the nanocrystalline surface composite layers. The Cu disk was fixed at the top of a vibration chamber and ball treated. Al, Zr, Ni, Co and Fe were introduced into a Cu plate as contaminants from the grinding media one after the other by 15-min ball treatment. The composite structure was formed as a result of mechanical intermixing of the components. The particle size in as-fabricated layer ranged from 2 nm to 20 nm, with average values of about 7 nm. As-fabricated layer contained non-equilibrium multicomponent solid solution based on FCC Cu crystal structure, Zr-based phase, nanosized steel debris and amorphous phase. The hardness of the as-fabricated composite was almost ten times that of the initial Cu plate.
Transparent Oxide TFTs Fabricated by Atomic Layer Deposition
2014-04-17
Transparent Oxide TFTs Fabricated by Atomic Layer Deposition(FA2386-11-1-114052) Yukiharu Uraoka, Nara Institute of Science and Technology Term...2011.5.1-2012.4.30 Purpose and Background: In recent years, the application of zinc oxide (ZnO) thin films as an active channel layer in TFTs has...or other flexible substrates. Higher field-effect mobility of ZnO TFTs than a-Si:H TFTs has been recently demonstrated. However, reliability for
NASA Astrophysics Data System (ADS)
Zou, Shibo; Therriault, Daniel; Gosselin, Frederick
A simple modification by increasing the deposition height on a commercially available 3D printer makes it a mechanical sewing machine due to the fluid mechanical instability. A variety of stitches-like patterns can be produced, similar to those by the Newtonian fluid mechanical sewing machine\\x9D, but with more interesting characteristics in the additional third dimension, which creates weakly fused bonds in some patterns. With these bonds, the fabricated fibers exhibit improved toughness in uniaxial tensile test. The toughening mechanism is found to be similar to the one in spider silk - the breaking of sacrificial bonds and the releasing of hidden length contribute significant dissipated energy to the system. However, the mechanical performance of these microstructured fibers is restricted by early fiber breakage as the number of sacrificial bonds increases. Here, we seek to understand the failure mechanisms of the microstructured fibers through tensile tests and finite element simulations. Static and dynamic failure are both found to cause early fiber breakage. These findings are helpful for the design optimization of microstructured fibers with high toughness and ductility, which can find potential use in impact protection and safety-critical applications.
Design and fabrication of a MEMS chevron-type thermal actuator
DOE Office of Scientific and Technical Information (OSTI.GOV)
Baracu, Angela, E-mail: angela.baracu@imt.ro; Voicu, Rodica; Müller, Raluca
This paper presents the design and fabrication of a MEMS chevron-type thermal actuator. The device was designed for fabrication in the standard MEMS technology, where the topography of the upper layers depends on the patterns of structural and sacrificial layers underneath. The proposed actuator presents some advantages over usual thermal vertical chevron actuators by means of low operating voltages, high output force and linear movement without deformation of the shaft. The device simulations were done using COVENTOR software. The movement obtained by simulation was 12 μm, for a voltage of 0.2 V and the current intensity of 257 mA. Themore » design optimizes the in-plane displacement by fixed anchors and beam inclination angle. Heating is provided by Joule dissipation. The material used for manufacture of chevron-based actuator was aluminum due to its thermal and mechanical properties. The release of the movable part was performed using isotropic dry etching by Reactive Ion Etching (RIE). A first inspection was achieved using Scanning Electron Microscope (SEM). In order to obtain the in-plane displacement we carried out electrical measurements. The thermal actuator can be used for a variety of optical and microassembling applications. This kind of thermal actuator could be integrated easily with other micro devices since its fabrication is compatible with the general semiconductor processes.« less
Fabrication of ceramic layer-by-layer infrared wavelength photonic band gap crystals
NASA Astrophysics Data System (ADS)
Kang, Henry Hao-Chuan
Photonic band gap (PBG) crystals, also known as photonic crystals, are periodic dielectric structures which form a photonic band gap that prohibit the propagation of electromagnetic (EM) waves of certain frequencies at any incident angles. Photonic crystals have several potential applications including zero-threshold semiconductor lasers, the inhibiting spontaneous emission, dielectric mirrors, and wavelength filters. If defect states are introduced in the crystals, light can be guided from one location to another or even a sharp bending of light in submicron scale can be achieved. This generates the potential for optical waveguide and optical circuits, which will contribute to the improvement in the fiber-optic communications and the development of high-speed computers. The goal of this dissertation research is to explore techniques for fabricating 3D ceramic layer-by-layer (LBL) photonic crystals operating in the infrared frequency range, and to characterize the infilling materials properties that affect the fabrication process as well as the structural and optical properties of the crystals. While various approaches have been reported in literature for the fabrication of LBL structure, the uniqueness of this work ties with its cost-efficiency and relatively short process span. Besides, very few works have been reported on fabricating ceramic LBL crystals at mid-IR frequency range so far. The fabrication techniques reported here are mainly based on the concepts of microtransfer molding with the use of polydimethyl siloxane (PDMS) as molds/stamps. The infilling materials studied include titanium alkoxide precursors and aqueous suspensions of nanosize titania particles (slurries). Various infilling materials were synthesized to determine viscosities, effects on drying and firing shrinkages, effects on film surface roughness, and their moldability. Crystallization and phase transformation of the materials were also monitored using DTA, TGA and XRD. Mutilayer crystal
Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching
Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon
2015-01-01
Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414
NASA Astrophysics Data System (ADS)
Salehi, Hasan; Rastgar, Masoud; Shakeri, Alireza
2017-08-01
To date, forward osmosis (FO) has received considerable attention due to its potential application in seawater desalination. FO does not require external hydraulic pressure and consequently is believed to have a low fouling propensity. Despite the numerous privileges of FO process, a major challenge ahead for its development is the lack of high performance membranes. In this study, we fabricated a novel highly-efficient FO membrane using layer-by-layer (LbL) assembly of positive chitosan (CS) and negative graphene oxide (GO) nanosheets via electrostatic interaction on a porous support layer. The support layer was prepared by blending hydrophilic sulfonated polyethersulfone (SPES) into polyethersulfone (PES) matrix using wet phase inversion process. Various characterization techniques were used to confirm successful fabrication of LbL membrane. The number of layers formed on the SPES-PES support layer was easily adjusted by repeating the CS and GO deposition cycles. Thin film composite (TFC) membrane was also prepared by the same SPES-PES support layer and polyamide (PA) active layer to compare membranes performances. The water permeability and salt rejection of the fabricated membranes were obtained by two kinds of draw solutions (including Na2SO4 and sucrose) under two different membrane orientations. The results showed that membrane coated by a CS/GO bilayers had water flux of 2-4 orders of magnitude higher than the TFC one. By increasing the number of CS/GO bilayers, the selectivity of the LbL membrane was improved. The novel fabricated LbL membrane showed better fouling resistance than the TFC one in the feed solution containing 200 ppm of sodium alginate as a foulant model.
Multi-layered fabrication of large area PDMS flexible optical light guide sheets
NASA Astrophysics Data System (ADS)
Green, Robert; Knopf, George K.; Bordatchev, Evgueni V.
2017-02-01
Large area polydimethylsiloxane (PDMS) flexible optical light guide sheets can be used to create a variety of passive light harvesting and illumination systems for wearable technology, advanced indoor lighting, non-planar solar light collectors, customized signature lighting, and enhanced safety illumination for motorized vehicles. These thin optically transparent micro-patterned polymer sheets can be draped over a flat or arbitrarily curved surface. The light guiding behavior of the optical light guides depends on the geometry and spatial distribution of micro-optical structures, thickness and shape of the flexible sheet, refractive indices of the constituent layers, and the wavelength of the incident light. A scalable fabrication method that combines soft-lithography, closed thin cavity molding, partial curing, and centrifugal casting is described in this paper for building thin large area multi-layered PDMS optical light guide sheets. The proposed fabrication methodology enables the of internal micro-optical structures (MOSs) in the monolithic PDMS light guide by building the optical system layer-by-layer. Each PDMS layer in the optical light guide can have the similar, or a slightly different, indices of refraction that permit total internal reflection within the optical sheet. The individual molded layers may also be defect free or micro-patterned with microlens or reflecting micro-features. In addition, the bond between adjacent layers is ensured because each layer is only partially cured before the next functional layer is added. To illustrate the scalable build-by-layers fabrication method a three-layer mechanically flexible illuminator with an embedded LED strip is constructed and demonstrated.
Monoamine oxidase B layer-by-layer film fabrication and characterization toward dopamine detection.
Miyazaki, Celina Massumi; Pereira, Tamyris Paschoal; Mascagni, Daniela Branco Tavares; de Moraes, Marli Leite; Ferreira, Marystela
2016-01-01
In this work nanostructured film composites of the monoamine oxidase B (MAO-B) enzyme, free or encapsulated in liposomes, were fabricated by the layer-by-layer (LbL) self-assembly technique, employing polyethylene imine (PEI) as polycation. Initially, the MAO-B enzyme was incorporated into liposomes in order to preserve its enzymatic structure ensuring their activity and catalytic stability. The LbL film growth was monitored by surface plasmon resonance (SPR) by gold resonance angle shift analysis after each bilayer deposition. Subsequently, the films were applied as amperometric biosensors for dopamine detection using Prussian Blue (PB) as the electron mediator. The biosensor fabricated by MAO-B incorporated into liposomes composed of DPPG:POPG in the ratio (1:4) (w/w) showed the best performance with a sensitivity of 0.86 (μA cm(-2))/(mmol L(-1)) and a detection limit of 0.33 mmol L(-1).
Inversion layer solar cell fabrication and evaluation. [etching on silicon films
NASA Technical Reports Server (NTRS)
Call, R. L.
1974-01-01
Inversion layer solar cells were fabricated by etching through the diffused layer on p-type silicon wafers in a comb-like contact pattern. The charge separation comes from an induced p-n junction at the surface. The inverted surface is caused by a layer of transparent material applied to the surface that either contains free positive ions or that creates donor states at the interface. Cells are increased from 3 ma I sub sc to 100 ma by application of sodium silicate. The action is unstable, however, and decays. Non-mesa contaminated oxide cells were fabricated with short circuit currents of over 100 ma measured in the sun. Cells of this type have demonstrated stability.
Wu, Xiaoguang; Zhao, Xu; Li, Yi; Yang, Tao; Yan, Xiujuan; Wang, Ke
2015-09-01
In situ fabrication of carbonated hydroxyapatite (CHA) remineralization layer on an enamel slice was completed in a novel, biomimetic two-step method. First, a CaCO3 layer was synthesized on the surface of demineralized enamel using an acidic amino acid (aspartic acid or glutamate acid) as a soft template. Second, at the same concentration of the acidic amino acid, rod-like carbonated hydroxyapatite was produced with the CaCO3 layer as a sacrificial template and a reactant. The morphology, crystallinity and other physicochemical properties of the crystals were characterized using field emission scanning electron microscopy (FESEM), Fourier transform infrared spectrometry (FTIR), X-ray diffraction (XRD) and energy-dispersive X-ray analysis (EDAX), respectively. Acidic amino acid could promote the uniform deposition of hydroxyapatite with rod-like crystals via absorption of phosphate and carbonate ions from the reaction solution. Moreover, compared with hydroxyapatite crystals coated on the enamel when synthesized by a one-step method, the CaCO3 coating that was synthesized in the first step acted as an active bridge layer and sacrificial template. It played a vital role in orienting the artificial coating layer through the template effect. The results show that the rod-like carbonated hydroxyapatite crystals grow into bundles, which are similar in size and appearance to prisms in human enamel, when using the two-step method with either aspartic acid or acidic glutamate (20.00 mmol/L). Copyright © 2015 Elsevier B.V. All rights reserved.
Methods for fabricating thin film III-V compound solar cell
Pan, Noren; Hillier, Glen; Vu, Duy Phach; Tatavarti, Rao; Youtsey, Christopher; McCallum, David; Martin, Genevieve
2011-08-09
The present invention utilizes epitaxial lift-off in which a sacrificial layer is included in the epitaxial growth between the substrate and a thin film III-V compound solar cell. To provide support for the thin film III-V compound solar cell in absence of the substrate, a backing layer is applied to a surface of the thin film III-V compound solar cell before it is separated from the substrate. To separate the thin film III-V compound solar cell from the substrate, the sacrificial layer is removed as part of the epitaxial lift-off. Once the substrate is separated from the thin film III-V compound solar cell, the substrate may then be reused in the formation of another thin film III-V compound solar cell.
Elahi, M Fazley; Guan, Guoping; Wang, Lu; Zhao, Xinzhe; Wang, Fujun; King, Martin W
2015-03-03
There is an urgent need to develop a biologically active implantable small-diameter vascular prosthesis with long-term patency. Silk-fibroin-based small-diameter vascular prosthesis is a promising candidate having higher patency rate; however, the surface modification is indeed required to improve its further hemocompatibility. In this study, silk fibroin fabric was modified by a two-stage process. First, the surface of silk fibroin fabric was coated using a layer-by-layer polyelectrolyte deposition technique by stepwise dipping the silk fibroin fabric into a solution of cationic poly(allylamine hydrochloride) (PAH) and anionic poly(acrylic acid) (PAA) solution. The dipping procedure was repeated to obtain the PAH/PAA multilayers deposited on the silk fibroin fabrics. Second, the polyelectrolyte-deposited silk fibroin fabrics were treated in EDC/NHS-activated low-molecular-weight heparin (LMWH) solution at 4 °C for 24 h, resulting in immobilization of LMWH on the silk fibroin fabrics surface. Scanning electron microscopy, atomic force microscopy, and energy-dispersive X-ray data revealed the accomplishment of LMWH immobilization on the polyelectrolyte-deposited silk fibroin fabric surface. The higher the number of PAH/PAA coating layers on the silk fibroin fabric, the more surface hydrophilicity could be obtained, resulting in a higher fetal bovine serum protein and platelets adhesion resistance properties when tested in vitro. In addition, compared with untreated sample, the surface-modified silk fibroin fabrics showed negligible loss of bursting strength and thus reveal the acceptability of polyelectrolytes deposition and heparin immobilization approach for silk-fibroin-based small-diameter vascular prostheses modification.
Non-Sacrificial, Anti-Graffiti Coating 2009 Evaluation
DOT National Transportation Integrated Search
2010-04-01
Graffiti eradication is a problem for the Nevada Department of Transportation (NDOT). Over 12,000 man-hours are spent on graffiti eradication each year. One recognized graffiti abatement method is the use of non-sacrificial, anti-graffiti coating. ND...
Fabrication of hierarchical hybrid structures using bio-enabled layer-by-layer self-assembly.
Hnilova, Marketa; Karaca, Banu Taktak; Park, James; Jia, Carol; Wilson, Brandon R; Sarikaya, Mehmet; Tamerler, Candan
2012-05-01
Development of versatile and flexible assembly systems for fabrication of functional hybrid nanomaterials with well-defined hierarchical and spatial organization is of a significant importance in practical nanobiotechnology applications. Here we demonstrate a bio-enabled self-assembly technique for fabrication of multi-layered protein and nanometallic assemblies utilizing a modular gold-binding (AuBP1) fusion tag. To accomplish the bottom-up assembly we first genetically fused the AuBP1 peptide sequence to the C'-terminus of maltose-binding protein (MBP) using two different linkers to produce MBP-AuBP1 hetero-functional constructs. Using various spectroscopic techniques, surface plasmon resonance (SPR) and localized surface plasmon resonance (LSPR), we verified the exceptional binding and self-assembly characteristics of AuBP1 peptide. The AuBP1 peptide tag can direct the organization of recombinant MBP protein on various gold surfaces through an efficient control of the organic-inorganic interface at the molecular level. Furthermore using a combination of soft-lithography, self-assembly techniques and advanced AuBP1 peptide tag technology, we produced spatially and hierarchically controlled protein multi-layered assemblies on gold nanoparticle arrays with high molecular packing density and pattering efficiency in simple, reproducible steps. This model system offers layer-by-layer assembly capability based on specific AuBP1 peptide tag and constitutes novel biological routes for biofabrication of various protein arrays, plasmon-active nanometallic assemblies and devices with controlled organization, packing density and architecture. Copyright © 2011 Wiley Periodicals, Inc.
Sacrificial Charge and the Spectral Resolution Performance of ACIS CCDs
NASA Astrophysics Data System (ADS)
Grant, Catherine E.; Prigozhin, Gregory; Lamarr, Beverly; Bautz, Mark W.
2002-04-01
Soon after launch, the Advanced CCD Imaging Spectrometer (ACIS), one of the focal plane instruments on the Chandra X-ray Observatory, suffered radiation damage from exposure to soft protons during passages through the Earth's radiation belts. The ACIS team is continuing to study the properties of the damage with an emphasis on developing techniques to mitigate charge transfer inefficiency (CTI) and spectral resolution degradation. A post-facto CTI corrector has been developed which can effectively recover much of the lost resolution (Townsley et al. 2000, ApJ, 534, L139). Any further improvements in performance will require knowledge of the location and amount of sacrificial charge - charge deposited along the readout path of an event which fills electron traps and changes CTI. We report on efforts by the ACIS Instrument team to characterize which charge traps cause performance degradation and the properties of the sacrificial charge seen on-orbit. We also report on early attempts to correct for the presence of sacrificial charge.
High-quality vertical light emitting diodes fabrication by mechanical lift-off technique
NASA Astrophysics Data System (ADS)
Tu, Po-Min; Hsu, Shih-Chieh; Chang, Chun-Yen
2011-10-01
We report the fabrication of mechanical lift-off high quality thin GaN with Hexagonal Inversed Pyramid (HIP) structures for vertical light emitting diodes (V-LEDs). The HIP structures were formed at the GaN/sapphire substrate interface under high temperature during KOH wet etching process. The average threading dislocation density (TDD) was estimated by transmission electron microscopy (TEM) and found the reduction from 2×109 to 1×108 cm-2. Raman spectroscopy analysis revealed that the compressive stress of GaN epilayer was effectively relieved in the thin-GaN LED with HIP structures. Finally, the mechanical lift-off process is claimed to be successful by using the HIP structures as a sacrificial layer during wafer bonding process.
Nanotransfer and nanoreplication using deterministically grown sacrificial nanotemplates
Melechko, Anatoli V [Oak Ridge, TN; McKnight, Timothy E [Greenback, TN; Guillorn, Michael A [Ithaca, NY; Ilic, Bojan [Ithaca, NY; Merkulov, Vladimir I [Knoxville, TN; Doktycz, Mitchel J [Knoxville, TN; Lowndes, Douglas H [Knoxville, TN; Simpson, Michael L [Knoxville, TN
2011-08-23
Methods, manufactures, machines and compositions are described for nanotransfer and nanoreplication using deterministically grown sacrificial nanotemplates. An apparatus, includes a substrate and a nanoreplicant structure coupled to a surface of the substrate.
De Cremer, David; Mayer, David M; van Dijke, Marius; Bardes, Mary; Schouten, Barbara C
2009-07-01
In the present set of studies, the authors examine the idea that self-sacrificial leadership motivates follower prosocial behavior, particularly among followers with a prevention focus. Drawing on the self-sacrificial leadership literature and regulatory focus theory, the authors provide results from 4 studies (1 laboratory and 3 field studies) that support the research hypothesis. Specifically, the relationship between self-sacrificial leadership and prosocial behavior (i.e., cooperation, organizational citizenship behavior) is stronger among followers who are high in prevention focus. Implications for the importance of taking a follower-centered approach to leadership are discussed.
Effect of Copper and Silicon on Al-5%Zn Alloy as a Candidate Low Voltage Sacrificial Anode
NASA Astrophysics Data System (ADS)
Pratesa, Yudha; Ferdian, Deni; Togina, Inez
2017-05-01
One common method used for corrosion protection is a sacrificial anode. Sacrificial anodes that usually employed in the marine environment are an aluminum alloy sacrificial anode, especially Al-Zn-In. However, the electronegativity of these alloys can cause corrosion overprotection and stress cracking (SCC) on a high-strength steel. Therefore, there is a development of the sacrificial anode aluminum low voltage to reduce the risk of overprotection. The addition of alloying elements such as Cu, Si, and Ge will minimize the possibility of overprotection. This study was conducted to analyze the effect of silicon and copper addition in Al-5Zn. The experiment started from casting the sacrificial anode aluminum uses electrical resistance furnace in a graphite crucible in 800°C. The results alloy was analyzed using Optical emission spectroscopy (OES), Differential scanning calorimetry, electrochemical impedance spectroscopy, and metallography. Aluminum alloy with the addition of a copper alloy is the most suitable and efficient to serve as a low-voltage sacrificial anode aluminum. Charge transfer resistivity of copper is smaller than silicon which indicates that the charge transfer between the metal and the electrolyte is easier t to occur. Also, the current potential values in coupling with steel are also in the criteria range of low-voltage aluminum sacrificial anodes.
Norman, James J.; Choi, Seong-O; Tong, Nhien T.; Aiyar, Avishek R.; Patel, Samirkumar R.; Prausnitz, Mark R.; Allen, Mark G.
2012-01-01
Limitations with standard intradermal injections have created a clinical need for an alternative, low-cost injection device. In this study, we designed a hollow metal microneedle for reliable intradermal injection and developed a high-throughput micromolding process to produce metal microneedles with complex geometries. To fabricate the microneedles, we laser-ablated a 70 μm × 70 μm square cavity near the tip of poly(lactic acid-co-glyoclic acid) (PLGA) microneedles. The master structure was a template for multiple micromolded PLGA replicas. Each replica was sputtered with a gold seed layer with minimal gold deposited in the cavity due to masking effects. In this way, nickel was electrodeposited selectively outside of the cavity, after which the polymer replica was dissolved to produce a hollow metal microneedle. Force-displacement tests showed the microneedles, with 12 μm thick electrodeposition, could penetrate skin with an insertion force 9 times less than their axial failure force. We injected fluid with the microneedles into pig skin in vitro and hairless guinea pig skin in vivo. The injections targeted 90% of the material within the skin with minimal leakage onto the skin surface. We conclude that hollow microneedles made by this simple microfabrication method can achieve targeted intradermal injection. PMID:23053452
Novel approaches for fabrication of thin film layers for solid oxide electrolyte fuel cells
NASA Technical Reports Server (NTRS)
Murugesamoorthi, K. A.; Srinivasan, S.; Cocke, D. L.; Appleby, A. J.
1990-01-01
The main objectives of the SOFC (solid oxide fuel cell) project are to (1) identify viable and cost-effective techniques to prepare cell components for stable MSOFCs (monolithic SOFCs); (2) fabricate half and single cells; and (3) evaluate their performances. The approach used to fabricate stable MSOFCs is as follows: (1) the electrolyte layer is prepared in the form of a honeycomb structure by alloy oxidation and other cell components are deposited on it; (2) the electrolyte and anode layers are deposited on the cathode layer, which has a porous, honeycomb structure; and (3) the electrolyte and cathode layers are deposited on the anode layer. The current status of the project is reported.
Heavily Boron-Doped Silicon Layer for the Fabrication of Nanoscale Thermoelectric Devices
Liu, Yang; Deng, Lingxiao; Zhang, Mingliang; Zhang, Shuyuan; Ma, Jing; Song, Peishuai; Liu, Qing; Ji, An; Yang, Fuhua; Wang, Xiaodong
2018-01-01
Heavily boron-doped silicon layers and boron etch-stop techniques have been widely used in the fabrication of microelectromechanical systems (MEMS). This paper provides an introduction to the fabrication process of nanoscale silicon thermoelectric devices. Low-dimensional structures such as silicon nanowire (SiNW) have been considered as a promising alternative for thermoelectric applications in order to achieve a higher thermoelectric figure of merit (ZT) than bulk silicon. Here, heavily boron-doped silicon layers and boron etch-stop processes for the fabrication of suspended SiNWs will be discussed in detail, including boron diffusion, electron beam lithography, inductively coupled plasma (ICP) etching and tetramethylammonium hydroxide (TMAH) etch-stop processes. A 7 μm long nanowire structure with a height of 280 nm and a width of 55 nm was achieved, indicating that the proposed technique is useful for nanoscale fabrication. Furthermore, a SiNW thermoelectric device has also been demonstrated, and its performance shows an obvious reduction in thermal conductivity. PMID:29385759
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ugur, Sule S., E-mail: sule@mmf.sdu.edu.tr; Sariisik, Merih; Aktas, A. Hakan
Highlights: {yields} Cationic charges were created on the cotton fibre surfaces with 2,3-epoxypropyltrimethylammonium chloride. {yields} Al{sub 2}O{sub 3} nanoparticles were deposited on the cotton fabrics by layer-by-layer deposition. {yields} The fabrics deposited with the Al{sub 2}O{sub 3} nanoparticles exhibit better UV-protection and significant flame retardancy properties. {yields} The mechanical properties were improved after surface film deposition. -- Abstract: Al{sub 2}O{sub 3} nanoparticles were used for fabrication of multilayer nanocomposite film deposition on cationic cotton fabrics by electrostatic self-assembly to improve the mechanical, UV-protection and flame retardancy properties of cotton fabrics. Cotton fabric surface was modified with a chemical reaction tomore » build-up cationic charge known as cationization. Attenuated Total Reflectance Fourier Transform Infrared Spectroscopy, X-ray Photoelectron Spectroscopy and Scanning Electron Microscopy were used to verify the presence of deposited nanolayers. Air permeability, whiteness value, tensile strength, UV-transmittance and Limited Oxygen Index properties of cotton fabrics were analyzed before and after the treatment of Al{sub 2}O{sub 3} nanoparticles by electrostatic self-assemblies. It was proved that the flame retardancy, tensile strength and UV-transmittance of cotton fabrics can be improved by Al{sub 2}O{sub 3} nanoparticle additive through electrostatic self-assembly process.« less
Zhang, Weiwei; Huang, Guoyou; Ng, Kelvin; Ji, Yuan; Gao, Bin; Huang, Liqing; Zhou, Jinxiong; Lu, Tian Jian; Xu, Feng
2018-03-26
Hydrogel particles that can be engineered to compartmentally culture cells in a three-dimensional (3D) and high-throughput manner have attracted increasing interest in the biomedical area. However, the ability to generate hydrogel particles with specially designed structures and their potential biomedical applications need to be further explored. This work introduces a method for fabricating hydrogel particles in an ellipsoidal cap-like shape (i.e., ellipsoidal cap-like hydrogel particles) by employing an open-pore anodic aluminum oxide membrane. Hydrogel particles of different sizes are fabricated. The ability to produce ellipsoidal cap-like magnetic hydrogel particles with controlled distribution of magnetic nanoparticles is demonstrated. Encapsulated cells show high viability, indicating the potential for using these hydrogel particles as structure- and remote-controllable building blocks for tissue engineering application. Moreover, the hydrogel particles are also used as sacrificial templates for fabricating ellipsoidal cap-like concave wells, which are further applied for producing size controllable cell aggregates. The results are beneficial for the development of hydrogel particles and their applications in 3D cell culture.
Process for fabricating a microelectromechanical structure
Sniegowski, Jeffry J.; Krygowski, Thomas W.; Mani, Seethambal S.; Habermehl, Scott D.; Hetherington, Dale L.; Stevens, James E.; Resnick, Paul J.; Volk, Steven R.
2004-10-26
A process is disclosed for forming a microelectromechanical (MEM) structure on a substrate having from 5 to 6 or more layers of deposited and patterned polysilicon. The process is based on determining a radius of curvature of the substrate which is bowed due to accumulated stress in the layers of polysilicon and a sacrificial material used to buildup the MEM structure, and then providing one or more stress-compensation layers on a backside of the substrate to flatten the substrate and allow further processing.
Sacrificial amphiphiles: Eco-friendly chemical herders as oil spill mitigation chemicals.
Gupta, Deeksha; Sarker, Bivas; Thadikaran, Keith; John, Vijay; Maldarelli, Charles; John, George
2015-06-01
Crude oil spills are a major threat to marine biota and the environment. When light crude oil spills on water, it forms a thin layer that is difficult to clean by any methods of oil spill response. Under these circumstances, a special type of amphiphile termed as "chemical herder" is sprayed onto the water surrounding the spilled oil. The amphiphile forms a monomolecular layer on the water surface, reducing the air-sea surface tension and causing the oil slick to retract into a thick mass that can be burnt in situ. The current best-known chemical herders are chemically stable and nonbiodegradable, and hence remain in the marine ecosystem for years. We architect an eco-friendly, sacrificial, and effective green herder derived from the plant-based small-molecule phytol, which is abundant in the marine environment, as an alternative to the current chemical herders. Phytol consists of a regularly branched chain of isoprene units that form the hydrophobe of the amphiphile; the chain is esterified to cationic groups to form the polar group. The ester linkage is proximal to an allyl bond in phytol, which facilitates the hydrolysis of the amphiphile after adsorption to the sea surface into the phytol hydrophobic tail, which along with the unhydrolyzed herder, remains on the surface to maintain herding action, and the cationic group, which dissolves into the water column. Eventual degradation of the phytol tail and dilution of the cation make these sacrificial amphiphiles eco-friendly. The herding behavior of phytol-based amphiphiles is evaluated as a function of time, temperature, and water salinity to examine their versatility under different conditions, ranging from ice-cold water to hot water. The green chemical herder retracted oil slicks by up to ~500, 700, and 2500% at 5°, 20°, and 35°C, respectively, during the first 10 min of the experiment, which is on a par with the current best chemical herders in practice.
Sacrificial amphiphiles: Eco-friendly chemical herders as oil spill mitigation chemicals
Gupta, Deeksha; Sarker, Bivas; Thadikaran, Keith; John, Vijay; Maldarelli, Charles; John, George
2015-01-01
Crude oil spills are a major threat to marine biota and the environment. When light crude oil spills on water, it forms a thin layer that is difficult to clean by any methods of oil spill response. Under these circumstances, a special type of amphiphile termed as “chemical herder” is sprayed onto the water surrounding the spilled oil. The amphiphile forms a monomolecular layer on the water surface, reducing the air–sea surface tension and causing the oil slick to retract into a thick mass that can be burnt in situ. The current best-known chemical herders are chemically stable and nonbiodegradable, and hence remain in the marine ecosystem for years. We architect an eco-friendly, sacrificial, and effective green herder derived from the plant-based small-molecule phytol, which is abundant in the marine environment, as an alternative to the current chemical herders. Phytol consists of a regularly branched chain of isoprene units that form the hydrophobe of the amphiphile; the chain is esterified to cationic groups to form the polar group. The ester linkage is proximal to an allyl bond in phytol, which facilitates the hydrolysis of the amphiphile after adsorption to the sea surface into the phytol hydrophobic tail, which along with the unhydrolyzed herder, remains on the surface to maintain herding action, and the cationic group, which dissolves into the water column. Eventual degradation of the phytol tail and dilution of the cation make these sacrificial amphiphiles eco-friendly. The herding behavior of phytol-based amphiphiles is evaluated as a function of time, temperature, and water salinity to examine their versatility under different conditions, ranging from ice-cold water to hot water. The green chemical herder retracted oil slicks by up to ~500, 700, and 2500% at 5°, 20°, and 35°C, respectively, during the first 10 min of the experiment, which is on a par with the current best chemical herders in practice. PMID:26601197
Buck, Maren E.
2010-01-01
We report an approach to the fabrication of freestanding and amine-reactive thin films that is based on the reactive layer-by-layer assembly and subsequent lift-off of azlactone-containing polymer multilayers. We demonstrate that covalently crosslinked multilayers fabricated using the azlactone-functionalized polymer poly(2-vinyl-4,4-dimethylazlactone) (PVDMA) and a primary amine-containing polymer [poly(ethyleneimine) (PEI)] can be delaminated from planar glass and silicon surfaces by immersion in mildly acidic aqueous environments to yield flexible freestanding membranes. These freestanding membranes are robust and can withstand exposure to strong acid, strong base, or incubation in high ionic strength solutions that typically lead to the disruption and erosion of polymer multilayers assembled by reversible weak interactions (e.g., ‘polyelectrolyte multilayers’ assembled by electrostatic interactions or hydrogen bonding). We demonstrate further that these PEI/PVDMA assemblies contain residual reactive azlactone functionality that can be exploited to chemically modify the films (either directly after fabrication or after they have been lifted off of the substrates on which they were fabricated) using a variety of amine-functionalized small molecules. These freestanding membranes can also be transferred readily onto other objects (for example, onto the surfaces of planar substrates containing holes or pores) to fabricate suspended polymer membranes and other film-functionalized interfaces. In addition to planar, two-dimensional freestanding films, this approach can be used to fabricate and isolate three-dimensional freestanding membranes (e.g., curved films or tubes) by layer-by-layer assembly on, and subsequent lift-off from, the surfaces of topologically complex substrates (e.g., the curved ends of glass tubing, etc.). The results of this investigation, when combined, suggest the basis of methods for the fabrication of stable, chemically-reactive, and
Elahi, M. Fazley; Guan, Guoping; Wang, Lu; King, Martin W.
2014-01-01
To enhance the hemocompatibility of silk fibroin fabric as biomedical material, polyelectrolytes architectures have been assembled through the layer-by-layer (LbL) technique on silk fibroin fabric (SFF). In particular, 1.5 and 2.5 bilayer of oppositely charged polyelectrolytes were assembled onto SFF using poly(allylamine hydrochloride) (PAH) as polycationic polymer and poly(acrylic acid) (PAA) as polyanionic polymer with PAH topmost. Low molecular weight heparin (LMWH) activated with 1-ethyl-3-(dimethylaminopropyl) carbodiimide hydrochloride (EDC) and N-hydroxysuccinimide (NHS) was then immobilized on its surface. Alcian Blue staining, toluidine blue assay and X-ray photoelectron spectroscopy (XPS) confirmed the presence of heparin on modified SFF surfaces. The surface morphology of the modified silk fibroin fabric surfaces was characterized by scanning electron microscopy (SEM) and atomic force microscopy (AFM), and obtained increased roughness. Negligible hemolytic effect and a higher concentration of free hemoglobin by a kinetic clotting time test ensured the improved biological performance of the modified fibroin fabric. Overall, the deposition of 2.5 bilayer was found effective in terms of biological and surface properties of the modified fibroin fabric compared to 1.5 bilayer self-assembly technique. Therefore, this novel approach to surface modification may demonstrate long term patency in future in vivo animal trials of small diameter silk fibroin vascular grafts. PMID:28788601
USDA-ARS?s Scientific Manuscript database
A flame retardant surface has been prepared by the layer-by layer assemblies of branched polyethylenimine (BPEI), kaolin, urea, diammonium phosphate (dibasic) on cotton fabrics. Four different kinds of cotton fabrics (print cloth, mercerized print cloth, mercerized twill, and fleece) were prepared ...
Synthetic, multi-layer, self-oscillating vocal fold model fabrication.
Murray, Preston R; Thomson, Scott L
2011-12-02
Sound for the human voice is produced via flow-induced vocal fold vibration. The vocal folds consist of several layers of tissue, each with differing material properties. Normal voice production relies on healthy tissue and vocal folds, and occurs as a result of complex coupling between aerodynamic, structural dynamic, and acoustic physical phenomena. Voice disorders affect up to 7.5 million annually in the United States alone and often result in significant financial, social, and other quality-of-life difficulties. Understanding the physics of voice production has the potential to significantly benefit voice care, including clinical prevention, diagnosis, and treatment of voice disorders. Existing methods for studying voice production include in vivo experimentation using human and animal subjects, in vitro experimentation using excised larynges and synthetic models, and computational modeling. Owing to hazardous and difficult instrument access, in vivo experiments are severely limited in scope. Excised larynx experiments have the benefit of anatomical and some physiological realism, but parametric studies involving geometric and material property variables are limited. Further, they are typically only able to be vibrated for relatively short periods of time (typically on the order of minutes). Overcoming some of the limitations of excised larynx experiments, synthetic vocal fold models are emerging as a complementary tool for studying voice production. Synthetic models can be fabricated with systematic changes to geometry and material properties, allowing for the study of healthy and unhealthy human phonatory aerodynamics, structural dynamics, and acoustics. For example, they have been used to study left-right vocal fold asymmetry, clinical instrument development, laryngeal aerodynamics, vocal fold contact pressure, and subglottal acoustics (a more comprehensive list can be found in Kniesburges et al.) Existing synthetic vocal fold models, however, have either
Method of making low work function component
Robinson, Vance [Niskayuna, NY; Weaver, Stanton Earl [Northville, NY; Michael, Joseph Darryl [Delmar, NY
2011-11-15
A method for fabricating a component is disclosed. The method includes: providing a member having an effective work function of an initial value, disposing a sacrificial layer on a surface of the member, disposing a first agent within the member to obtain a predetermined concentration of the agent at said surface of the member, annealing the member, and removing the sacrificial layer to expose said surface of the member, wherein said surface has a post-process effective work function that is different from the initial value.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lin, Yuehe; Liu, Guodong; Wang, Jun
2006-06-01
Carbon nanotubes (CNTs) have emerged as new class of nanomaterials that is receiving considerable interest because of their unique structure, mechanical, and electronic properties. One promising application of CNTs is to fabricate highly sensitive chemo/biosensors.1-4 For construction of these CNT-based sensors, the CNTs first have to be modified with some molecules specific to the interests. Generally, covalent binding, affinity, and electrostatic interaction have been utilized for the modification of CNTs. Among them, the electrostatic method is attractive due to its simplicity and high efficiency. In present work, we have developed highly sensitively amperometric biosensors for glucose, choline, organophosphate pesticide (OPP)more » and nerve agents (NAs) based on electrostatically assembling enzymes on the surface of CNTs. All these biosensors were fabricated by immobilization of enzymes on the negatively charged CNTs surface through alternately assembling a cationic poly(diallydimethylammonium chloride) (PDDA) layer and an enzyme layer. Using this layer-by-layer (LBL) technique, a bioactive nanocomposite film was fabricated on the electrode surface. Owing to the electrocatalytic effect of CNTs, an amplified electrochemical signal was achieved, which leads to low detections limits for glucose, choline, and OPP and NAs.« less
Bioinspired Layer-by-Layer Microcapsules Based on Cellulose Nanofibers with Switchable Permeability.
Paulraj, Thomas; Riazanova, Anastasia V; Yao, Kun; Andersson, Richard L; Müllertz, Anette; Svagan, Anna J
2017-04-10
Green, all-polysaccharide based microcapsules with mechanically robust capsule walls and fast, stimuli-triggered, and switchable permeability behavior show great promise in applications based on selective and timed permeability. Taking a cue from nature, the build-up and composition of plant primary cell walls inspired the capsule wall assembly, because the primary cell walls in plants exhibit high mechanical properties despite being in a highly hydrated state, primarily owing to cellulose microfibrils. The microcapsules (16 ± 4 μm in diameter) were fabricated using the layer-by-layer technique on sacrificial CaCO 3 templates, using plant polysaccharides (pectin, cellulose nanofibers, and xyloglucan) only. In water, the capsule wall was permeable to labeled dextrans with a hydrodynamic diameter of ∼6.6 nm. Upon exposure to NaCl, the porosity of the capsule wall quickly changed allowing larger molecules (∼12 nm) to permeate. However, the porosity could be restored to its original state by removal of NaCl, by which permeants became trapped inside the capsule's core. The high integrity of cell wall was due to the CNF and the ON/OFF alteration of the permeability properties, and subsequent loading/unloading of molecules, could be repeated several times with the same capsule demonstrating a robust microcontainer with controllable permeability properties.
Podsiadlo, Paul; Kaushik, Amit K; Shim, Bong Sup; Agarwal, Ashish; Tang, Zhiyong; Waas, Anthony M; Arruda, Ellen M; Kotov, Nicholas A
2008-11-20
The preparation of a high-strength and highly transparent nacre-like nanocomposite via layer-by-layer assembly technique from poly(vinyl alcohol) (PVA) and Na+-montmorillonite clay nanosheets is reported in this article. We show that a high density of weak bonding interactions between the polymer and the clay particles: hydrogen, dipole-induced dipole, and van der Waals undergoing break-reform deformations, can lead to high strength nanocomposites: sigmaUTS approximately 150 MPa and E' approximately 13 GPa. Further introduction of ionic bonds into the polymeric matrix creates a double network of sacrificial bonds which dramatically increases the mechanical properties: sigmaUTS approximately 320 MPa and E' approximately 60 GPa.
NASA Astrophysics Data System (ADS)
Hock, Vincent F.; Noble, Michael; McLeod, Malcolm E.
1994-07-01
The Army currently operates and maintains more than 20,000 underground storage tanks and over 3000 miles of underground gas pipelines, all of which require some form of corrosion control. Cathodic protection is one method of corrosion control used to prevent corrosion-induced leaks when a steel structure is exposed to an aggressive soil. The corrosion control acceptance criteria for sacrificial anode type CP systems provides guidelines for the DEH/DPW cathodic protection installation inspectors whose responsibilities are to ensure that the materials and equipment specified are delivered to the job site and subsequently installed in accordance with the engineering drawings and specifications. The sacrificial anode CP acceptance criteria includes all components for the sacrificial anode system such as insulated conductors, anodes, anode backfills, and auxiliary equipment. The sacrificial anode CP acceptance criteria is composed of a checklist that lists each component and that contains a space for the inspector to either check 'yes' or 'no' to indicate whether the component complies with the job specifications. In some cases, the inspector must measure and record physical dimensions or electrical output and compare the measurements to standards shown in attached tables.
Production of a textile reinforced concrete protective layers with non-woven polypropylene fabric
NASA Astrophysics Data System (ADS)
Žák, J.; Štemberk, P.; Vodička, J.
2017-09-01
Textile concrete with nonwoven polypropylene fabric can be used for protective layers of reinforced concrete structures, reducing the thickness of the cover layer or reducing the water penetration rate into the structure. The material consists of cement matrix with finegrained aggregate and nonwoven textile reinforcement. The maximum grain size of the mixture suitable for the nonwoven textile infiltration is 0.25 mm. The interlayer contains larger aggregates and short fibers. Tensile loading causes a large amount of microcracks in the material. The material can withstand strain over 25% without collapsing. Increased quality and water-cement ratio reduction was achieved using the plasticizers and distribution of the mixture into a fabric using a vibrating trowel. It is possible to make flat plates and even curved structures from this material. Larger curvatures of structures should be solved by cutting and overlapping the fabric. Small curvatures can be solved within the deformability of the fabric. Proper infiltration of the cement mixture into the fabric is the most important task in producing this material.
NASA Astrophysics Data System (ADS)
Jang, Wonjun; Chung, Il Jun; Kim, Junwoo; Seo, Seongmin; Park, Yong Tae; Choi, Kyungwho
2018-05-01
In this study, thin films containing poly(vinyl alcohol) (PVA) and graphene nanoplatelets (GNPs), stabilized with poly(4-styrene-sulfonic acid) (PSS), were assembled by a simple and cost-effective layer-by-layer (LbL) technique in order to introduce the anti-flammability to cotton. These antiflammable layers were characterized by using UV-vis spectrometry and quartz crystal microbalance as a function of the number of bilayers deposited. Scanning electron microscopy was used to visualize the morphology of the thin film coatings on the cotton fabric. The graphene-polymer thin films introduced anti-flammable properties through thermally stable carbonaceous layers at a high temperature. The thermal stability and flame retardant property of graphene-coated cotton was demonstrated by thermogravimetric analysis, cone calorimetry, and vertical flame test. The results indicate that LbL-assembled graphene-polymer thin films can be applied largely in the field of flame retardant.
NASA Astrophysics Data System (ADS)
Chang, Hung-Pin; Qian, Jiangyuan; Bachman, Mark; Congdon, Philip; Li, Guann-pyng
2002-07-01
A novel planarization technique, compressive molding planarization (CMP) is developed for implementation of a multi-layered micro coil device. Applying CMP and other micromachining techniques, a multi-layered micro coil device has been designed and fabricated, and its use in the magnetic micro actuators for hard disk drive applications has been demonstrated, showing that it can produce milli-Newton of magnetic force suitable for driving a micro actuator. The novel CMP technique can be equally applicable in other MEMS devices fabrication to ease the process integration for the complicated structure.
Development of sacrificial support fixture using deflection analysis
NASA Astrophysics Data System (ADS)
Ramteke, Ashwini M.; Ashtankar, Kishor M.
2018-04-01
Sacrificial support fixtures are the structures used to hold the part during machining while rotating the part about the fourth axis of CNC machining. In Four axis CNC machining part is held in a indexer which is rotated about the fourth axis of rotation. So using traditional fixturing devices to hold the part during machining such as jigs, v blocks and clamping plates needs a several set ups, manufacturing time which increase the cost associated with it. Since the part is rotated about the axis of rotation in four axis CNC machining so using traditional fixturing devices to hold the part while machining we need to reorient the fixture each time for particular orientation of part about the axis of rotation. So our proposed methodology of fixture design eliminates the cost associate with the complicated fixture design for customized parts which in turn reduces the time of manufacturing of the fixtures. But while designing the layout of the fixtures it is found out that the machining the part using four axis CNC machining the accurate machining of the part is directly proportional to the deflection produced in a part. So to machine an accurate part the deflection produced in a part should be minimum. We assume that the deflection produced in a part is a result of the deflection produced in a sacrificial support fixture while machining. So this paper provides the study of the deflection checking in a part machined using sacrificial support fixture by using FEA analysis.
Fabrication of nanocapsule carriers from multilayer-coated vaterite calcium carbonate nanoparticles.
Biswas, Aniket; Nagaraja, Ashvin T; McShane, Michael J
2014-12-10
Nanosized luminescent sensors were prepared as reagents for optical sensing and imaging of oxygen using ratiometric emission properties of a two-dye system. Polymeric capsules were fabricated utilizing poly(vinylsulfonic acid) (PVSA)-stabilized vaterite CaCO3 nanoparticles (CCNPs) as sacrificial templates. The buffer and polymeric surfactant requirements of the layer-by-layer (LbL) process were evaluated toward deposition of multilayer coatings and, ultimately, formation of hollow capsules using these interesting materials. CCNPs were found to be more stable in alkaline NaHCO3 buffer after repeated cycles of washing under sonication and resuspension. An intermediate PVSA concentration was required to maximize the loading of oxygen-sensitive porphyrin and oxygen-insensitive fluorescent nanoparticles in the CCNPs while maintaining minimal nanoparticle size. The CCNPs were then coated with polyelectrolyte multilayers and subsequent removal of the CaCO3 core yielded nanocapsules containing dye and fluorescent nanoparticles. The resulting nanocapsules with encapsulated luminophores functioned effectively as oxygen sensors with a quenching response of 89.28 ± 2.59%, and O2 (S = 1/2) = 20.91 μM of dissolved oxygen.
MacRae, Braid A; Laing, Raechel M; Wilson, Cheryl A; Niven, Brian E
2014-01-01
How garments contribute to performance of the clothing system during wear is of interest, as is understanding the value of using fabric properties to inform end-use characteristics. To investigate the influences of layering upper-body garments, four fabrics were used to construct two first-layer garments (wool and polyester) and two outer-layer garments (wool and membrane laminate). Over six sessions, 10 moderately trained males wore each first-layer garment as a single layer and in combination with each outer-layer garment while resting, running and walking in cold environmental conditions (8 ± 1°C, 81 ± 4% RH). Here, the type of garment arrangement worn (fabric type or number of layers) had little influence on heart rate, core body temperature and change in body mass. Weighted mean covered skin temperature was warmer and weighted mean next-to-skin vapour pressure was typically higher (following the onset of exercise) with two layers versus one. Differences among fabrics for individual properties were typically overstated compared to differences among corresponding garments for physiological and psychophysical variables under the conditions of this study. These findings inform the interpretation of particular fabric properties and highlight issues to be acknowledged during development/refinement of fabric test methods. We examined the way in which selected fibre, fabric and garment (layering) characteristics contribute to performance of the clothing system during wear under cold conditions. Selected properties of the constituent fabrics were found to provide limited insight into how garments perform during wear under the conditions of this study.
NASA Astrophysics Data System (ADS)
Wang, Zhong; Zhao, Shujun; Kang, Haijiao; Zhang, Wei; Zhang, Shifeng; Li, Jianzhang
2018-03-01
Achieving flexible and stretchable biobased nanocomposites combining high strength and toughness is still a very challenging endeavor. Herein, we described a novel and versatile biomimetic design for tough and high-performance TEMPO-oxidized nanofibrillated cellulose (TONFC)/soy protein isolate (SPI) nanocomposites, which are triggered by catechol-mimetic carbon nanotubes (PCT) and iron ions (Fe(III)) to yield a strong yet sacrificial metal-ligand motifs into a chemically cross-linked architecture network. Taking advantage of self-polymerization of catechol-inspired natural tannic acid, PCT nanohybrid was prepared through adhering reactive poly-(tannic acid) (PTA) layer onto surfaces of carbon nanotubes via a simple dip-coating process. The high-functionality PCT induced the formation of the metal-ligand bonds through the ionic coordinates between the catechol groups in PCT and -COOH groups of TONFC skeleton with Fe(III) mediation that mimicked mussel byssus. Upon stretching, this tailored TONFC-Fe(III)-catechol coordination bonds served as sacrificial bonds that preferentially detach prior to the covalent network, which gave rise to efficient energy dissipation that the nanocomposites integrity was survived. As a result of these kind of synergistic interfacial interactions (sacrificial and covalent bonding), the optimal nanocomposite films processed high tensile strength (ca. 11.5 MPa), large elongation (ca. 79.3%), remarkable toughness (ca. 6.9 MJ m-3), and favorable water resistance as well as electrical conductivity. The proposed bioinspired strategy for designing plant protein-based materials enables control over their mechanical performance through the synergistic engineering of sacrificial bonds into the composite interface.
Fabrication and Characterization of CMOS-MEMS Thermoelectric Micro Generators
Kao, Pin-Hsu; Shih, Po-Jen; Dai, Ching-Liang; Liu, Mao-Chen
2010-01-01
This work presents a thermoelectric micro generator fabricated by the commercial 0.35 μm complementary metal oxide semiconductor (CMOS) process and the post-CMOS process. The micro generator is composed of 24 thermocouples in series. Each thermocouple is constructed by p-type and n-type polysilicon strips. The output power of the generator depends on the temperature difference between the hot and cold parts in the thermocouples. In order to prevent heat-receiving in the cold part in the thermocouples, the cold part is covered with a silicon dioxide layer with low thermal conductivity to insulate the heat source. The hot part of the thermocouples is suspended and connected to an aluminum plate, to increases the heat-receiving area in the hot part. The generator requires a post-CMOS process to release the suspended structures. The post-CMOS process uses an anisotropic dry etching to remove the oxide sacrificial layer and an isotropic dry etching to etch the silicon substrate. Experimental results show that the micro generator has an output voltage of 67 μV at the temperature difference of 1 K. PMID:22205869
Hemoglobin protein hollow shells fabricated through covalent layer-by-layer technique
DOE Office of Scientific and Technical Information (OSTI.GOV)
Duan Li; He Qiang; Max Planck Institute of Colloids and Interfaces, Golm/Potsdam D-14476
2007-03-09
Hemoglobin (Hb) protein microcapsules held together by cross-linker, glutaraldehyde (GA), were successfully fabricated by covalent layer-by-layer (LbL) technique. The Schiff base reaction occurred on the colloid templates between the aldehyde groups of GA and free amino sites of Hb results in the formation of GA/Hb microcapsules after the removal of the templates. The structure of obtained monodisperse protein microcapsule was characterized by transmission electron microscopy (TEM) and confocal laser scanning microscopy (CLSM). The UV-Vis spectra measurements demonstrate the existence of Hb in the assembled capsules. Cyclic voltammetry (CV) and potential-controlled amperometric measurements (I-t curve) confirm that hemoglobin microcapsules after fabricationmore » remain their heme electroactivity. Moreover, direct electron transfer process from protein to electrode surface was performed to detect the heme electrochemistry without using any mediator or promoter. The experiments of fluorescence recovery after photobleaching (FRAP) by CLSM demonstrate that the hemoglobin protein microcapsules have an improved permeability comparing to the conventional polyelectrolyte microcapsules.« less
McKee, Jason R; Huokuna, Johannes; Martikainen, Lahja; Karesoja, Mikko; Nykänen, Antti; Kontturi, Eero; Tenhu, Heikki; Ruokolainen, Janne; Ikkala, Olli
2014-05-12
Even though nanocomposites have provided a plethora of routes to increase stiffness and strength, achieving increased toughness with suppressed catastrophic crack growth has remained more challenging. Inspired by the concepts of mechanically excellent natural nanomaterials, one-component nanocomposites were fabricated involving reinforcing colloidal nanorod cores with polymeric grafts containing supramolecular binding units. The concept is based on mechanically strong native cellulose nanocrystals (CNC) grafted with glassy polymethacrylate polymers, with side chains that contain 2-ureido-4[1H]-pyrimidone (UPy) pendant groups. The interdigitation of the grafts and the ensuing UPy hydrogen bonds bind the nanocomposite network together. Under stress, UPy groups act as sacrificial bonds: simultaneously providing adhesion between the CNCs while allowing them to first orient and then gradually slide past each other, thus dissipating fracture energy. We propose that this architecture involving supramolecular binding units within side chains of polymer grafts attached to colloidal reinforcements opens generic approaches for tough nanocomposites. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Song, Yan; Wu, Weijie; Xie, Feng; Liu, Yilun; Wang, Tiejun
2017-01-01
Residual stress arisen in fabrication process of Double-Ceramic-Layer Thermal Barrier Coating System (DCL-TBCs) has a significant effect on its quality and reliability. In this work, based on the practical fabrication process of DCL-TBCs and the force and moment equilibrium, a theoretical model was proposed at first to predict residual stress generation in its fabrication process, in which the temperature dependent material properties of DCL-TBCs were incorporated. Then, a Finite Element method (FEM) has been carried out to verify our theoretical model. Afterwards, some important geometric parameters for DCL-TBCs, such as the thickness ratio of stabilized Zirconia (YSZ, ZrO2-8%Y2O3) layer to Lanthanum Zirconate (LZ, La2Zr2O7) layer, which is adjustable in a wide range in the fabrication process, have a remarkable effect on its performance, therefore, the effect of this thickness ratio on residual stress generation in the fabrication process of DCL-TBCs has been systematically studied. In addition, some thermal spray treatment, such as the pre-heating treatment, its effect on residual stress generation has also been studied in this work. It is found that, the final residual stress mainly comes from the cooling down process in the fabrication of DCL-TBCs. Increasing the pre-heating temperature can obviously decrease the magnitude of residual stresses in LZ layer, YSZ layer and substrate. With the increase of the thickness ratio of YSZ layer to LZ layer, magnitudes of residual stresses arisen in LZ layer and YSZ layer will increase while residual stress in substrate will decrease.
Superconducting Vacuum-Gap Crossovers for High Performance Microwave Applications
NASA Technical Reports Server (NTRS)
Denis, Kevin L.; Brown, Ari D.; Chang, Meng-Ping; Hu, Ron; U-Yen, Kongpop; Wollack, Edward J.
2016-01-01
The design and fabrication of low-loss wide-bandwidth superconducting vacuum-gap crossovers for high performance millimeter wave applications are described. In order to reduce ohmic and parasitic losses at millimeter wavelengths a vacuum gap is preferred relative to dielectric spacer. Here, vacuum-gap crossovers were realized by using a sacrificial polymer layer followed by niobium sputter deposition optimized for coating coverage over an underlying niobium signal layer. Both coplanar waveguide and microstrip crossover topologies have been explored in detail. The resulting fabrication process is compatible with a bulk micro-machining process for realizing waveguide coupled detectors, which includes sacrificial wax bonding, and wafer backside deep reactive ion etching for creation of leg isolated silicon membrane structures. Release of the vacuum gap structures along with the wax bonded wafer after DRIE is implemented in the same process step used to complete the detector fabrication. ?
Toughening elastomers with sacrificial bonds and watching them break.
Ducrot, Etienne; Chen, Yulan; Bulters, Markus; Sijbesma, Rint P; Creton, Costantino
2014-04-11
Elastomers are widely used because of their large-strain reversible deformability. Most unfilled elastomers suffer from a poor mechanical strength, which limits their use. Using sacrificial bonds, we show how brittle, unfilled elastomers can be strongly reinforced in stiffness and toughness (up to 4 megapascals and 9 kilojoules per square meter) by introducing a variable proportion of isotropically prestretched chains that can break and dissipate energy before the material fails. Chemoluminescent cross-linking molecules, which emit light as they break, map in real time where and when many of these internal bonds break ahead of a propagating crack. The simple methodology that we use to introduce sacrificial bonds, combined with the mapping of where bonds break, has the potential to stimulate the development of new classes of unfilled tough elastomers and better molecular models of the fracture of soft materials.
Bu, Jiyoon; Kim, Young Jun; Kang, Yoon-Tae; Lee, Tae Hee; Kim, Jeongsuk; Cho, Young-Ho; Han, Sae-Won
2017-05-01
The metastasis of cancer is strongly associated with the spread of circulating tumor cells (CTCs). Based on the microfluidic devices, which offer rapid recovery of CTCs, a number of studies have demonstrated the potential of CTCs as a diagnostic tool. However, not only the insufficient specificity and sensitivity derived from the rarity and heterogeneity of CTCs, but also the high-cost fabrication processes limit the use of CTC-based medical devices in commercial. Here, we present a low-cost fabric sheet layers for CTC isolation, which are composed of polyester monofilament yarns. Fabric sheet layers are easily functionalized with graphene oxide (GO), which is beneficial for improving both sensitivity and specificity. The GO modification to the low-cost fabrics enhances the binding of anti-EpCAM antibodies, resulting in 10-25% increase of capture efficiency compared to the surface without GO (anti-EpCAM antibodies directly onto the fabric sheets), while achieving high purity by isolating only 50-300 leukocytes in 1 mL of human blood. We investigated CTCs in ten human blood samples and successfully isolated 4-42 CTCs/mL from cancer patients, while none of cancerous cells were found among healthy donors. This remarkable results show the feasibility of GO-functionalized fabric sheet layers to be used in various CTC-based clinical applications, with high sensitivity and selectivity. Copyright © 2017 Elsevier Ltd. All rights reserved.
Dellacasa, Elena; Zhao, Li; Yang, Gesheng; Pastorino, Laura; Sukhorukov, Gleb B
2016-01-01
The enantiomers poly(D-lactic acid) (PDLA) and poly(L-lactic acid) (PLLA) were alternately adsorbed directly on calcium carbonate (CaCO3) templates and on poly(styrene sulfonate) (PSS) and poly(allylamine hydrochloride) (PAH) multilayer precursors in order to fabricate a novel layer-by-layer (LBL) assembly. A single layer of poly(L-lysine) (PLL) was used as a linker between the (PDLA/PLLA) n stereocomplex and the cores with and without the polymeric (PSS/PAH) n /PLL multilayer precursor (PEM). Nuclear magnetic resonance (NMR) and gel permeation chromatography (GPC) were used to characterize the chemical composition and molecular weight of poly(lactic acid) polymers. Both multilayer structures, with and without polymeric precursor, were firstly fabricated and characterized on planar supports. A quartz crystal microbalance (QCM), attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) and ellipsometry were used to evaluate the thickness and mass of the multilayers. Then, hollow, spherical microcapsules were obtained by the removal of the CaCO3 sacrificial template. The chemical composition of the obtained microcapsules was confirmed by differential scanning calorimetry (DSC) and wide X-ray diffraction (WXRD) analyses. The microcapsule morphology was evaluated by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) measurements. The experimental results confirm the successful fabrication of this innovative system, and its full biocompatibility makes it worthy of further characterization as a promising drug carrier for sustained release.
Effectiveness of sacrificial anodes in high-resistivity shotcrete repairs.
DOT National Transportation Integrated Search
2005-01-01
This study investigated the use of discrete sacrificial anodes to improve the durability and extend the life of a shotcrete patch repair in a column. Three columns were used in the investigation. In two columns, anodes were placed around the perimete...
Song, Yan; Wu, Weijie; Xie, Feng; Liu, Yilun; Wang, Tiejun
2017-01-01
Residual stress arisen in fabrication process of Double-Ceramic-Layer Thermal Barrier Coating System (DCL-TBCs) has a significant effect on its quality and reliability. In this work, based on the practical fabrication process of DCL-TBCs and the force and moment equilibrium, a theoretical model was proposed at first to predict residual stress generation in its fabrication process, in which the temperature dependent material properties of DCL-TBCs were incorporated. Then, a Finite Element method (FEM) has been carried out to verify our theoretical model. Afterwards, some important geometric parameters for DCL-TBCs, such as the thickness ratio of stabilized Zirconia (YSZ, ZrO2-8%Y2O3) layer to Lanthanum Zirconate (LZ, La2Zr2O7) layer, which is adjustable in a wide range in the fabrication process, have a remarkable effect on its performance, therefore, the effect of this thickness ratio on residual stress generation in the fabrication process of DCL-TBCs has been systematically studied. In addition, some thermal spray treatment, such as the pre-heating treatment, its effect on residual stress generation has also been studied in this work. It is found that, the final residual stress mainly comes from the cooling down process in the fabrication of DCL-TBCs. Increasing the pre-heating temperature can obviously decrease the magnitude of residual stresses in LZ layer, YSZ layer and substrate. With the increase of the thickness ratio of YSZ layer to LZ layer, magnitudes of residual stresses arisen in LZ layer and YSZ layer will increase while residual stress in substrate will decrease. PMID:28103275
Fabric circuits and method of manufacturing fabric circuits
NASA Technical Reports Server (NTRS)
Chu, Andrew W. (Inventor); Dobbins, Justin A. (Inventor); Scully, Robert C. (Inventor); Trevino, Robert C. (Inventor); Lin, Greg Y. (Inventor); Fink, Patrick W. (Inventor)
2011-01-01
A flexible, fabric-based circuit comprises a non-conductive flexible layer of fabric and a conductive flexible layer of fabric adjacent thereto. A non-conductive thread, an adhesive, and/or other means may be used for attaching the conductive layer to the non-conductive layer. In some embodiments, the layers are attached by a computer-driven embroidery machine at pre-determined portions or locations in accordance with a pre-determined attachment layout before automated cutting. In some other embodiments, an automated milling machine or a computer-driven laser using a pre-designed circuit trace as a template cuts the conductive layer so as to separate an undesired portion of the conductive layer from a desired portion of the conductive layer. Additional layers of conductive fabric may be attached in some embodiments to form a multi-layer construct.
NASA Astrophysics Data System (ADS)
Abtew, M. A.; Loghin, C.; Cristian, I.; Boussu, F.; Bruniaux, P.; Chen, Y.; Wang, L.
2018-06-01
In today’s scenario for the various technical applications, from composites to body armour, the material mouldability along with its mechanical property become very important. In the present study, two dimensional (2D) woven fabrics made of para-aramid high performance fibres in multi-layer dry structure were used for investigating different forming characteristics. The different layers were arranged with 0°/90° orientation for deep drawing formability test to analyse the effect of number of layers and blank-holder pressure (BHP) during the test. Specific preforming device with low speed forming process and predefined hemispherical shape of punch has been applied. Using fine photographic analysis, some important 2D multi-layer fabrics forming characteristics i.e., material drawing-in, surface shear angle etc. from the imposed deformation have been observed, measured and analysed for better understanding and co MPa rison. The result revealed that the mouldability behaviour of the multi-layered dry textile fabric preforms is directional, and closely dependent on blank-holding pressure and number of layers. This indicates both parameters should be carefully considered while material deformation to avoid the formation of wrinkling and maintain other mechanical properties on final application.
NASA Astrophysics Data System (ADS)
Cong, Jiaojiao; Chen, Yuze; Luo, Jing; Liu, Xiaoya
2014-10-01
A novel graphene/polyaniline composite multilayer film was fabricated by electrostatic interactions induced layer-by-layer self-assembly technique, using water dispersible and negatively charged chemically converted graphene (CCG) and positively charged polyaniline (PANI) as building blocks. CCG was achieved through partly reduced graphene oxide, which remained carboxyl group on its surface. The remaining carboxyl groups not only retain the dispersibility of CCG, but also allow the growth of the multilayer films via electrostatic interactions between graphene and PANI. The structure and morphology of the obtained CCG/PANI multilayer film are characterized by attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy, Ultraviolet-visible absorption spectrum (UV-vis), scanning electron microscopy (SEM), Raman spectroscopy and X-Ray Diffraction (XRD). The electrochemical properties of the resulting film are studied using cyclic voltammetry (CV), which showed that the resulting CCG/PANI multilayer film kept electroactivity in neutral solution and showed outstanding cyclic stability up to 100 cycles. Furthermore, the composite film exhibited good electrocatalytic ability toward ascorbic acid (AA) with a linear response from 1×10-4 to 1.2×10-3 M with the detect limit of 5×10-6 M. This study provides a facile and effective strategy to fabricate graphene/PANI nanocomposite film with good electrochemical property, which may find potential applications in electronic devices such as electrochemical sensor.
Fabrication of high gradient insulators by stack compression
Harris, John Richardson; Sanders, Dave; Hawkins, Steven Anthony; Norona, Marcelo
2014-04-29
Individual layers of a high gradient insulator (HGI) are first pre-cut to their final dimensions. The pre-cut layers are then stacked to form an assembly that is subsequently pressed into an HGI unit with the desired dimension. The individual layers are stacked, and alignment is maintained, using a sacrificial alignment tube that is removed after the stack is hot pressed. The HGI's are used as high voltage vacuum insulators in energy storage and transmission structures or devices, e.g. in particle accelerators and pulsed power systems.
A novel method of fabricating laminated silicone stack actuators with pre-strained dielectric layers
NASA Astrophysics Data System (ADS)
Hinitt, Andrew D.; Conn, Andrew T.
2014-03-01
In recent studies, stack based Dielectric Elastomer Actuators (DEAs) have been successfully used in haptic feedback and sensing applications. However, limitations in the fabrication method, and materials used to con- struct stack actuators constrain their force and displacement output per unit volume. This paper focuses on a fabrication process enabling a stacked elastomer actuator to withstand the high tensile forces needed for high power applications, such as mimetics for mammalian muscle contraction (i.e prostheses), whilst requiring low voltage for thickness-mode contractile actuation. Spun elastomer layers are bonded together in a pre-strained state using a conductive adhesive filler, forming a Laminated Inter-Penetrating Network (L-IPN) with repeatable and uniform electrode thickness. The resulting structure utilises the stored strain energy of the dielectric elas- tomer to compress the cured electrode composite material. The method is used to fabricate an L-IPN example, which demonstrated that the bonded L-IPN has high tensile strength normal to the lamination. Additionally, the uniformity and retained dielectric layer pre-strain of the L-IPN are confirmed. The described method is envisaged to be used in a semi-automated assembly of large-scale multi-layer stacks of pre-strained dielectric layers possessing a tensile strength in the range generated by mammalian muscle.
Fabrication of AlN/BN bishell hollow nanofibers by electrospinning and atomic layer deposition
DOE Office of Scientific and Technical Information (OSTI.GOV)
Haider, Ali; Kayaci, Fatma; Uyar, Tamer
2014-09-01
Aluminum nitride (AlN)/boron nitride (BN) bishell hollow nanofibers (HNFs) have been fabricated by successive atomic layer deposition (ALD) of AlN and sequential chemical vapor deposition (CVD) of BN on electrospun polymeric nanofibrous template. A four-step fabrication process was utilized: (i) fabrication of polymeric (nylon 6,6) nanofibers via electrospinning, (ii) hollow cathode plasma-assisted ALD of AlN at 100 °C onto electrospun polymeric nanofibers, (iii) calcination at 500 °C for 2 h in order to remove the polymeric template, and (iv) sequential CVD growth of BN at 450 °C. AlN/BN HNFs have been characterized for their chemical composition, surface morphology, crystal structure, and internal nanostructuremore » using X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, energy dispersive X-ray spectroscopy, and selected area electron diffraction. Measurements confirmed the presence of crystalline hexagonal BN and AlN within the three dimensional (3D) network of bishell HNFs with relatively low impurity content. In contrast to the smooth surface of the inner AlN layer, outer BN coating showed a highly rough 3D morphology in the form of BN nano-needle crystallites. It is shown that the combination of electrospinning and plasma-assisted low-temperature ALD/CVD can produce highly controlled multi-layered bishell nitride ceramic hollow nanostructures. While electrospinning enables easy fabrication of nanofibrous template, self-limiting reactions of plasma-assisted ALD and sequential CVD provide control over the wall thicknesses of AlN and BN layers with sub-nanometer accuracy.« less
Reliable fabrication of plasmonic nanostructures without an adhesion layer using dry lift-off
NASA Astrophysics Data System (ADS)
Chen, Yiqin; Li, Zhiqin; Xiang, Quan; Wang, Yasi; Zhang, Zhiqiang; Duan, Huigao
2015-10-01
Lift-off is the most commonly used pattern-transfer method to define lithographic plasmonic metal nanostructures. A typical lift-off process is realized by dissolving patterned resists in solutions, which has the limits of low yield when not using adhesion layers and incompatibility with the fabrication of some specific structures and devices. In this work, we report an alternative ‘dry’ lift-off process to obtain metallic nanostructures via mechanical stripping by using the advantage of poor adhesion between resists and noble metal films. We show that this dry stripping lift-off method is effective for both positive- and negative-tone resists to fabricate sparse and densely-packed plasmonic nanostructures, respectively. In particular, this method is achieved without using an adhesion layer, which enables the mitigation of plasmon damping to obtain larger field enhancement. Dark-field scattering, one-photon luminescence and surface-enhanced Raman scattering measurements were performed to demonstrate the improved quality factor of the plasmonic nanostructures fabricated by this dry lift-off process.
Kahane, Guy
2015-01-01
Research into moral decision-making has been dominated by sacrificial dilemmas where, in order to save several lives, it is necessary to sacrifice the life of another person. It is widely assumed that these dilemmas draw a sharp contrast between utilitarian and deontological approaches to morality, and thereby enable us to study the psychological and neural basis of utilitarian judgment. However, it has been previously shown that some sacrificial dilemmas fail to present a genuine contrast between utilitarian and deontological options. Here, I raise deeper problems for this research paradigm. Even when sacrificial dilemmas present a contrast between utilitarian and deontological options at a philosophical level, it is misleading to interpret the responses of ordinary folk in these terms. What is currently classified as "utilitarian judgment" does not in fact share essential features of a genuine utilitarian outlook, and is better explained in terms of commonsensical moral notions. When subjects deliberate about such dilemmas, they are not deciding between opposing utilitarian and deontological solutions, but engaging in a richer process of weighing opposing moral reasons. Sacrificial dilemmas therefore tell us little about utilitarian decision-making. An alternative approach to studying proto-utilitarian tendencies in everyday moral thinking is proposed.
Rosas, Alejandro; Viciana, Hugo; Caviedes, Esteban; Arciniegas, Alejandra
2018-04-18
Research on moral judgment with moral dilemmas suggests that "utilitarian" responses (UR) to sacrificial high-conflict dilemmas are due to decreased harm aversion, not only in individuals with clinical conditions, but also in healthy participants with high scores in antisocial personality traits. We investigated the patterns of responses to different dilemma types in healthy participants and present evidence that some URs to sacrificial dilemmas are morally motivated, as indicated by their empathic concern (EC) or primary psychopathy (PP) scores. In study 1 (N = 230) we tested students with four categories of sacrificial dilemmas featuring innocent victims. In study 2 (N = 590) we tested students with two categories of sacrificial dilemmas and two "real-world" moral dilemmas, where the agent can improve the lot of strangers by making a personal sacrifice. Results in both studies showed no decreased harm aversion in a pattern where the only UR is to the sacrificial dilemma where the number of saved people is very high, and significantly lower harm aversion only in the pattern of all-deontological respondents in Study 2. The analysis by response patterns allowed a better discrimination of the moral motivations of participants and showed that at least some of them express moral concerns in their URs.
Fabrication of three-dimensional collagen scaffold using an inverse mould-leaching process.
Ahn, SeungHyun; Lee, SuYeon; Cho, Youngseok; Chun, Wook; Kim, GeunHyung
2011-09-01
Natural biopolymers, such as collagen or chitosan, are considered ideal for biomedical scaffolds. However, low processability of the materials has hindered the fabrication of designed pore structures controlled by various solid freeform-fabrication methods. A new technique to fabricate a biomedical three-dimensional collagen scaffold, supplemented with a sacrificial poly(ethylene oxide) mould is proposed. The fabricated collagen scaffold shows a highly porous surface and a three-dimensional structure with high porosity as well as mechanically stable structure. To show its feasibility for biomedical applications, fibroblasts/keratinocytes were co-cultured on the scaffold, and the cell proliferation and cell migration of the scaffold was more favorable than that obtained with a spongy-type collagen scaffold.
Kim, Raeyoung; Nam, Yoonkey
2015-04-01
Platinum black (PtBK) has long been used for microelectrode fabrication owing to its high recording performance of neural signals. The porous structure of PtBK enlarges the surface area and lowers the impedance, which results in background noise reduction. However, the brittleness of PtBK has been a problem in practice. In this work, we report mechanically stable PtBK microelectrodes using a bioinspired adhesive film, polydopamine (pDA), while maintaining the low impedance of PtBK. The pDA layer was incorporated into the PtBK structure through electrochemical layer-by-layer deposition. Varying the number of layers and the order of materials, multi-layered pDA-PtBK hybrids were fabricated and the electrical properties, both impedance and charge injection limit, were evaluated. Multilayered pDA-PtBK hybrids had electrical impedances as low as PtBK controls and charge injection limit twice larger than controls. For the 30 min-ultrasonication agitation test, impedance levels rarely changed for some of the pDA-PtBK hybrids indicating that the pDA improved the mechanical property of the PtBK structures. The pDA-PtBK hybrid microelectrodes readily recorded neural signals of cultured hippocampal neurons, where background noise levels and signal-to-noise were 2.43 ∼ 3.23 μVrms and 28.4 ∼ 69.1, respectively. The developed pDA-PtBK hybrid microelectrodes are expected to be applicable to neural sensors for neural prosthetic studies.
NASA Astrophysics Data System (ADS)
Kim, Raeyoung; Nam, Yoonkey
2015-04-01
Objective. Platinum black (PtBK) has long been used for microelectrode fabrication owing to its high recording performance of neural signals. The porous structure of PtBK enlarges the surface area and lowers the impedance, which results in background noise reduction. However, the brittleness of PtBK has been a problem in practice. In this work, we report mechanically stable PtBK microelectrodes using a bioinspired adhesive film, polydopamine (pDA), while maintaining the low impedance of PtBK. Approach. The pDA layer was incorporated into the PtBK structure through electrochemical layer-by-layer deposition. Varying the number of layers and the order of materials, multi-layered pDA-PtBK hybrids were fabricated and the electrical properties, both impedance and charge injection limit, were evaluated. Main results. Multilayered pDA-PtBK hybrids had electrical impedances as low as PtBK controls and charge injection limit twice larger than controls. For the 30 min-ultrasonication agitation test, impedance levels rarely changed for some of the pDA-PtBK hybrids indicating that the pDA improved the mechanical property of the PtBK structures. The pDA-PtBK hybrid microelectrodes readily recorded neural signals of cultured hippocampal neurons, where background noise levels and signal-to-noise were 2.43 ∼ 3.23 μVrms and 28.4 ∼ 69.1, respectively. Significance. The developed pDA-PtBK hybrid microelectrodes are expected to be applicable to neural sensors for neural prosthetic studies.
NASA Astrophysics Data System (ADS)
Khazaka, Rami; Michaud, Jean François; Vennéguès, Philippe; Alquier, Daniel; Portail, Marc
2017-02-01
In this contribution, we present a method to form free-standing cubic silicon carbide (3C-SiC) membranes in-situ during the growth stage. To do so, we exploit the presence of voids in the silicon (Si) epilayer underneath the 3C-SiC membrane, in stark contrast to the conventional view of voids as defects. The shape and the size of the 3C-SiC membranes can be controlled by a preceding patterning step of the Si epilayer. Afterwards, by controlling the expansion of voids in Si, the structured sacrificial layer is consumed during the 3C-SiC growth step. Consequently, the membranes are grown and released simultaneously in a single step process. This straightforward technique is expected to markedly simplify the fabrication process of membranes by reducing the fabrication duration and cost. Furthermore, it helps to overcome several technical issues and presents the cornerstone for micro and nano-electromechanical systems applications, profiting from the outstanding properties of cubic silicon carbide.
Raoufi, Mohammad; Schönherr, Holger
2014-02-18
We report on the fabrication of unprecedented free-standing complex polymeric nanoobjects, which possess both concave and convex curvatures, by exploiting the layer-by-layer (LBL) deposition of polyelectrolytes. In a combined top-down/bottom-up replication approach pore diameter-modulated anodic aluminum oxide (AAO) templates, fabricated by temperature modulation hard anodization (TMHA), were replicated with multilayers of poly(styrene sulfonate) (PSS) and poly(allylamine hydrochloride) (PAH) to yield open nanotubes with diameters in the wide and narrow segments of 210 and 150 nm, respectively. To obtain stable pore diameter-modulated nanopores, which possess segment lengths between 1 and 5 μm and 5 and 10 μm in the narrow and wide pore portion, respectively, conventional hard anodization of aluminum was followed by a subsequent temperature-modulated anodization. After removing the backside aluminum electrode, silanizing the aluminum oxide, and passivating the exposed membrane surface with a thin layer of gold, PSS and PAH were deposited alternatingly to yield LBL multilayers. For optimized LBL multilayer thicknesses and compactness, established in separate experiments on silicon substrates and nanoporous AAO with straight pores, free-standing polymeric nanoobjects with concave and convex curvatures, were obtained. These were stable for wall thickness to pore diameter ratios of ≥0.08.
Ji, Seok Young; Choi, Wonsuk; Jeon, Jin-Woo; Chang, Won Seok
2018-01-01
The development of printing technologies has enabled the realization of electric circuit fabrication on a flexible substrate. However, the current technique remains restricted to single-layer patterning. In this paper, we demonstrate a fully solution-processable patterning approach for multi-layer circuits using a combined method of laser sintering and ablation. Selective laser sintering of silver (Ag) nanoparticle-based ink is applied to make conductive patterns on a heat-sensitive substrate and insulating layer. The laser beam path and irradiation fluence are controlled to create circuit patterns for flexible electronics. Microvia drilling using femtosecond laser through the polyvinylphenol-film insulating layer by laser ablation, as well as sequential coating of Ag ink and laser sintering, achieves an interlayer interconnection between multi-layer circuits. The dimension of microvia is determined by a sophisticated adjustment of the laser focal position and intensity. Based on these methods, a flexible electronic circuit with chip-size-package light-emitting diodes was successfully fabricated and demonstrated to have functional operations. PMID:29425144
Martin, Cristina; Sofla, Aarash; Zhang, Boyang; Nunes, Sara S; Radisic, Milica
2013-03-01
A novel method for fabrication of branched, tubular, perfusable microvessels for use in vascular tissue engineering is reported. A tubular, elastomeric, biodegradable scaffold is first fabricated via a new, double fusible injection molding technique that uses a ternary alloy with a low melting temperature, Field's metal, and paraffin as sacrificial components. A cylindrical core metal of 500 μm or lower dia-meter with the target branching scaffold geometry is first constructed, then the metal structure is coated with paraffin and, finally, the metal-paraffin construct is embedded in polydimethylsiloxane (PDMS). The paraffin layer is then removed by heating and replaced by a biodegradable elastomeric pre-polymer that is subsequently UV-cured inside the PDMS. Next, the metal core is melted away and the PDMS is removed to attain the branched tubular elastomeric biodegradable scaffold. Finally, it is also demonstrated that human umbilical vein endothelial cells (HUVEC) were able to spread on the surface of the scaffold and form a confluent monolayer, confirming the potential of this new technique for making engineered blood vessels.
NASA Technical Reports Server (NTRS)
Saravanos, D. A.; Chamis, C. C.; Morel, M.
1991-01-01
A methodology is presented to reduce the residual matrix stresses in continuous fiber metal matrix composites (MMC) by optimizing the fabrication process and interphase layer characteristics. The response of the fabricated MMC was simulated based on nonlinear micromechanics. Application cases include fabrication tailoring, interphase tailoring, and concurrent fabrication-interphase optimization. Two composite systems, silicon carbide/titanium and graphite/copper, are considered. Results illustrate the merits of each approach, indicate that concurrent fabrication/interphase optimization produces significant reductions in the matrix residual stresses and demonstrate the strong coupling between fabrication and interphase tailoring.
Haraguchi, Yuji; Kagawa, Yuki; Hasegawa, Akiyuki; Kubo, Hirotsugu; Shimizu, Tatsuya
2018-01-18
Confluent cultured cells on a temperature-responsive culture dish can be harvested as an intact cell sheet by decreasing temperature below 32°C. A three-dimensional (3-D) tissue can be fabricated by the layering of cell sheets. A resulting 3-D multilayered cell sheet-tissue on a temperature-responsive culture dish can be also harvested without any damage by only temperature decreasing. For shortening the fabrication time of the 3-D multilayered constructs, we attempted to layer cell sheets on a temperature-responsive culture dish with centrifugation. However, when a cell sheet was attached to the culture surface with a conventional centrifuge at 22-23°C, the cell sheet hardly adhere to the surface due to its noncell adhesiveness. Therefore, in this study, we have developed a heating centrifuge. In centrifugation (55g) at 36-37°C, the cell sheet adhered tightly within 5 min to the dish without significant cell damage. Additionally, centrifugation accelerated the cell sheet-layering process. The heating centrifugation shortened the fabrication time by one-fifth compared to a multilayer tissue fabrication without centrifugation. Furthermore, the multilayered constructs were finally detached from the dishes by decreasing temperature. This rapid tissue-fabrication method will be used as a valuable tool in the field of tissue engineering and regenerative therapy. © 2018 American Institute of Chemical Engineers Biotechnol. Prog., 2018. © 2018 American Institute of Chemical Engineers.
Fabrication Process of Silicone-based Dielectric Elastomer Actuators
Rosset, Samuel; Araromi, Oluwaseun A.; Schlatter, Samuel; Shea, Herbert R.
2016-01-01
This contribution demonstrates the fabrication process of dielectric elastomer transducers (DETs). DETs are stretchable capacitors consisting of an elastomeric dielectric membrane sandwiched between two compliant electrodes. The large actuation strains of these transducers when used as actuators (over 300% area strain) and their soft and compliant nature has been exploited for a wide range of applications, including electrically tunable optics, haptic feedback devices, wave-energy harvesting, deformable cell-culture devices, compliant grippers, and propulsion of a bio-inspired fish-like airship. In most cases, DETs are made with a commercial proprietary acrylic elastomer and with hand-applied electrodes of carbon powder or carbon grease. This combination leads to non-reproducible and slow actuators exhibiting viscoelastic creep and a short lifetime. We present here a complete process flow for the reproducible fabrication of DETs based on thin elastomeric silicone films, including casting of thin silicone membranes, membrane release and prestretching, patterning of robust compliant electrodes, assembly and testing. The membranes are cast on flexible polyethylene terephthalate (PET) substrates coated with a water-soluble sacrificial layer for ease of release. The electrodes consist of carbon black particles dispersed into a silicone matrix and patterned using a stamping technique, which leads to precisely-defined compliant electrodes that present a high adhesion to the dielectric membrane on which they are applied. PMID:26863283
Yang, Gesheng; Pastorino, Laura
2016-01-01
Summary The enantiomers poly(D-lactic acid) (PDLA) and poly(L-lactic acid) (PLLA) were alternately adsorbed directly on calcium carbonate (CaCO3) templates and on poly(styrene sulfonate) (PSS) and poly(allylamine hydrochloride) (PAH) multilayer precursors in order to fabricate a novel layer-by-layer (LBL) assembly. A single layer of poly(L-lysine) (PLL) was used as a linker between the (PDLA/PLLA)n stereocomplex and the cores with and without the polymeric (PSS/PAH)n/PLL multilayer precursor (PEM). Nuclear magnetic resonance (NMR) and gel permeation chromatography (GPC) were used to characterize the chemical composition and molecular weight of poly(lactic acid) polymers. Both multilayer structures, with and without polymeric precursor, were firstly fabricated and characterized on planar supports. A quartz crystal microbalance (QCM), attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) and ellipsometry were used to evaluate the thickness and mass of the multilayers. Then, hollow, spherical microcapsules were obtained by the removal of the CaCO3 sacrificial template. The chemical composition of the obtained microcapsules was confirmed by differential scanning calorimetry (DSC) and wide X-ray diffraction (WXRD) analyses. The microcapsule morphology was evaluated by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) measurements. The experimental results confirm the successful fabrication of this innovative system, and its full biocompatibility makes it worthy of further characterization as a promising drug carrier for sustained release. PMID:26925356
Wu, Wenguo; Xie, Ronggang; Bai, Linling; Tang, Zuming; Gu, Zhongze
2012-05-01
Microbial Fuel Cells (MFCs) are robust devices capable of taping biological energy, converting pollutants into electricity through renewable biomass. The fabrication of nanostructured electrodes with good bio- and electrochemical activity, play a profound role in promoting power generation of MFCs. Au nanoparticles (AuNPs)-modified Boron-Doped Diamond (BDD) electrodes are fabricated by layer-by-layer (LBL) self-assembly technique and used for the direct electrochemistry of Shewanella loihica PV-4 in an electrochemical cell. Experimental results show that the peak current densities generated on the Au/PAH multilayer-modified BDD electrodes increased from 1.25 to 2.93 microA/cm(-2) as the layer increased from 0 to 6. Different cell morphologies of S. loihica PV-4 were also observed on the electrodes and the highest density of cells was attached on the (Au/PAH)6/BDD electrode with well-formed three-dimensional nanostructure. The electrochemistry of S. loihica PV-4 was enhanced on the (Au/PAH)4/BDD electrode due to the appropriate amount of AuNPsand thickness of PAH layer.
NASA Astrophysics Data System (ADS)
Grant, Catherine E.; Prigozhin, Gregory Y.; LaMarr, Beverly; Bautz, Mark W.
2003-03-01
Soon after launch, the Advanced CCD Imaging Spectrometer (ACIS), one of the focal plane instruments on the Chandra X-ray Observatory, suffered radiation damage from exposure to soft protons during passages through the Earth's radiation belts. The ACIS team is continuing to study the properties of the damage with an emphasis on developing techniques to mitigate charge transfer inefficiency (CTI) and spectral resolution degradation. A post-facto CTI corrector has been developed which can effectively recover much of the lost resolution. Any further improvements in performance will require knowledge of the location and amount of sacrificial charge - charge deposited along the readout path of an event which fills electron traps and changes CTI. We report on efforts by the ACIS Instrument team to characterize which charge traps cause performance degradation and the properties of the sacrificial charge seen on-orbit. We also report on attempts to correct X-ray pulseheights for the presence of sacrificial charge.
Kahane, Guy
2015-01-01
Research into moral decision-making has been dominated by sacrificial dilemmas where, in order to save several lives, it is necessary to sacrifice the life of another person. It is widely assumed that these dilemmas draw a sharp contrast between utilitarian and deontological approaches to morality, and thereby enable us to study the psychological and neural basis of utilitarian judgment. However, it has been previously shown that some sacrificial dilemmas fail to present a genuine contrast between utilitarian and deontological options. Here, I raise deeper problems for this research paradigm. Even when sacrificial dilemmas present a contrast between utilitarian and deontological options at a philosophical level, it is misleading to interpret the responses of ordinary folk in these terms. What is currently classified as “utilitarian judgment” does not in fact share essential features of a genuine utilitarian outlook, and is better explained in terms of commonsensical moral notions. When subjects deliberate about such dilemmas, they are not deciding between opposing utilitarian and deontological solutions, but engaging in a richer process of weighing opposing moral reasons. Sacrificial dilemmas therefore tell us little about utilitarian decision-making. An alternative approach to studying proto-utilitarian tendencies in everyday moral thinking is proposed. PMID:25791902
NASA Astrophysics Data System (ADS)
Arregui, Francisco J.; Matías, Ignacio R.; Claus, Richard O.
2007-07-01
The Layer-by-Layer Electrostatic Self-Assembly (ESA) method has been successfully used for the design and fabrication of nanostructured materials. More specifically, this technique has been applied for the deposition of thin films on optical fibers with the purpose of fabricating different types of optical fiber sensors. In fact, optical fiber sensors for measuring humidity, temperature, pH, hydrogen peroxide, glucose, volatile organic compounds or even gluten have been already experimentally demonstrated. The versatility of this technique allows the deposition of these sensing coatings on flat substrates and complex geometries as well. For instance, nanoFabry-Perots and microgratings have been formed on cleaved ends of optical fibers (flat surfaces) and also sensing coatings have been built onto long period gratings (cylindrical shape), tapered fiber ends (conical shape), biconically tapered fibers or even the internal side of hollow core fibers. Among the different materials used for the construction of these sensing nanostructured coatings, diverse types such as polymers, inorganic semiconductors, colorimetric indicators, fluorescent dyes, quantum dots or even biological elements as enzymes can be found. This technique opens the door to the fabrication of new types of optical fiber sensors.
Wang, Yifeng; Zhou, Jing; Guo, Xuecheng; Hu, Qian; Qin, Chaoran; Liu, Hui; Dong, Meng; Chen, Yanjun
2017-12-01
In this work, a layer-by-layer (LbL) assembled biopolymer microcapsule with separate layer cavities is generated by a novel and convenient gas-liquid microfluidic approach. This approach exhibits combined advantages of microfluidic approach and LbL assembly method, and it can straightforwardly build LbL-assembled capsules in mild aqueous environments at room temperature. In particular, using this approach we can build the polyelectrolyte multilayer capsule with favorable cavities in each layer, and without the need for organic solvent, emulsifying agent, or sacrificial template. Various components (e.g., drugs, proteins, fluorescent dyes, and nanoparticles) can be respectively encapsulated in the separate layer cavities of the LbL-assembled capsules. Moreover, the encapsulated capsules present the ability as colorimetric sensors, and they also exhibit the interesting release behavior. Therefore, the LbL-assembled biopolymer capsule is a promising candidate for biomedical applications in targeted delivery, controlled release, and bio-detection. Copyright © 2017 Elsevier B.V. All rights reserved.
Dumée, Ludovic F.; She, Fenghua; Duke, Mikel; Gray, Stephen; Hodgson, Peter; Kong, Lingxue
2014-01-01
Meso-porous metal materials have enhanced surface energies offering unique surface properties with potential applications in chemical catalysis, molecular sensing and selective separation. In this paper, commercial 20 nm diameter metal nano-particles, including silver and copper were blended with 7 nm silica nano-particles by shear mixing. The resulted powders were cold-sintered to form dense, hybrid thin films. The sacrificial silica template was then removed by selective etching in 12 wt% hydrofluoric acid solutions for 15 min to reveal a purely metallic meso-porous thin film material. The impact of the initial silica nano-particle diameter (7–20 nm) as well as the sintering pressure (5–20 ton·m−2) and etching conditions on the morphology and properties of the final nano-porous thin films were investigated by porometry, pyknometery, gas and liquid permeation and electron microscopy. Furthermore, the morphology of the pores and particle aggregation during shear mixing were assessed through cross-sectioning by focus ion beam milling. It is demonstrated that meso-pores ranging between 50 and 320 nm in average diameter and porosities up to 47% can be successfully formed for the range of materials tested. PMID:28344241
Fabrication and Theoretical Evaluation of Microlens Arrays on Layered Polymers
NASA Astrophysics Data System (ADS)
Oder, Tom; McMaster, Michael; Merlo, Corey; Bagheri, Camron; Reakes, Clayton; Petrus, Joshua; Li, Dingqiang; Crescimanno, Michael; Andrews, James
2014-03-01
Arrays of microlens were fabricated on nano-layered polymers using reactive ion etching. Semi hemispherical patterns with diameters ranging from 20 to 80 micrometers were first formed on a thick photoresist film that was spin-coated on the layered polymers using standard photolithographic process employing a gray scale glass mask. These patterns were then transferred to the polymers using dry etching in a reactive ion etching system. The optimized etch condition included a mixture of sulfur hexafluoride and oxygen, which resulted in an etch depth of 5 micrometers and successfully exposed the individual sub-micron thick layers in the polymers. Physical characterization of the microlens arrays was done using atomic force microscope and scanning electron microscope. We combine basic physical optics theory with the transfer matrix analysis of optical transport in nano-layered polymers to address subtleties in the chromatic response of microlenses made from these materials. In particular this method explains the len's behavior in and around the reflection band of the materials. We wish to acknowledge support of funds from NSF through its Center for Layered Polymeric Systems (CLiPS) at Case Western Reserve University.
Fabrication of Large-area Free-standing Ultrathin Polymer Films
Stadermann, Michael; Baxamusa, Salmaan H.; Aracne-Ruddle, Chantel; Chea, Maverick; Li, Shuaili; Youngblood, Kelly; Suratwala, Tayyab
2015-01-01
This procedure describes a method for the fabrication of large-area and ultrathin free-standing polymer films. Typically, ultrathin films are prepared using either sacrificial layers, which may damage the film or affect its mechanical properties, or they are made on freshly cleaved mica, a substrate that is difficult to scale. Further, the size of ultrathin film is typically limited to a few square millimeters. In this method, we modify a surface with a polyelectrolyte that alters the strength of adhesion between polymer and deposition substrate. The polyelectrolyte can be shown to remain on the wafer using spectroscopy, and a treated wafer can be used to produce multiple films, indicating that at best minimal amounts of the polyelectrolyte are added to the film. The process has thus far been shown to be limited in scalability only by the size of the coating equipment, and is expected to be readily scalable to industrial processes. In this study, the protocol for making the solutions, preparing the deposition surface, and producing the films is described. PMID:26066738
NASA Astrophysics Data System (ADS)
Wan, Danny; Manfrini, Mauricio; Vaysset, Adrien; Souriau, Laurent; Wouters, Lennaert; Thiam, Arame; Raymenants, Eline; Sayan, Safak; Jussot, Julien; Swerts, Johan; Couet, Sebastien; Rassoul, Nouredine; Babaei Gavan, Khashayar; Paredis, Kristof; Huyghebaert, Cedric; Ercken, Monique; Wilson, Christopher J.; Mocuta, Dan; Radu, Iuliana P.
2018-04-01
Magnetic tunnel junctions (MTJs) interconnected via a continuous ferromagnetic free layer were fabricated for spin torque majority gate (STMG) logic. The MTJs are biased independently and show magnetoelectric response under spin transfer torque. The electrical control of these devices paves the way to future spin logic devices based on domain wall (DW) motion. In particular, it is a significant step towards the realization of a majority gate. To our knowledge, this is the first fabrication of a cross-shaped free layer shared by several perpendicular MTJs. The fabrication process can be generalized to any geometry and any number of MTJs. Thus, this framework can be applied to other spin logic concepts based on magnetic interconnect. Moreover, it allows exploration of spin dynamics for logic applications.
Large-Area Fabrication of Droplet Pancake Bouncing Surface and Control of Bouncing State.
Song, Jinlong; Gao, Mingqian; Zhao, Changlin; Lu, Yao; Huang, Liu; Liu, Xin; Carmalt, Claire J; Deng, Xu; Parkin, Ivan P
2017-09-26
Superhydrophobic pillar arrays, which can generate the droplet pancake bouncing phenomenon with reduced liquid-solid contact time, have huge application prospects in anti-icing of aircraft wings from freezing rain. However, the previously reported pillar arrays, suitable for obtaining pancake bouncing, have a diameter ≤100 μm and height-diameter ratio >10, which are difficult to fabricate over a large area. Here, we have systematically studied the influence of the dimension of the superhydrophobic pillar arrays on the bouncing dynamics of water droplets. We show that the typical pancake bouncing with 57.8% reduction in contact time with the surface was observed on the superhydrophobic pillar arrays with 1.05 mm diameter, 0.8 mm height, and 0.25 mm space. Such pillar arrays with millimeter diameter and <1 height-diameter ratio can be easily fabricated over large areas. Further, a simple replication-spraying method was developed for the large-area fabrication of the superhydrophobic pillar arrays to induce pancake bouncing. No sacrificial layer was needed to reduce the adhesion in the replication processes. Since the bouncing dynamics were rather sensitive to the space between the pillars, a method to control the contact time, bouncing shape, horizontal bouncing direction, and reversible switch between pancake bouncing and conventional bouncing was realized by adjusting the inclination angle of the shape memory polymer pillars.
Blunt Trauma Performance of Fabric Systems Utilizing Natural Rubber Coated High Strength Fabrics
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ahmad, M. R.; Ahmad, W. Y. W.; Samsuri, A.
2010-03-11
The blunt trauma performance of fabric systems against 9 mm bullets is reported. Three shots were fired at each fabric system with impact velocity of 367+-9 m/s and the depth of indentation on the modeling clay backing was measured. The results showed that 18-layer and 21-layer all-neat fabric systems failed the blunt trauma test. However, fabric systems with natural rubber (NR) latex coated fabric layers gave lower blunt trauma of between 25-32 mm indentation depths. Deformations on the neat fabrics upon impact were identified as broken yarns, yarn stretching and yarn pull-out. Deflections of the neat fabrics were more localised.more » For the NR latex coated fabric layers, no significant deformation can be observed except for peeled-off regions of the NR latex film at the back surface of the last layer. From the study, it can be said that the NR latex coated fabric layers were effective in reducing the blunt trauma of fabric systems.« less
Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M
2018-05-04
Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO 2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al 2 O 3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.
NASA Astrophysics Data System (ADS)
Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M.
2018-05-01
Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al2O3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.
Deng, Wei; Zhang, Xiujuan; Pan, Huanhuan; Shang, Qixun; Wang, Jincheng; Zhang, Xiaohong; Zhang, Xiwei; Jie, Jiansheng
2014-01-01
Single-crystal organic nanostructures show promising applications in flexible and stretchable electronics, while their applications are impeded by the large incompatibility with the well-developed photolithography techniques. Here we report a novel two-step transfer printing (TTP) method for the construction of organic nanowires (NWs) based devices onto arbitrary substrates. Copper phthalocyanine (CuPc) NWs are first transfer-printed from the growth substrate to the desired receiver substrate by contact-printing (CP) method, and then electrode arrays are transfer-printed onto the resulting receiver substrate by etching-assisted transfer printing (ETP) method. By utilizing a thin copper (Cu) layer as sacrificial layer, microelectrodes fabricated on it via photolithography could be readily transferred to diverse conventional or non-conventional substrates that are not easily accessible before with a high transfer yield of near 100%. The ETP method also exhibits an extremely high flexibility; various electrodes such as Au, Ti, and Al etc. can be transferred, and almost all types of organic devices, such as resistors, Schottky diodes, and field-effect transistors (FETs), can be constructed on planar or complex curvilinear substrates. Significantly, these devices can function properly and exhibit closed or even superior performance than the device counterparts fabricated by conventional approach. PMID:24942458
NASA Technical Reports Server (NTRS)
Hofmann, Douglas (Inventor)
2015-01-01
Systems and methods in accordance with embodiments of the invention implement layers of metallic glass-based materials. In one embodiment, a method of fabricating a layer of metallic glass includes: applying a coating layer of liquid phase metallic glass to an object, the coating layer being applied in a sufficient quantity such that the surface tension of the liquid phase metallic glass causes the coating layer to have a smooth surface; where the metallic glass has a critical cooling rate less than 1000 K/s; and cooling the coating layer of liquid phase metallic glass to form a layer of solid phase metallic glass.
Photochemical cutting of fabrics
Piltch, Martin S.
1994-01-01
Apparatus for the cutting of garment patterns from one or more layers of fabric. A laser capable of producing laser light at an ultraviolet wavelength is utilized to shine light through a pattern, such as a holographic phase filter, and through a lens onto the one or more layers of fabric. The ultraviolet laser light causes rapid photochemical decomposition of the one or more layers of fabric, but only along the pattern. The balance of the fabric of the one or more layers of fabric is undamaged.
2009-02-01
Tecnologia de Superficies y Materiales (SMCTSM), XXVII Congreso Nacional, Oaxaca, Oaxaca, Mexico, September 26, 2007. 26. "Atomic Layer Deposition of...Nanolaminates: Fabrication and Properties" (Plenary Lecture), Sociedad Mexicana de Ciencia y Tecnologia de Superficies y Materiales (SMCTSM), XXVII
Zhang, Yiping; Chi, Chengdeng; Huang, Xiaoyi; Zou, Qin; Li, Xiaoxi; Chen, Ling
2017-09-01
Anionic carboxymethyl starch (CMS) and cationic quaternary ammonium starch (QAS), were used to fabricate nanocapsules through electrostatic layer by layer (LbL) alternate deposition onto colloidal BSA particles. An ideal starch-based colloidal nanocapsule was achieved by adjusting the degree of substitution (DS) and weight average molecular molar mass (M w ) of CMS. The nanocapsules fabricated by CMS with lower DS or M w possessed more compact and stable core-shell structure, which favoured the BSA delivery from the upper gastrointestinal tract (GIT) to the colon. In particular, CMS/QAS nanocapsules constructed by CMS with lower DS and M w showed better colon-specific delivery and release performance in simulated GIT fluid after 7days' storage in different kinds of beverage (33.04%-46.35% in upper GIT, 52.70%-64.97% in colon, respectively). These findings demonstrated that CMS/QAS nanocapsules constructed by CMS with lower DS and M w can be further exploited as a potential oral delivery system for protein to colon. Copyright © 2017 Elsevier Ltd. All rights reserved.
NASA Astrophysics Data System (ADS)
Palfreyman, Justin J.; Beldon, Patrick; Hong, Bingyan; Vyas, Kunal N.; Cooper, Joshaniel F. K.; Mitrelias, Thanos; Barnes, Crispin H. W.
2010-12-01
Rows of rectangular magnetic elements with different aspect ratio are encapsulated in polymer microcarriers to form a novel magnetic label, or tag, for multiplexed biological and chemical assays. We demonstrate that each tag can be encoded using an external magnetic field applied to the whole tag, which will allow for in-flow writing, thanks to shape-anisotropy controlled coercivity of the individual bits. This paper focuses on the fabrication of our 2nd generation tags, which facilitate optical trapping, do not require a sacrificial release layer, and the alignment procedure has been simplified to a single step. A new procedure is described for recovering a functional surface from fully cross-linked SU-8 via a cerium (IV) ammonium nitrate based chemical etch, and a novel method for releasing patterned photoresist from a bare Si wafer is discussed. In addition, a series of homobifunctional amine spacer compounds are compared as a method of increasing the binding efficiency of surface probe molecules.
Ferromagnetic GaAs structures with single Mn delta-layer fabricated using laser deposition.
Danilov, Yuri A; Vikhrova, Olga V; Kudrin, Alexey V; Zvonkov, Boris N
2012-06-01
The new technique combining metal-organic chemical vapor epitaxy with laser ablation of solid targets was used for fabrication of ferromagnetic GaAs structures with single Mn delta-doped layer. The structures demonstrated anomalous Hall effect, planar Hall effect, negative and anisotropic magnetoresistance in temperature range of 10-35 K. In GaAs structures with only single Mn delta-layer (without additional 2D hole gas channel or quantum well) ferromagnetism was observed for the first time.
Fabrication and surface-enhanced Raman scattering (SERS) of Ag/Au bimetallic films on Si substrates
NASA Astrophysics Data System (ADS)
Wang, Chaonan; Fang, Jinghuai; Jin, Yonglong; Cheng, Mingfei
2011-11-01
Ag films on Si substrates were fabricated by immersion plating and served as sacrificial materials for preparation of Ag/Au bimetallic films by galvanic replacement reaction. The formation procedure of films on the surface of Si was studied by scanning electron microscopy (SEM), which revealed Ag films with island and dendritic morphologies experienced novel structural evolution process during galvanic replacement reaction, and nanostructures with holes were produced within the resultant Ag/Au bimetallic films. SERS activity both of sacrificial Ag films and resultant Ag/Au bimetallic films was investigated by using crystal violet as an analyte. It has been shown that SERS signals increased with the process of galvanic substitution and reached intensity significantly stronger than that obtained from pure Ag films.
Nagaraju, Goli; Chandra Sekhar, S; Krishna Bharat, L; Yu, Jae Su
2017-11-28
We report a flexible battery-type electrode based on binder-free nickel cobalt layered double hydroxide nanosheets adhered to nickel cobalt layered double hydroxide nanoflake arrays on nickel fabric (NC LDH NFAs@NSs/Ni fabric) using facile and eco-friendly synthesis methods. Herein, we utilized discarded polyester fabric as a cost-effective substrate for in situ electroless deposition of Ni, which exhibited good flexibility, light weight, and high conductivity. Subsequently, the vertically aligned NC LDH NFAs were grown on Ni fabric by means of a hot-air oven-based method, and fluffy-like NC LDH NS branches are further decorated on NC LDH NFAs by a simple electrochemical deposition method. The as-prepared core-shell-like nanoarchitectures improve the specific surface area and electrochemical activity, which provides the ideal pathways for electrolyte diffusion and charge transportation. When the electrochemical performance was tested in 1 M KOH aqueous solution, the core-shell-like NC LDH NFAs@NSs/Ni fabric electrode liberated a maximum areal capacity of 536.96 μAh/cm 2 at a current density of 2 mA/cm 2 and excellent rate capability of 78.3% at 30 mA/cm 2 (420.5 μAh/cm 2 ) with a good cycling stability. Moreover, a fabric-based hybrid supercapacitor (SC) was assembled, which achieves a stable operational potential window of 1.6 V, a large areal capacitance of 1147.23 mF/cm 2 at 3 mA/cm 2 , and a high energy density of 0.392 mWh/cm 2 at a power density of 2.353 mW/cm 2 . Utilizing such high energy storage abilities and flexible properties, the fabricated hybrid SC operated the wearable digital watch and electric motor fan for real-time applications.
Akama, Toshiki; Okita, Wakana; Nagai, Reito; Li, Chao; Kaneko, Toshiro; Kato, Toshiaki
2017-09-20
Few-layered transition metal dichalcogenides (TMDs) are known as true two-dimensional materials, with excellent semiconducting properties and strong light-matter interaction. Thus, TMDs are attractive materials for semitransparent and flexible solar cells for use in various applications. Hoewver, despite the recent progress, the development of a scalable method to fabricate semitransparent and flexible solar cells with mono- or few-layered TMDs remains a crucial challenge. Here, we show easy and scalable fabrication of a few-layered TMD solar cell using a Schottky-type configuration to obtain a power conversion efficiency (PCE) of approximately 0.7%, which is the highest value reported with few-layered TMDs. Clear power generation was also observed for a device fabricated on a large SiO 2 and flexible substrate, demonstrating that our method has high potential for scalable production. In addition, systematic investigation revealed that the PCE and external quantum efficiency (EQE) strongly depended on the type of photogenerated excitons (A, B, and C) because of different carrier dynamics. Because high solar cell performance along with excellent scalability can be achieved through the proposed process, our fabrication method will contribute to accelerating the industrial use of TMDs as semitransparent and flexible solar cells.
NASA Astrophysics Data System (ADS)
Walewyns, Thomas; Reckinger, Nicolas; Ryelandt, Sophie; Pardoen, Thomas; Raskin, Jean-Pierre; Francis, Laurent A.
2013-09-01
The interest of using polyimide as a sacrificial and anchoring layer is demonstrated for post-processing surface micromachining and for the incorporation of metallic nanowires into microsystems. In addition to properties like a high planarization factor, a good resistance to most non-oxidizing acids and bases, and CMOS compatibility, polyimide can also be used as a mold for nanostructures after ion track-etching. Moreover, specific polyimide grades, such as PI-2611 from HD Microsystems™, involve a thermal expansion coefficient similar to silicon and low internal stress. The process developed in this study permits higher gaps compared to the state-of-the-art, limits stiction problems with the substrate and is adapted to various top-layer materials. Most metals, semiconductors or ceramics will not be affected by the oxygen plasma required for polyimide etching. Released structures with vertical gaps from one to several tens of μm have been obtained, possibly using multiple layers of polyimide. Furthermore, patterned freestanding nanowires have been synthesized with diameters from 20 to 60 nm and up to 3 μm in length. These results have been applied to the fabrication of two specific devices: a generic nanomechanical testing lab-on-chip platform and a miniaturized ionization sensor.
NASA Astrophysics Data System (ADS)
Liu, Yuanyuan; Jiang, Weijian; Yang, Yang; Pu, Huayan; Peng, Yan; Xin, Liming; Zhang, Yi; Sun, Yu
2018-01-01
Constructing vascular scaffolds is important in tissue engineering. However, scaffolds with characteristics such as multiple layers and a certain degree of spatial morphology still cannot be readily constructed by current vascular scaffolds fabrication techniques. This paper presents a three-layered bifurcated vascular scaffold with a curved structure. The technique combines 3D printed molds and casting hydrogel and fugitive ink to create vessel-mimicking constructs with customizable structural parameters. Compared with other fabrication methods, the technique can create more native-like 3D geometries. The diameter and wall thickness of the fabricated constructs can be independently controlled, providing a feasible approach for vascular scaffold construction. Enzymatically-crosslinked gelatin was used as the scaffold material. The morphology and mechanical properties were evaluated. Human umbilical cord derived endothelial cells (HUVECs) were seeded on the scaffolds and cultured for 72 h. Cell viability and morphology were assessed. The results showed that the proposed process had good application potentials, and will hopefully provide a feasible approach for constructing vascular scaffolds.
Fabrication of contacts for silicon solar cells including printing burn through layers
Ginley, David S; Kaydanova, Tatiana; Miedaner, Alexander; Curtis, Calvin J; Van Hest, Marinus Franciscus Antonius Maria
2014-06-24
A method for fabricating a contact (240) for a solar cell (200). The method includes providing a solar cell substrate (210) with a surface that is covered or includes an antireflective coating (220). For example, the substrate (210) may be positioned adjacent or proximate to an outlet of an inkjet printer (712) or other deposition device. The method continues with forming a burn through layer (230) on the coating (220) by depositing a metal oxide precursor (e.g., using an inkjet or other non-contact printing method to print or apply a volume of liquid or solution containing the precursor). The method includes forming a contact layer (240) comprising silver over or on the burn through layer (230), and then annealing is performed to electrically connect the contact layer (240) to the surface of the solar cell substrate (210) through a portion of the burn through layer (230) and the coating (220).
Yang, Ming-Zhi; Dai, Ching-Liang; Shih, Po-Jen
2014-07-17
This study investigates the fabrication and characterization of an acetone microsensor with a ring oscillator circuit using the commercial 0.18 μm complementary metal oxide semiconductor (CMOS) process. The acetone microsensor contains a sensitive material, interdigitated electrodes and a polysilicon heater. The sensitive material is α-Fe2O3 synthesized by the hydrothermal method. The sensor requires a post-process to remove the sacrificial oxide layer between the interdigitated electrodes and to coat the α-Fe2O3 on the electrodes. When the sensitive material adsorbs acetone vapor, the sensor produces a change in capacitance. The ring oscillator circuit converts the capacitance of the sensor into the oscillation frequency output. The experimental results show that the output frequency of the acetone sensor changes from 128 to 100 MHz as the acetone concentration increases 1 to 70 ppm.
Yang, Ming-Zhi; Dai, Ching-Liang; Shih, Po-Jen
2014-01-01
This study investigates the fabrication and characterization of an acetone microsensor with a ring oscillator circuit using the commercial 0.18 μm complementary metal oxide semiconductor (CMOS) process. The acetone microsensor contains a sensitive material, interdigitated electrodes and a polysilicon heater. The sensitive material is α-Fe2O3 synthesized by the hydrothermal method. The sensor requires a post-process to remove the sacrificial oxide layer between the interdigitated electrodes and to coat the α-Fe2O3 on the electrodes. When the sensitive material adsorbs acetone vapor, the sensor produces a change in capacitance. The ring oscillator circuit converts the capacitance of the sensor into the oscillation frequency output. The experimental results show that the output frequency of the acetone sensor changes from 128 to 100 MHz as the acetone concentration increases 1 to 70 ppm. PMID:25036331
Nano transfer and nanoreplication using deterministically grown sacrificial nanotemplates
Melechko, Anatoli V [Oak Ridge, TN; McKnight, Timothy E [Greenback, TN; Guillorn, Michael A [Ithaca, NY; Ilic, Bojan [Ithaca, NY; Merkulov, Vladimir I [Knoxville, TX; Doktycz, Mitchel J [Knoxville, TN; Lowndes, Douglas H [Knoxville, TN; Simpson, Michael L [Knoxville, TN
2012-03-27
Methods, manufactures, machines and compositions are described for nanotransfer and nanoreplication using deterministically grown sacrificial nanotemplates. An apparatus, includes a substrate and a nanoconduit material coupled to a surface of the substrate. The substrate defines an aperture and the nanoconduit material defines a nanoconduit that is i) contiguous with the aperture and ii) aligned substantially non-parallel to a plane defined by the surface of the substrate.
Computer-Aided Process Planning for the Layered Fabrication of Porous Scaffold Matrices
NASA Astrophysics Data System (ADS)
Starly, Binil
Rapid Prototyping (RP) technology promises to have a tremendous impact on the design and fabrication of porous tissue replacement structures for applications in tissue engineering and regenerative medicine. The layer-by-layer fabrication technology enables the design of patient-specific medical implants and complex structures for diseased tissue replacement strategies. Combined with advancements in imaging modalities and bio-modeling software, physicians can engage themselves in advanced solutions for craniofacial and mandibular reconstruction. For example, prior to the advancement of RP technologies, solid titanium parts used as implants for mandibular reconstruction were fashioned out of molding or CNC-based machining processes (Fig. 3.1). Titanium implants built using this process are often heavy, leading to increased patient discomfort. In addition, the Young's modulus of titanium is almost five times that of healthy cortical bone resulting in stress shielding effects [1,2]. With the advent of CAD/CAM-based tools, the virtual reconstruction of the implants has resulted in significant design improvements. The new generation of implants can be porous, enabling the in-growth of healthy bone tissue for additional implant fixation and stabilization. Newer implants would conform to the external shape of the defect site that is intended to be filled in. More importantly, the effective elastic modulus of the implant can be designed to match that of surrounding tissue. Ideally, the weight of the implant can be designed to equal the weight of the tissue that is being replaced resulting in increased patient comfort. Currently, such porous structures for reconstruction can only be fabricated using RP-based metal fabrication technologies such as Electron Beam Melting (EBM), Selective Laser Sintering (SLS®), and 3D™ Printing processes.
Weihs, Timothy P.; Barbee, Jr., Troy W.
2002-01-01
Cubic or metastable cubic refractory metal carbides act as barrier layers to isolate, adhere, and passivate copper in semiconductor fabrication. One or more barrier layers of the metal carbide are deposited in conjunction with copper metallizations to form a multilayer characterized by a cubic crystal structure with a strong (100) texture. Suitable barrier layer materials include refractory transition metal carbides such as vanadium carbide (VC), niobium carbide (NbC), tantalum carbide (TaC), chromium carbide (Cr.sub.3 C.sub.2), tungsten carbide (WC), and molybdenum carbide (MoC).
Fabrication of directional sound sensor by silicon micromachining
NASA Astrophysics Data System (ADS)
Touse, Michael; Catterlin, Jeffrey; Sinibaldi, Jose; Karunasiri, Gamani
2009-03-01
A directional sound sensor based on the operational principle of the Ormia ochracea fly's hearing organism [1] was fabricated using micro-electromechanical system (MEMS) technology. The fly uses coupled bars hinged at the center to achieve directional sound sensing by monitoring the difference in their vibration amplitudes. The MEMS design employed in this work consisted of a 1x2 square millimeter polysilicon membrane hinged at the center and positioned about 1 micrometer above the substrate using a sacrificial silicon dioxide layer. Finite element analysis of the device shows two primary vibrational mode frequencies, one corresponding to a rocking mode which is highly dependent on angle of incidence, and the other to a bending motion which remains constant through all angles. Using a laser vibrometer to measure response, rocking and bending modes were observed at driving frequencies of 3.0 and 11.4 kHz, respectively, and angular dependence was in close agreement with modeling. [1] R.N. Miles, R. Robert, and R. R. Hoy, ``Mechanically coupled ears for directional hearing in the parasitoid fly Ormia ochracea,'' J. Acoust. Soc. Am., 98 (6), Dec. 1995
Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer
NASA Astrophysics Data System (ADS)
Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.
2017-12-01
The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.
Huh, Yoon Ho; Bae, In-Gon; Jeon, Hong Goo; Park, Byoungchoo
2016-10-31
We herein report a homogeneous [6,6]-phenyl C61 butyric acid methyl ester (PCBM) layer, produced by a solution process of horizontal-dipping (H-dipping) to improve the photovoltaic (PV) effects of bilayer heterojunction organic photovoltaic cells (OPVs) based on a bi-stacked poly(3-hexylthiophene) (P3HT) electron donor layer and a PCBM electron acceptor layer (P3HT/PCBM). It was shown that a homogeneous and uniform coating of PCBM layers in the P3HT/PCBM bilayer OPVs resulted in reliable and reproducible device performance. We recorded a power conversion efficiency (PCE) of 2.89%, which is higher than that (2.00%) of bilayer OPVs with a spin-coated PCBM layer. Moreover, introducing surfactant additives of poly(oxyethylene tridecyl ether) (PTE) into the homogeneous P3HT/PCBM PV layers resulted in the bilayer OPVs showing a PCE value of 3.95%, which is comparable to those of conventional bulk-heterojunction (BHJ) OPVs (3.57-4.13%) fabricated by conventional spin-coating. This improved device performance may be attributed to the selective collection of charge carriers at the interfaces among the active layers and electrodes due to the PTE additives as well as the homogeneous formation of the functional PCBM layer on the P3HT layer. Furthermore, H-dip-coated PCBM layers were deposited onto aligned P3HT layers by a rubbing technique, and the rubbed bilayer OPV exhibited improved in-plane anisotropic PV effects with PCE anisotropy as high as 1.81, which is also higher than that (1.54) of conventional rubbed BHJ OPVs. Our results suggest that the use of the H-dip-coating process in the fabrication of PCBM layers with the PTE interface-engineering additive could be of considerable interest to those seeking to improve PCBM-based opto-electrical organic thin-film devices.
Fabrication of silicon-on-diamond substrate with an ultrathin SiO2 bonding layer
NASA Astrophysics Data System (ADS)
Nagata, Masahiro; Shirahama, Ryouya; Duangchan, Sethavut; Baba, Akiyoshi
2018-06-01
We proposed and demonstrated a sputter etching method to prepare both a flat surface (root-mean-square surface roughness of approximately 0.2–0.3 nm) and an ultrathin SiO2 bonding layer at an accuracy of approximately 5 nm in thickness to fabricate a silicon-on-diamond substrate (SOD). We also investigated a plasma activation method on a SiO2 surface using various gases. We found that O2 plasma activation is more suitable for the bonding between SiO2 and Si than N2 or Ar plasma activation. We speculate that the concentration of hydroxyl groups on the SiO2 surface was increased by O2 plasma activation. We fabricated the SOD substrate with an ultrathin (15 nm in thickness) SiO2 bonding layer using the sputter etching and O2 plasma activation methods.
Fabrication of hybrid molecular devices using multi-layer graphene break junctions.
Island, J O; Holovchenko, A; Koole, M; Alkemade, P F A; Menelaou, M; Aliaga-Alcalde, N; Burzurí, E; van der Zant, H S J
2014-11-26
We report on the fabrication of hybrid molecular devices employing multi-layer graphene (MLG) flakes which are patterned with a constriction using a helium ion microscope or an oxygen plasma etch. The patterning step allows for the localization of a few-nanometer gap, created by electroburning, that can host single molecules or molecular ensembles. By controlling the width of the sculpted constriction, we regulate the critical power at which the electroburning process begins. We estimate the flake temperature given the critical power and find that at low powers it is possible to electroburn MLG with superconducting contacts in close proximity. Finally, we demonstrate the fabrication of hybrid devices with superconducting contacts and anthracene-functionalized copper curcuminoid molecules. This method is extendable to spintronic devices with ferromagnetic contacts and a first step towards molecular integrated circuits.
Fabrication of hybrid molecular devices using multi-layer graphene break junctions
NASA Astrophysics Data System (ADS)
Island, J. O.; Holovchenko, A.; Koole, M.; Alkemade, P. F. A.; Menelaou, M.; Aliaga-Alcalde, N.; Burzurí, E.; van der Zant, H. S. J.
2014-11-01
We report on the fabrication of hybrid molecular devices employing multi-layer graphene (MLG) flakes which are patterned with a constriction using a helium ion microscope or an oxygen plasma etch. The patterning step allows for the localization of a few-nanometer gap, created by electroburning, that can host single molecules or molecular ensembles. By controlling the width of the sculpted constriction, we regulate the critical power at which the electroburning process begins. We estimate the flake temperature given the critical power and find that at low powers it is possible to electroburn MLG with superconducting contacts in close proximity. Finally, we demonstrate the fabrication of hybrid devices with superconducting contacts and anthracene-functionalized copper curcuminoid molecules. This method is extendable to spintronic devices with ferromagnetic contacts and a first step towards molecular integrated circuits.
Masoudi Rad, Maryam; Nouri Khorasani, Saied; Ghasemi-Mobarakeh, Laleh; Prabhakaran, Molamma P; Foroughi, Mohammad Reza; Kharaziha, Mahshid; Saadatkish, Niloufar; Ramakrishna, Seeram
2017-11-01
Membranes used in dentistry act as a barrier to prevent invasion of intruder cells to defected area and obtains spaces that are to be subsequently filled with new bone and provide required bone volume for implant therapy when there is insufficient volume of healthy bone at implant site. In this study a two-layered bioactive membrane were fabricated by electrospinning whereas one layer provides guided bone regeneration (GBR) and fabricated using poly glycerol sebacate (PGS)/polycaprolactone (PCL) and Beta tri-calcium phosphate (β-TCP) (5, 10 and 15%) and another one containing PCL/PGS and chitosan acts as guided tissue regeneration (GTR). The morphology, chemical, physical and mechanical characterizations of the membranes were studied using scanning electron microscopy (SEM), Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), tensile testing, then biodegradability and bioactivity properties were evaluated. In vitro cell culture study was also carried out to investigate proliferation and mineralization of cells on different membranes. Transmission electron microscope (TEM) and SEM results indicated agglomeration of β-TCP nanoparticles in the structure of nanofibers containing 15% β-TCP. Moreover by addition of β-TCP from 5% to 15%, contact angle decreased due to hydrophilicity of nanoparticles and bioactivity was found to increase. Mechanical properties of the membrane increased by incorporation of 5% and 10% of β-TCP in the structure of nanofibers, while addition of 15% of β-TCP was found to deteriorate mechanical properties of nanofibers. Although the presence of 5% and 10% of nanoparticles in the nanofibers increased proliferation of cells on GBR layer, cell proliferation was observed to decrease by addition of 15% β-TCP in the structure of nanofibers which is likely due to agglomeration of nanoparticles in the nanofiber structure. Our overall results revealed PCL/PGS containing 10% β-TCP could be selected as the optimum GBR membrane
NASA Astrophysics Data System (ADS)
Chan, Kwai S.; Koike, Marie; Mason, Robert L.; Okabe, Toru
2013-02-01
Additive layer deposition techniques such as electron beam melting (EBM) and laser beam melting (LBM) have been utilized to fabricate rectangular plates of Ti-6Al-4V with extra low interstitial (ELI) contents. The layer-by-layer deposition techniques resulted in plates that have different surface finishes which can impact significantly on the fatigue life by providing potential sites for fatigue cracks to initiate. The fatigue life of Ti-6Al-4V ELI alloys fabricated by EBM and LBM deposition techniques was investigated by three-point testing of rectangular beams of as-fabricated and electro-discharge machined surfaces under stress-controlled conditions at 10 Hz until complete fracture. Fatigue life tests were also performed on rolled plates of Ti-6Al-4V ELI, regular Ti-6Al-4V, and CP Ti as controls. Fatigue surfaces were characterized by scanning electron microscopy to identify the crack initiation site in the various types of specimen surfaces. The fatigue life data were analyzed statistically using both analysis of variance techniques and the Kaplan-Meier survival analysis method with the Gehan-Breslow test. The results indicate that the LBM Ti-6Al-4V ELI material exhibits a longer fatigue life than the EBM counterpart and CP Ti, but a shorter fatigue life compared to rolled Ti-6Al-4V ELI. The difference in the fatigue life behavior may be largely attributed to the presence of rough surface features that act as fatigue crack initiation sites in the EBM material.
NASA Astrophysics Data System (ADS)
Lieou, Charles K. C.; Elbanna, Ahmed E.; Carlson, Jean M.
2013-03-01
Sacrificial bonds and hidden length in structural molecules account for the greatly increased fracture toughness of biological materials compared to synthetic materials without such structural features, by providing a molecular-scale mechanism of energy dissipation. One example of occurrence of sacrificial bonds and hidden length is in the polymeric glue connection between collagen fibrils in animal bone. In this talk, we propose a simple kinetic model that describes the breakage of sacrificial bonds and the revelation of hidden length, based on Bell's theory. We postulate a master equation governing the rates of bond breakage and formation, at the mean-field level, allowing for the number of bonds and hidden lengths to take up non-integer values between successive, discrete bond-breakage events. This enables us to predict the mechanical behavior of a quasi-one-dimensional ensemble of polymers at different stretching rates. We find that both the rupture peak heights and maximum stretching distance increase with the stretching rate. In addition, our theory naturally permits the possibility of self-healing in such biological structures.
Nanotransfer and nanoreplication using deterministically grown sacrificial nanotemplates
Melechko, Anatoli V [Oak Ridge, TN; McKnight, Timothy E. , Guillorn, Michael A.; Ilic, Bojan [Ithaca, NY; Merkulov, Vladimir I [Knoxville, TN; Doktycz, Mitchel J [Knoxville, TN; Lowndes, Douglas H [Knoxville, TN; Simpson, Michael L [Knoxville, TN
2011-05-17
Methods, manufactures, machines and compositions are described for nanotransfer and nanoreplication using deterministically grown sacrificial nanotemplates. A method includes depositing a catalyst particle on a surface of a substrate to define a deterministically located position; growing an aligned elongated nanostructure on the substrate, an end of the aligned elongated nanostructure coupled to the substrate at the deterministically located position; coating the aligned elongated nanostructure with a conduit material; removing a portion of the conduit material to expose the catalyst particle; removing the catalyst particle; and removing the elongated nanostructure to define a nanoconduit.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sakaike, Kohei; Akazawa, Muneki; Nakamura, Shogo
2013-12-02
A low-temperature local-layer technique for transferring a single-crystalline silicon (c-Si) film by using a meniscus force was proposed, and an n-channel metal-oxide-semiconductor field-effect transistor (MOSFET) was fabricated on polyethylene terephthalate (PET) substrate. It was demonstrated that it is possible to transfer and form c-Si films in the required shape at the required position on PET substrates at extremely low temperatures by utilizing a meniscus force. The proposed technique for layer transfer was applied for fabricating high-performance c-Si MOSFETs on a PET substrate. The fabricated MOSFET showed a high on/off ratio of more than 10{sup 8} and a high field-effect mobilitymore » of 609 cm{sup 2} V{sup −1} s{sup −1}.« less
Micro Ethanol Sensors with a Heater Fabricated Using the Commercial 0.18 μm CMOS Process
Liao, Wei-Zhen; Dai, Ching-Liang; Yang, Ming-Zhi
2013-01-01
The study investigates the fabrication and characterization of an ethanol microsensor equipped with a heater. The ethanol sensor is manufactured using the commercial 0.18 μm complementary metal oxide semiconductor (CMOS) process. The sensor consists of a sensitive film, a heater and interdigitated electrodes. The sensitive film is zinc oxide prepared by the sol-gel method, and it is coated on the interdigitated electrodes. The heater is located under the interdigitated electrodes, and it is used to supply a working temperature to the sensitive film. The sensor needs a post-processing step to remove the sacrificial oxide layer, and to coat zinc oxide on the interdigitated electrodes. When the sensitive film senses ethanol gas, the resistance of the sensor generates a change. An inverting amplifier circuit is utilized to convert the resistance variation of the sensor into the output voltage. Experiments show that the sensitivity of the ethanol sensor is 0.35 mV/ppm. PMID:24072022
Micro ethanol sensors with a heater fabricated using the commercial 0.18 μm CMOS process.
Liao, Wei-Zhen; Dai, Ching-Liang; Yang, Ming-Zhi
2013-09-25
The study investigates the fabrication and characterization of an ethanol microsensor equipped with a heater. The ethanol sensor is manufactured using the commercial 0.18 µm complementary metal oxide semiconductor (CMOS) process. The sensor consists of a sensitive film, a heater and interdigitated electrodes. The sensitive film is zinc oxide prepared by the sol-gel method, and it is coated on the interdigitated electrodes. The heater is located under the interdigitated electrodes, and it is used to supply a working temperature to the sensitive film. The sensor needs a post-processing step to remove the sacrificial oxide layer, and to coat zinc oxide on the interdigitated electrodes. When the sensitive film senses ethanol gas, the resistance of the sensor generates a change. An inverting amplifier circuit is utilized to convert the resistance variation of the sensor into the output voltage. Experiments show that the sensitivity of the ethanol sensor is 0.35 mV/ppm.
Evaluation of a non-woven fabric coated with a chitosan bi-layer composite for wound dressing.
Liu, Bai-Shuan; Yao, Chun-Hsu; Fang, Shr-Shin
2008-05-13
This study presents a novel design of an easily stripped bi-layer composite that consists of an upper layer of a soybean protein non-woven fabric coated with a lower layer, a genipin-crosslinked chitosan film, as a wound dressing material. This study examines the in vitro properties of the genipin-crosslinked chitosan film and the bi-layer composite. Furthermore, in vivo experiments are conducted to study wounds treated with the composite in a rat model. Experimental results show that the degree of crosslinking and the in vitro degradation rate of the genipin-crosslinked chitosan films can be controlled by varying the genipin contents. In addition, the genipin contents should exceed 0.025 wt.-% of the chitosan-based material if complete crosslinking reactions between genipin and chitosan molecules are required. Water contact angle analysis shows that the genipin-crosslinked chitosan film is not highly hydrophilic; therefore, the genipin-crosslinked chitosan layer is not entangled with the soybean protein non-woven fabric, which forms an easily stripped interface layer between them. Furthermore, this new wound dressing material provides adequate moisture, thereby minimizing the risk of wound dehydration, and exhibits good mechanical properties. The in vivo histological assessment results reveal that epithelialization and reconstruction of the wound are achieved by covering the wound with the composite, and the composite is easily stripped from the wound surface without damaging newly regenerated tissue.
NASA Astrophysics Data System (ADS)
Tang, Xiaoning; Tian, Mingwei; Qu, Lijun; Zhu, Shifeng; Guo, Xiaoqing; Han, Guangting; Sun, Kaikai; Hu, Xili; Wang, Yujiao; Xu, Xiaoqi
2014-10-01
Knit polyester fabric was successively modified and decorated with chitosan layer and polyaniline polymer nanocomposite layer in this paper. The fabric was firstly treated with chitosan to form a stable layer through the pad-dry-cure process, and then the polyaniline polymer nanocomposite layer was established on the outer layer by in situ chemical polymerization method using ammonium persulfate as oxidant and chlorhydric acid as dopant. The surface morphology of coated fabric was characterized by scanning electron microscopy (SEM), and the co-existence of chitosan layer and granular polyaniline polymer nanocomposite was confirmed and well dispersed on the fabric surface. The resultant fabric was endowed with remarkable electrical conductivity properties and efficient water-repellent capability, which also have been found stable after water laundering. In addition, the photocatalytic decomposition activity for reactive red dye was observed when the multifunctional knit polyester fabric was exposed to the illumination of ultraviolet lamp. These results indicated that chitosan and polyaniline polymer nanocomposite could form ideal multifunctional coatings on the surface of knit polyester fabric.
A facile alternative technique for large-area graphene transfer via sacrificial polymer
Auchter, Eric; Marquez, Justin; Yarbro, Stephen L.; ...
2017-12-07
A novel method of transferring large-area graphene sheets onto a variety of substrates using Formvar (polyvinyl formal) is presented. Due to the ease at which formvar can be dissolved in chloroform this method allows for a consistent, a clean, and a more rapid transfer than other techniques including the PMMA assisted one. This novel transfer method is demonstrated by transferring large-area graphene onto a range of substrates including commercial TEM grids, silicon dioxide and glass. Raman spectroscopy was used to confirm the presence of graphene and characterize the morphological properties of the large-area sheets. SEM and AFM analyses demonstrated themore » effectiveness of our rapid transfer technique for clean crystalline large-area graphene sheets. The removal of the sacrificial polymer was found to be one to two orders of magnitude faster than PMMA methods. Ultimately this facile transfer technique offers new opportunities for a wide range of applications for large-area graphene through the utilization of a new sacrificial polymer.« less
A facile alternative technique for large-area graphene transfer via sacrificial polymer
DOE Office of Scientific and Technical Information (OSTI.GOV)
Auchter, Eric; Marquez, Justin; Yarbro, Stephen L.
A novel method of transferring large-area graphene sheets onto a variety of substrates using Formvar (polyvinyl formal) is presented. Due to the ease at which formvar can be dissolved in chloroform this method allows for a consistent, a clean, and a more rapid transfer than other techniques including the PMMA assisted one. This novel transfer method is demonstrated by transferring large-area graphene onto a range of substrates including commercial TEM grids, silicon dioxide and glass. Raman spectroscopy was used to confirm the presence of graphene and characterize the morphological properties of the large-area sheets. SEM and AFM analyses demonstrated themore » effectiveness of our rapid transfer technique for clean crystalline large-area graphene sheets. The removal of the sacrificial polymer was found to be one to two orders of magnitude faster than PMMA methods. Ultimately this facile transfer technique offers new opportunities for a wide range of applications for large-area graphene through the utilization of a new sacrificial polymer.« less
Low-temperature fabrication of dye-sensitized solar cells by transfer of composite porous layers
NASA Astrophysics Data System (ADS)
Dürr, Michael; Schmid, Andreas; Obermaier, Markus; Rosselli, Silvia; Yasuda, Akio; Nelles, Gabriele
2005-08-01
Dye-sensitized solar cells have established themselves as a potential low-cost alternative to conventional solar cells owing to their remarkably high power-conversion efficiency combined with `low-tech' fabrication processes. As a further advantage, the active layers consisting of nanoporous TiO2 are only some tens of micrometres thick and are therefore in principle suited for flexible applications. However, typical flexible plastic substrates cannot withstand the process temperatures of up to 500 ∘C commonly used for sintering the TiO2 nanoparticles together. Even though some promising routes for low-temperature sintering have been proposed, those layers cannot compete as regards electrical properties with layers obtained with the standard high-temperature process. Here we show that by a lift-off technique, presintered porous layers can be transferred to an arbitrary second substrate, and the original electrical properties of the transferred porous layers are maintained. The transfer process is greatly assisted by the application of composite layers comprising nanoparticles and nanorods.
Fabrication and characterization of anode catalyst layers with structural variations for DMFC
NASA Astrophysics Data System (ADS)
Wang, Dazhi; Shi, Peng; Zhou, Peng; Mao, Qing; Liang, Junsheng; Wang, Suli; Li, Yang; Ren, Tongqun; Sun, Gongquan
2018-04-01
In this work, the electrohydrodynamic jet (E-Jet) Layer-by-Layer (LbL) deposition technique was employed to produce anode catalyst layer (CL) structure for direct methanol fuel cells (DMFC). The CLs with different thickness and porosity were fabricated with the control of the E-Jet deposition parameters. Then, the deposited anode CLs with structural variations were assembled to membrane electrode assemblies (MEAs). The results showed that the anode CL with higher porosity contributed higher dispersed catalyst, which further induced greater electrochemical active surface area (ESA) and higher performance. At optimized working condition the anode CL with high-dispersed catalyst of was produced using the E-Jet LbL deposition technique. It was observed that the peak power density is 72.8 mW cm‑2 for the cell having a porosity of 0.63, which has an increase of about 33% after modification of the CL structure.
Chen, Guan-Liang; Shau, Shi-Min; Juang, Tzong-Yuan; Lee, Rong-Ho; Chen, Chih-Ping; Suen, Shing-Yi; Jeng, Ru-Jong
2011-12-06
In this study, we used direct molecular exfoliation for the rapid, facile, large-scale fabrication of single-layered graphene oxide nanosheets (GOSs). Using macromolecular polyaniline (PANI) as a layered space enlarger, we readily and rapidly synthesized individual GOSs at room temperature through the in situ polymerization of aniline on the 2D GOS platform. The chemically modified GOS platelets formed unique 2D-layered GOS/PANI hybrids, with the PANI nanorods embedded between the GO interlayers and extended over the GO surface. X-ray diffraction revealed that intergallery expansion occurred in the GO basal spacing after the PANI nanorods had anchored and grown onto the surface of the GO layer. Transparent folding GOSs were, therefore, observed in transmission electron microscopy images. GOS/PANI nanohybrids possessing high conductivities and large work functions have the potential for application as electrode materials in optoelectronic devices. Our dispersion/exfoliation methodology is a facile means of preparing individual GOS platelets with high throughput, potentially expanding the applicability of nanographene oxide materials. © 2011 American Chemical Society
Khun, Kimleang; Ibupoto, Zafar Hussain; AlSalhi, Mohamad S; Atif, Muhammad; Ansari, Anees A; Willander, Magnus
2013-09-30
In this study, by taking the advantage of both inorganic ZnO nanoparticles and the organic material chitosan as a composite seed layer, we have fabricated well-aligned ZnO nanorods on a gold-coated glass substrate using the hydrothermal growth method. The ZnO nanoparticles were characterized by the Raman spectroscopic techniques, which showed the nanocrystalline phase of the ZnO nanoparticles. Different composites of ZnO nanoparticles and chitosan were prepared and used as a seed layer for the fabrication of well-aligned ZnO nanorods. Field emission scanning electron microscopy, energy dispersive X-ray, high-resolution transmission electron microscopy, X-ray diffraction, and infrared reflection absorption spectroscopic techniques were utilized for the structural characterization of the ZnO nanoparticles/chitosan seed layer-coated ZnO nanorods on a gold-coated glass substrate. This study has shown that the ZnO nanorods are well-aligned, uniform, and dense, exhibit the wurtzite hexagonal structure, and are perpendicularly oriented to the substrate. Moreover, the ZnO nanorods are only composed of Zn and O atoms. An optical study was also carried out for the ZnO nanoparticles/chitosan seed layer-coated ZnO nanorods, and the obtained results have shown that the fabricated ZnO nanorods exhibit good crystal quality. This study has provided a cheap fabrication method for the controlled morphology and good alignment of ZnO nanorods, which is of high demand for enhancing the working performance of optoelectronic devices.
Khun, Kimleang; Ibupoto, Zafar Hussain; AlSalhi, Mohamad S.; Atif, Muhammad; Ansari, Anees A.; Willander, Magnus
2013-01-01
In this study, by taking the advantage of both inorganic ZnO nanoparticles and the organic material chitosan as a composite seed layer, we have fabricated well-aligned ZnO nanorods on a gold-coated glass substrate using the hydrothermal growth method. The ZnO nanoparticles were characterized by the Raman spectroscopic techniques, which showed the nanocrystalline phase of the ZnO nanoparticles. Different composites of ZnO nanoparticles and chitosan were prepared and used as a seed layer for the fabrication of well-aligned ZnO nanorods. Field emission scanning electron microscopy, energy dispersive X-ray, high-resolution transmission electron microscopy, X-ray diffraction, and infrared reflection absorption spectroscopic techniques were utilized for the structural characterization of the ZnO nanoparticles/chitosan seed layer-coated ZnO nanorods on a gold-coated glass substrate. This study has shown that the ZnO nanorods are well-aligned, uniform, and dense, exhibit the wurtzite hexagonal structure, and are perpendicularly oriented to the substrate. Moreover, the ZnO nanorods are only composed of Zn and O atoms. An optical study was also carried out for the ZnO nanoparticles/chitosan seed layer-coated ZnO nanorods, and the obtained results have shown that the fabricated ZnO nanorods exhibit good crystal quality. This study has provided a cheap fabrication method for the controlled morphology and good alignment of ZnO nanorods, which is of high demand for enhancing the working performance of optoelectronic devices. PMID:28788336
Pan, Tingrui; Baldi, Antonio; Ziaie, Babak
2007-06-01
In this paper, we present two remotely adjustable check-valves with an electrochemical release mechanism for implantable biomedical microsystems. These valves allow one to vary the opening pressure set-point and flow resistance over a period of time. The first design consists of a micromachined check-valve array using a SU-8 polymer structural layer deposited on the top of a gold sacrificial layer. The second design is based on a variable length cantilever beam structure with a gold sacrificial layer. The adjustable cantilever-beam structure is fabricated by gold thermo-compression bond of a thin silicon wafer over a glass substrate. In both designs, the evaporated gold can be electrochemically dissolved using a constant DC current via a telemetry link. In the first design the dissolution simply opens up individual outlets, while in the second design, gold anchors are sequentially dissolved hence increasing the effective length of the cantilever beam (reducing the opening pressure). A current density of 35 mA/cm(2) is used to dissolve the gold sacrificial layers. Both gravity and syringe-pump driven flow are used to characterize the valve performance. A multi-stage fluidic performance (e.g. flow resistance and opening pressure) is clearly demonstrated.
NASA Astrophysics Data System (ADS)
Moon, Kyoung-Sik; Liong, Silvia; Li, Haiying; Wong, C. P.
2004-11-01
The contact resistance stability of isotropically conductive adhesives (ICAs) on non-noble metal surfaces under the 85°C/85% relative humidity (RH) aging test was investigated. Previously, we demonstrated that galvanic corrosion has been shown as the main mechanism of the unstable contact resistance of ICAs on non-noble metal surfaces. A sacrificial anode was introduced into the ICA joint for cathodic protection. Zinc, chromium, and magnesium were employed in the ICA formulations as sacrificial anode materials that have much lower electrode-potential values than the metal pad surface, such as tin or tin-based alloys. The effect of particle sizes and loading levels of sacrificial anode materials were studied. Chromium was not as effective in suppressing corrosion as magnesium or zinc because of its strong tendency to self-passivate. The corrosion potential of ICAs was reduced by half with the addition of zinc and magnesium into the ICA formulation. The addition of zinc and magnesium was very effective in controlling galvanic corrosion that takes place in the ICA joints, resulting in stabilized contact resistance of ICAs on Sn, SnPb, and SnAgCu surfaces during the 85°C/85% RH aging test.
Review of Polyimides Used in the Manufacturing of Micro Systems
NASA Technical Reports Server (NTRS)
Wilson, William C.; Atkinson, Gary M.
2007-01-01
Since their invention, polyimides have found numerous uses in MicroElectroMechanical Systems (MEMS) technology. Polyimides can act as photoresist, sacrificial layers, structural layers, and even as a replacement for silicon as the substrate during MEMS fabrication. They enable fabrication of both low and high aspect ratio devices. Polyimides have been used to fabricate expendable molds and reusable flexible molds. Development of a variety of devices that employ polyimides for sensor applications has occurred. Micro-robotic actuator applications include hinges, thermal actuators and residual stress actuators. Currently, polyimides are being used to create new sensors and devices for aerospace applications. This paper presents a review of some of the many uses of polyimides in the development of MEMS devices, including a new polyimide based MEMS fabrication process.
NASA Technical Reports Server (NTRS)
Call, R. L.
1973-01-01
Silicon solar cells operating with induced junctions rather than diffused junctions have been fabricated and tested. Induced junctions were created by forming an inversion layer near the surface of the silicon by supplying a sheet of positive charge above the surface. This charged layer was supplied through three mechanisms: (1) applying a positive potential to a transparent electrode separated from the silicon surface by a dielectric, (2) contaminating the oxide layer with positive ions, and (3) forming donor surface states that leave a positive charge on the surface. A movable semi-infinite shadow delineated the extent of sensitivity of the cell due to the inversion region. Measurements of the response of the inversion layer cell to light of different wavelengths indicated it to be more sensitive to the shorter wavelengths of the sun's spectrum than conventional cells. The greater sensitivity occurs because of the shallow junction and the strong electric field at the surface.
Vertical Diaphragm Electrostatic Actuator for a High Density Ink Jet Printer Head
NASA Astrophysics Data System (ADS)
Norimatsu, Takayuki; Tanaka, Shuji; Esashi, Masayoshi
This paper describes the design, fabrication process and preliminary evaluation of an electrostatic ink jet printer head with vertical diaphragms in deep trenches. By adopting the novel structure where an ink cavity is surrounded by the vertical diaphragm, the footprint of each unit (40 μm × 500 μm) becomes approximately one fifth as small as that of a conventional one. Such small footprint is advantageous in cost, resolution and printing speed. To make the vertical diaphragms, a 0.5 μm thick sacrificial thermally-oxidized layer and a 4.5 μm thick poly-silicon layer are sequentially formed in deep-reactive-ion-etched trenches, and then the sacrificial layer is etched away by fluoric acid. The nozzles are fabricated on a Pyrex glass substrate by femtosecond laser ablation, and the nozzle outside is covered with a water repellant Au/Pt/Ti layer. Impedance measurement found that the electrostatic gaps were in contact or closely approaching. This could be because the diaphragms buckled by compressive stress induced in low pressure chemical vapor deposition (LPCVD). Ink ejection was tried using commercially-available blue ink, but failed. The nozzles were covered with the ink, because the water repellant finish of the nozzle outside was not good.
NASA Astrophysics Data System (ADS)
Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu
2012-02-01
We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Wang, Jun; Liu, Guodong; Lin, Yuehe
2006-03-01
We report a flow injection amperometric choline biosensors based on the electrostatic assembly of an enzyme of choline oxidase (ChO) and a bi-enzyme of ChO and horseradish peroxidase (HRP) onto multi-wall carbon nanotubes (MWCNT) modified glassy carbon (GC) electrodes. These choline biosensors were fabricated by immobilization of enzymes on the negatively charged MWCNT surface through alternatively assembling a cationic polydiallydiimethylammonium chloride (PDDA) layer and an enzyme layer. Using this layer-by-layer assembling approach, bioactive nanocomposite film of a PDDA/ChO/PDDA/HRP/PDDA/CNT (ChO/HRP/CNT) and a PDDA/ChO/PDDA/ CNT (ChO/ CNT) were fabricated on GC surface, respectively. Owning to the electrocatalytic effect of carbon nanotubes, themore » measurement of faradic responses resulting from enzymatic reactions has been realized at low potential with acceptable sensitivity. It is found the ChO/HRP/CNT biosensor is more sensitive than the ChO/CNT one. Experimental parameters affecting the sensitivity of biosensors, e.g. applied potential, flow rate, etc. were optimized and potential interference was examined. The response time for this choline biosensor is fast (less than a few seconds). The linear range of detection for the choline biosensor is from 5 x 10-5 to 5 x 10-3 M and the detection limit is determined to be about 1.0 x 10-5 M.« less
Comparison of mechanical characteristics of focused ion beam fabricated silicon nanowires
NASA Astrophysics Data System (ADS)
Ina, Ginnosuke; Fujii, Tatsuya; Kozeki, Takahiro; Miura, Eri; Inoue, Shozo; Namazu, Takahiro
2017-06-01
In this study, we investigate the effects of focused ion beam (FIB)-induced damage and specimen size on the mechanical properties of Si nanowires (NWs) by a microelectromechanical system (MEMS)-based tensile testing technique. By an FIB fabrication technique, three types of Si NWs, which are as-FIB-fabricated, annealed, and FIB-implanted NWs, are prepared. A sacrificial-oxidized NW is also prepared to compare the mechanical properties of these FIB-based NWs. The quasi-static uniaxial tensile tests of all the NWs are conducted by scanning electron microscopy (SEM). The fabrication process and specimen size dependences on Young’s modulus and fracture strength are observed. Annealing is effective for improving the Young’s modulus of the FIB-damaged Si. Transmission electron microscopy (TEM) suggests that the mechanism behind the process dependence on the mechanical characteristics is related to the crystallinity of the FIB-damaged portion.
NASA Astrophysics Data System (ADS)
Saito, Jo; Akiyama, Tsuyoshi; Suzuki, Atsushi; Oku, Takeo
2017-01-01
Insoluble fullerene-diamine adduct thin-films consisting of C60 and 1,2-diaminoethane were easily fabricated on an electrode by an alternate immersion process. Formation of the C60-diamine adduct films were confirmed using transmission absorption spectroscopy and atomic force microscopy. An inverted-type organic solar cells were fabricated by using the C60-diamine adduct film as the electron transport layer. The resultant photoelectric conversation performance of the solar cells suggested that photocurrent is generated via the photoexcitation of polythiophene. The result suggests that the present insoluble fullerene-diamine adduct films worked as buffer layer for organic thin-film solar cells.
Yanagi, Itaru; Akahori, Rena; Aoki, Mayu; Harada, Kunio; Takeda, Ken-Ichi
2016-08-16
Integration of solid-state nanopores and multichannel detection of signals from each nanopore are effective measures for realizing high-throughput nanopore sensors. In the present study, we demonstrated fabrication of Si3N4 membrane arrays and the simultaneous measurement of ionic currents through two nanopores formed in two adjacent membranes. Membranes with thicknesses as low as 6.4 nm and small nanopores with diameters of less than 2 nm could be fabricated using the poly-Si sacrificial-layer process and multilevel pulse-voltage injection. Using the fabricated nanopore membranes, we successfully achieved simultaneous detection of clear ionic-current blockades when single-stranded short homopolymers (poly(dA)60) passed through two nanopores. In addition, we investigated the signal crosstalk and leakage current among separated chambers. When two nanopores were isolated on the front surface of the membrane, there was no signal crosstalk or leakage current between the chambers. However, when two nanopores were isolated on the backside of the Si substrate, signal crosstalk and leakage current were observed owing to high-capacitance coupling between the chambers and electrolysis of water on the surface of the Si substrate. The signal crosstalk and leakage current could be suppressed by oxidizing the exposed Si surface in the membrane chip. Finally, the observed ionic-current blockade when poly(dA)60 passed through the nanopore in the oxidized chip was approximately half of that observed in the non-oxidized chip.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhang, Yange, E-mail: zhangygzhang@163.com; Li, Pinjiang; Xu, Xiaoyun
2015-10-15
Highlights: • SnO{sub 2} nanocrystals/thin films were fabricated on ITO glass substrate from preformed SnS thin film as sacrificial template. • The SnO{sub 2} film and SnO{sub 2}/P3HT was characterized by several techniques. • The new hybrid solar cell device was based on the hybrid thin film of SnO{sub 2} NCs and P3HT composites. - Abstract: we described a facile in-situ wet chemical method to prepare SnO{sub 2} thin film on ITO glass substrate from preformed SnS thin film as sacrificial template. The chemical conversion process of SnS to SnO{sub 2} was studied. The SnO{sub 2} film and SnO{sub 2}/P3HTmore » was characterized by several techniques, such as powder X-ray diffract meter (XRD), Raman spectrometer, scanning electron microscope (SEM), atomic force microscope (AFM) and UV–vis spectrophotometer in detail. The new SnO{sub 2}/P3HT hybrid solar cell device showed an open-circuit voltage of 0.185 V, a short-circuit current density of 0.366 mA/cm{sup 2} and a fill factor of 0.247, corresponding to a power conversion efficiency of 0.0167%.« less
Fabrication Processes to Generate Concentration Gradients in Polymer Solar Cell Active Layers
Inaba, Shusei; Vohra, Varun
2017-01-01
Polymer solar cells (PSCs) are considered as one of the most promising low-cost alternatives for renewable energy production with devices now reaching power conversion efficiencies (PCEs) above the milestone value of 10%. These enhanced performances were achieved by developing new electron-donor (ED) and electron-acceptor (EA) materials as well as finding the adequate morphologies in either bulk heterojunction or sequentially deposited active layers. In particular, producing adequate vertical concentration gradients with higher concentrations of ED and EA close to the anode and cathode, respectively, results in an improved charge collection and consequently higher photovoltaic parameters such as the fill factor. In this review, we relate processes to generate active layers with ED–EA vertical concentration gradients. After summarizing the formation of such concentration gradients in single layer active layers through processes such as annealing or additives, we will verify that sequential deposition of multilayered active layers can be an efficient approach to remarkably increase the fill factor and PCE of PSCs. In fact, applying this challenging approach to fabricate inverted architecture PSCs has the potential to generate low-cost, high efficiency and stable devices, which may revolutionize worldwide energy demand and/or help develop next generation devices such as semi-transparent photovoltaic windows. PMID:28772878
Fabrication Processes to Generate Concentration Gradients in Polymer Solar Cell Active Layers.
Inaba, Shusei; Vohra, Varun
2017-05-09
Polymer solar cells (PSCs) are considered as one of the most promising low-cost alternatives for renewable energy production with devices now reaching power conversion efficiencies (PCEs) above the milestone value of 10%. These enhanced performances were achieved by developing new electron-donor (ED) and electron-acceptor (EA) materials as well as finding the adequate morphologies in either bulk heterojunction or sequentially deposited active layers. In particular, producing adequate vertical concentration gradients with higher concentrations of ED and EA close to the anode and cathode, respectively, results in an improved charge collection and consequently higher photovoltaic parameters such as the fill factor. In this review, we relate processes to generate active layers with ED-EA vertical concentration gradients. After summarizing the formation of such concentration gradients in single layer active layers through processes such as annealing or additives, we will verify that sequential deposition of multilayered active layers can be an efficient approach to remarkably increase the fill factor and PCE of PSCs. In fact, applying this challenging approach to fabricate inverted architecture PSCs has the potential to generate low-cost, high efficiency and stable devices, which may revolutionize worldwide energy demand and/or help develop next generation devices such as semi-transparent photovoltaic windows.
Method for integrating microelectromechanical devices with electronic circuitry
Barron, Carole C.; Fleming, James G.; Montague, Stephen
1999-01-01
A method is disclosed for integrating one or more microelectromechanical (MEM) devices with electronic circuitry on a common substrate. The MEM device can be fabricated within a substrate cavity and encapsulated with a sacrificial material. This allows the MEM device to be annealed and the substrate planarized prior to forming electronic circuitry on the substrate using a series of standard processing steps. After fabrication of the electronic circuitry, the electronic circuitry can be protected by a two-ply protection layer of titanium nitride (TiN) and tungsten (W) during an etch release process whereby the MEM device is released for operation by etching away a portion of a sacrificial material (e.g. silicon dioxide or a silicate glass) that encapsulates the MEM device. The etch release process is preferably performed using a mixture of hydrofluoric acid (HF) and hydrochloric acid (HCI) which reduces the time for releasing the MEM device compared to use of a buffered oxide etchant. After release of the MEM device, the TiN:W protection layer can be removed with a peroxide-based etchant without damaging the electronic circuitry.
Manufacture of Micromirror Arrays Using a CMOS-MEMS Technique.
Kao, Pin-Hsu; Dai, Ching-Liang; Hsu, Cheng-Chih; Wu, Chyan-Chyi
2009-01-01
In this study we used the commercial 0.35 μm CMOS (complementary metal oxide semiconductor) process and simple maskless post-processing to fabricate an array of micromirrors exhibiting high natural frequency. The micromirrors were manufactured from aluminum; the sacrificial layer was silicon dioxide. Because we fabricated the micromirror arrays using the standard CMOS process, they have the potential to be integrated with circuitry on a chip. For post-processing we used an etchant to remove the sacrificial layer and thereby suspend the micromirrors. The micromirror array contained a circular membrane and four fixed beams set symmetrically around and below the circular mirror; these four fan-shaped electrodes controlled the tilting of the micromirror. A MEMS (microelectromechanical system) motion analysis system and a confocal 3D-surface topography were used to characterize the properties and configuration of the micromirror array. Each micromirror could be rotated in four independent directions. Experimentally, we found that the micromirror had a tilting angle of about 2.55° when applying a driving voltage of 40 V. The natural frequency of the micromirrors was 59.1 kHz.
Mohanty, Soumyaranjan; Sanger, Kuldeep; Heiskanen, Arto; Trifol, Jon; Szabo, Peter; Dufva, Marin; Emnéus, Jenny; Wolff, Anders
2016-04-01
Limitations in controlling scaffold architecture using traditional fabrication techniques are a problem when constructing engineered tissues/organs. Recently, integration of two pore architectures to generate dual-pore scaffolds with tailored physical properties has attracted wide attention in tissue engineering community. Such scaffolds features primary structured pores which can efficiently enhance nutrient/oxygen supply to the surrounding, in combination with secondary random pores, which give high surface area for cell adhesion and proliferation. Here, we present a new technique to fabricate dual-pore scaffolds for various tissue engineering applications where 3D printing of poly(vinyl alcohol) (PVA) mould is combined with salt leaching process. In this technique the sacrificial PVA mould, determining the structured pore architecture, was filled with salt crystals to define the random pore regions of the scaffold. After crosslinking the casted polymer the combined PVA-salt mould was dissolved in water. The technique has advantages over previously reported ones, such as automated assembly of the sacrificial mould, and precise control over pore architecture/dimensions by 3D printing parameters. In this study, polydimethylsiloxane and biodegradable poly(ϵ-caprolactone) were used for fabrication. However, we show that this technique is also suitable for other biocompatible/biodegradable polymers. Various physical and mechanical properties of the dual-pore scaffolds were compared with control scaffolds with either only structured or only random pores, fabricated using previously reported methods. The fabricated dual-pore scaffolds supported high cell density, due to the random pores, in combination with uniform cell distribution throughout the scaffold, and higher cell proliferation and viability due to efficient nutrient/oxygen transport through the structured pores. In conclusion, the described fabrication technique is rapid, inexpensive, scalable, and compatible
Triple-Layer Vascular Grafts Fabricated by Combined E-Jet 3D Printing and Electrospinning.
Huang, Ruiying; Gao, Xiangkai; Wang, Jian; Chen, Haoxiang; Tong, Chunyi; Tan, Yongjun; Tan, Zhikai
2018-05-29
Small-diameter tissue-engineered vascular grafts are urgently needed for clinic arterial substitute. To simulate the structures and functions of natural blood vessels, we designed a novel triple-layer poly(ε-caprolactone) (PCL) fibrous vascular graft by combining E-jet 3D printing and electrospinning techniques. The resultant vascular graft consisted of an interior layer comprising 3D-printed highly aligned strong fibers, a middle layer made by electrospun densely fibers, and an exterior structure composed of mixed fibers fabricated by co-electrospraying. The biocompatible triple-layer graft was used for in vivo implantation, and results demonstrated that the longitudinally-aligned fibers within the lumen of the graft could enhance the proliferation and migration of endothelial cells, while maintained good mechanical properties. The exterior layer provided a pathway that encouraged cells to migrate into the scaffold after implantation. This experimental graft overcame the limitations of conventionally electrospun vascular grafts of inadequate porosity and lowly cell penetration. The unique structure of the triple-layer vascular graft promoted cell growth and infiltration in vivo, thus provided an encouraging substitute for in situ tissue engineering.
A cochlear implant fabricated using a bulk silicon-surface micromachining process
NASA Astrophysics Data System (ADS)
Bell, Tracy Elizabeth
1999-11-01
This dissertation presents the design and fabrication of two generations of a silicon microelectrode array for use in a cochlear implant. A cochlear implant is a device that is inserted into the inner ear and uses electrical stimulation to provide sound sensations to the profoundly deaf. The first-generation silicon cochlear implant is a passive device fabricated using silicon microprobe technology developed at the University of Michigan. It contains twenty-two iridium oxide (IrO) stimulating sites that are 250 mum in diameter and spaced at 750 mum intervals. In-vivo recordings were made in guinea pig auditory cortex in response to electrical stimulation with this device, verifying its ability to electrically evoke an auditory response. Auditory thresholds as low as 78 muA were recorded. The second-generation implant is a thirty-two site, four-channel device with on-chip CMOS site-selection circuitry and integrated position sensing. It was fabricated using a novel bulk silicon surface micromachining process which was developed as a part of this dissertation work. While the use of semiconductor technology offers many advantages in fabricating cochlear implants over the methods currently used, it was felt that even further advantages could be gained by developing a new micromachining process which would allow circuitry to be distributed along the full length of the cochlear implant substrate. The new process uses electropolishing of an n+ bulk silicon sacrificial layer to undercut and release n- epitaxial silicon structures from the wafer. An extremely abrupt etch-stop between the n+ and n- silicon is obtained, with no electropolishing taking place in the n-type silicon that is doped lower than 1 x 1017 cm-3 in concentration. Lateral electropolishing rates of up to 50 mum/min were measured using this technique, allowing one millimeter-wide structures to be fully undercut in as little as 10 minutes. The new micromachining process was integrated with a standard p
Sakaida, Shun; Haraguchi, Tomoyuki; Otsubo, Kazuya; Sakata, Osami; Fujiwara, Akihiko; Kitagawa, Hiroshi
2017-07-17
We report the fabrication and characterization of the first example of a tetracyanonickelate-based two-dimensional-layered metal-organic framework, {Fe(py) 2 Ni(CN) 4 } (py = pyridine), thin film. To fabricate a nanometer-sized thin film, we utilized the layer-by-layer method, whereby a substrate was alternately soaked in solutions of the structural components. Surface X-ray studies revealed that the fabricated film was crystalline with well-controlled growth directions both parallel and perpendicular to the substrate. In addition, lattice parameter analysis indicated that the crystal system is found to be close to higher symmetry by being downsized to a thin film.
Maes, S.M.; Tikoff, B.; Ferre, E.C.; Brown, P.E.; Miller, J.D.
2007-01-01
The Sonju Lake intrusion (SLI), in northeastern Minnesota, is a layered mafic complex of Keweenawan age (1096.1 ?? 0.8 Ma) related to the Midcontinent rift. The cumulate paragenesis of the intrusion is recognized as broadly similar to the Skaergaard intrusion, a classic example of closed-system differentiation of a tholeiitic mafic magma. The SLI represents nearly closed-system differentiation through bottom-up fractional crystallization. Geochemical studies have identified the presence of a stratabound, 50-100 m thick zone anomalously enriched in Au + PGE. Similar to the PGE reefs of the Skaergaard intrusion, this PGE-enriched zone is hosted within oxide gabbro cumulates, about two-third of the way up from the base of the intrusion. We present a petrofabric study using the anisotropy of magnetic susceptibility (AMS) to investigate the emplacement and flow patterns within the Sonju Lake intrusion. Petrographic and electron microprobe studies, combined with AMS and hysteresis measurements indicate the primary source of the magnetic signal is pseudo-single domain (PSD) magnetite or titanomagnetite. Low field AMS was measured at 32 sites within the Sonju Lake intrusion, which provided information about primary igneous fabrics. The magnetic fabrics in the layered series of the Sonju Lake intrusion are consistent with sub-horizontal to inclined emplacement of the intrusion and show evidence that the cumulate layers were deposited in a dynamic environment. Well-aligned magnetic lineations, consistently plunging shallowly toward the southwest, indicate the source of the magma is a vertical sill-like feeder, presumably located beneath the Finland granite. The Finland granite acted as a density trap for the Sonju Lake magmas, forcing lateral flow of magma to the northeast. The strongly oblate magnetic shape fabrics indicate the shallowly dipping planar fabrics were enhanced by compaction of the crystal mush. ?? 2007 Elsevier B.V. All rights reserved.
Method for forming a layer of synthetic corrosion products on tubing surfaces
Lane, Michael H.; Salamon, Eugene J. M.
1996-01-01
A method is provided for forming a synthetic corrosion product layer on tube surfaces. The method utilizes two dissimilar materials with different coefficients of thermal expansion. An object tube and sacrificial tube are positioned one inside the other such that an annular region is created between the two tubes' surfaces. A slurry of synthetic corrosion products is injected into this annular region and the assembly is heat treated. This heat causes the tubes to expand, the inner tube with the higher coefficient of expansion expanding more than the outer tube, thereby creating internal pressures which consolidate the corrosion products and adhere the corrosion products to the tubing surfaces. The sacrificial tube may then be removed by conventional chemical etching or mechanical methods.
Comparative surface studies on wet and dry sacrificial thermal oxidation on silicon carbide
NASA Astrophysics Data System (ADS)
Koh, A.; Kestle, A.; Wright, C.; Wilks, S. P.; Mawby, P. A.; Bowen, W. R.
2001-04-01
A comparative study on the effect of wet and dry thermal oxidation on 4H-silicon carbide (SiC) and on sacrificial silicon (Si) thermal oxidation on 4H-SiC surface has been conducted using atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The AFM images show the formation of 'nano-islands' of varying density on the SiC surface after the removal of thermal oxide using hydrofluoric (HF) acid etch. These nano-islands are resistant to HF acid and have been previously linked to residual carbon [1-3] resulting from the oxidation process. This paper presents the use of a sacrificial silicon oxidation (SSO) step as a form of surface preparation that gives a reproducible clean SiC surface. XPS results show a slight electrical shift in binding energy between the wet and dry thermal oxidation on the standard SiC surface, while the surface produced by the SSO technique shows a minimal shift.
Sutter, Richard C; Verano, John W
2007-02-01
The purpose of this study is to test two competing models regarding the origins of Early Intermediate Period (AD 200-750) sacrificial victims from the Huacas de Moche site using the matrix correlation method. The first model posits the sacrificial victims represent local elites who lost competitions in ritual battles with one another, while the other model suggests the victims were nonlocal warriors captured during warfare with nearby polities. We estimate biodistances for sacrificial victims from Huaca de la Luna Plaza 3C (AD 300-550) with eight previously reported samples from the north coast of Peru using both the mean measure of divergence (MMD) and Mahalanobis' distance (d2). Hypothetical matrices are developed based upon the assumptions of each of the two competing models regarding the origins of Moche sacrificial victims. When the MMD matrix is compared to the two hypothetical matrices using a partial-Mantel test (Smouse et al.: Syst Zool 35 (1986) 627-632), the ritual combat model (i.e. local origins) has a low and nonsignificant correlation (r = 0.134, P = 0.163), while the nonlocal origins model is highly correlated and significant (r = 0.688, P = 0.001). Comparisons of the d2 results and the two hypothetical matrices also produced low and nonsignificant correlation for the ritual combat model (r = 0.210, P = 0.212), while producing a higher and statistically significant result with the nonlocal origins model (r = 0.676, P = 0.002). We suggest that the Moche sacrificial victims represent nonlocal warriors captured in territorial combat with nearby competing polities. Copyright 2006 Wiley-Liss, Inc.
From Eshu to Obatala: animals used in sacrificial rituals at Candomblé "terreiros" in Brazil
Léo Neto, Nivaldo A; Brooks, Sharon E; Alves, Rômulo RN
2009-01-01
Background The practice of sacrifice has occurred in several cultures and religions throughout history and still exists today. Candomblé, a syncretical Afro-Brazilian religion, practices the sacrificial ritual called "Orô" by its adherents. The present work aims to document the use of animal species in these sacrificial practices in the cities of Caruaru (PE) and Campina Grande (PB) in Norteastern Brazil, and to further understand the symbolism of these rituals. Methods Semi-structured and unstructured interviews and informal discussions were held with 11 Candomblé priests and priestesses between the months of August 2007 and June 2008. We attended rituals performed at "terreiros" where animals were sacrificed, in order to obtain photographic material and observe the procedures and techniques adopted. Results A total of 29 animal species were used during sacrificial rituals according to the priests and priestesses. These species were classified in 5 taxanomic groups: Molluscs (n = 1), Amphibians (n = 2), Reptiles (n = 2), Birds (n = 10) and Mammals (n = 14). According to Candomblé beliefs, animals are sacrificed and offered to their deities, known as orishas, for the prosperity of all life. There is a relationship between the colour, sex and behaviour of the animal to be sacrificed, and the orisha to whom the animal is going to be offered. The many myths that form the cosmogony of Candomblé can often explain the symbolism of the rituals observed and the animal species sacrificed. These myths are conveyed to adherants by the priests and priestesses during the ceremonies, and are essential to the continuation of this religion. Conclusion Candomblé is a sacrificial religion that uses animals for its liturgical purposes. The principal reason for sacrifice is to please supernatural deities known as orishas in order to keep life in harmony. This is accomplished through feeding them in a spiritual sense through sacrifice, maintaining a perfect link between men and
Park, Eun-Mi; Lim, Seung-Kyu; Ra, Senug-Hyun; Suh, Su-Jung
2013-11-01
Aluminum anodizing can alter pore diameter, density distribution, periodicity and layer thickness in a controlled way. Because of this property, porous type anodic aluminum oxide (AAO) was used as a template for nano-structure fabrication. The alumina layer generated at a constant voltage increased the pore size from 120 nm to 205 nm according to an increasing process time from 60 min to 150 min. The resulting fabricated AAO templates had pore diameters at or less than 200 nm. Ni was sputtered as a conductive layer onto this AAO template and electroplated using DC and pulse power. Comparing these Ni stamps, those generated from electroplating using on/reverse/off pulsing had an ordered pillar array and maintained the AAO template morphology. This stamp was used for nano-imprinting on UV curable resin coated glass wafer. Surface observations via electron microscopy showed that the nano-imprinted patterned had the same shape as the AAO template. A soft mold was subsequently fabricated and nano-imprinted to form a moth-eye structure on the glass wafer. An analysis of the substrate transmittance using UV-VIS/NIR spectroscopy showed that the transmittance of the substrate with the moth-eye structure was 5% greater that the non-patterned substrate.
Porous titanium scaffolds fabricated using a rapid prototyping and powder metallurgy technique.
Ryan, Garrett E; Pandit, Abhay S; Apatsidis, Dimitrios P
2008-09-01
One of the main issues in orthopaedic implant design is the fabrication of scaffolds that closely mimic the biomechanical properties of the surrounding bone. This research reports on a multi-stage rapid prototyping technique that was successfully developed to produce porous titanium scaffolds with fully interconnected pore networks and reproducible porosity and pore size. The scaffolds' porous characteristics were governed by a sacrificial wax template, fabricated using a commercial 3D-printer. Powder metallurgy processes were employed to generate the titanium scaffolds by filling around the wax template with titanium slurry. In the attempt to optimise the powder metallurgy technique, variations in slurry concentration, compaction pressure and sintering temperature were investigated. By altering the wax design template, pore sizes ranging from 200 to 400 microm were achieved. Scaffolds with porosities of 66.8 +/- 3.6% revealed compression strengths of 104.4+/-22.5 MPa in the axial direction and 23.5 +/- 9.6 MPa in the transverse direction demonstrating their anisotropic nature. Scaffold topography was characterised using scanning electron microscopy and microcomputed tomography. Three-dimensional reconstruction enabled the main architectural parameters such as pore size, interconnecting porosity, level of anisotropy and level of structural disorder to be determined. The titanium scaffolds were compared to their intended designs, as governed by their sacrificial wax templates. Although discrepancies in architectural parameters existed between the intended and the actual scaffolds, overall the results indicate that the porous titanium scaffolds have the properties to be potentially employed in orthopaedic applications.
NASA Astrophysics Data System (ADS)
Pratesa, Yudha; Ferdian, Deni; Ramadhan, Fajar Yusya; Maulana, Bramuda
2018-05-01
Sacrificial Anode Low voltage is the latest generation of the sacrificial anode that can prevent the occurrence of Hydrogen Cracking (HIC) due to overprotection. The Al-5n-0.5Cu alloy showed the potential to be developed as the new sacrificial anode. However, the main problem is copper made Al2Cu intermetallic in grain boundary. Samarium is added to modify the shape of the intermetallic to make it finer and make the corrosion uniform. Several characterizations were conducted to analyze the effect of Samarium. Scanning electron microscope (SEM) and Energy dispersive spectroscopy was used to analyzed the microstructure of the alloy. Metallography preparation was prepared for SEM analysis. Corrosion behavior was characterized by cyclic polarization in 3.5% NaCl solution. The results show samarium can change the shape of intermetallic and refine the grains. In addition, samarium makes better pitting resistance and exhibits a tendency for uniform corrosion. It is indicated by the loop reduction (ΔEpit-prot). Current density increased as an effect of samarium addition from 6x10-5 Ampere (Al-5Zn-0.5Cu) to 2.5x10-4 Ampere (Al-5Zn-0.5Cu-0.5Sm). Steel potential protection increased after addition of samarium which is an indication the possibility of Al-Zn-Cu-Sm to be used as low voltage sacrificial anode.
Method to fabricate multi-level silicon-based microstructures via use of an etching delay layer
Manginell, Ronald P.; Schubert, W. Kent; Shul, Randy J.
2005-08-16
New methods for fabrication of silicon microstructures have been developed. In these methods, an etching delay layer is deposited and patterned so as to provide differential control on the depth of features being etched into a substrate material. Structures having features with different depth can be formed thereby in a single etching step.
Conductive paper fabricated by layer-by-layer assembly of polyelectrolytes and ITO nanoparticles
NASA Astrophysics Data System (ADS)
Peng, C. Q.; Thio, Y. S.; Gerhardt, R. A.
2008-12-01
A new salt-free approach was developed for fabricating conductive paper by layer-by-layer (LBL) assembly of conductive indium tin oxide (ITO) nanoparticles and polyelectrolytes onto wood fibers. Subsequent to the coating procedure, the fibers were manufactured into conductive paper using traditional paper making methods. The wood fibers were first coated with polyethyleneimine (PEI) and then LBL assembled with poly(sodium 4-styrenesulfonate) (PSS) and ITO for several bilayers. The surface charge intensity of both the ITO nanoparticles and the coated wood fibers were evaluated by measuring the ζ-potential of the nanoparticles and short fibers, respectively. The ITO nanoparticles were found to preferentially aggregate on defects on the fiber surfaces and formed interconnected paths, which led to the formation of conductive percolation paths throughout the whole paper. With ten bilayer coatings, the as-made paper was made DC conductive, and its σdc was measured to be 5.2 × 10-6 S cm-1 in the in-plane (IP) direction, while the conductivity was 1.9 × 10-8 S cm-1 in the through-the-thickness (TT) direction. The percolation phenomena in these LBL-assembled ITO-coated paper fibers was evaluated using scanning electron microscopy (SEM), current atomic force microscopy (I-AFM), and impedance measurements. The AC electrical properties are reported for frequencies ranging from 0.01 Hz to 1 MHz. A clear transition from insulating to conducting behavior is observed in the AC conductivity.
Fabrication of nanostructured ZnO film as a hole-conducting layer of organic photovoltaic cell
NASA Astrophysics Data System (ADS)
Kim, Hyomin; Kwon, Yiseul; Choe, Youngson
2013-05-01
We have investigated the effect of fibrous nanostructured ZnO film as a hole-conducting layer on the performance of polymer photovoltaic cells. By increasing the concentration of zinc acetate dihydrate, the changes of performance characteristics were evaluated. Fibrous nanostructured ZnO film was prepared by sol-gel process and annealed on a hot plate. As the concentration of zinc acetate dihydrate increased, ZnO fibrous nanostructure grew from 300 to 600 nm. The obtained ZnO nanostructured fibrous films have taken the shape of a maze-like structure and were characterized by UV-visible absorption, scanning electron microscopy, and X-ray diffraction techniques. The intensity of absorption bands in the ultraviolet region was increased with increasing precursor concentration. The X-ray diffraction studies show that the ZnO fibrous nanostructures became strongly (002)-oriented with increasing concentration of precursor. The bulk heterojunction photovoltaic cells were fabricated using poly(3-hexylthiophene-2,5-diyl) and indene-C60 bisadduct as active layer, and their electrical properties were investigated. The external quantum efficiency of the fabricated device increased with increasing precursor concentration.
Fabrication of nanostructured ZnO film as a hole-conducting layer of organic photovoltaic cell
2013-01-01
We have investigated the effect of fibrous nanostructured ZnO film as a hole-conducting layer on the performance of polymer photovoltaic cells. By increasing the concentration of zinc acetate dihydrate, the changes of performance characteristics were evaluated. Fibrous nanostructured ZnO film was prepared by sol-gel process and annealed on a hot plate. As the concentration of zinc acetate dihydrate increased, ZnO fibrous nanostructure grew from 300 to 600 nm. The obtained ZnO nanostructured fibrous films have taken the shape of a maze-like structure and were characterized by UV-visible absorption, scanning electron microscopy, and X-ray diffraction techniques. The intensity of absorption bands in the ultraviolet region was increased with increasing precursor concentration. The X-ray diffraction studies show that the ZnO fibrous nanostructures became strongly (002)-oriented with increasing concentration of precursor. The bulk heterojunction photovoltaic cells were fabricated using poly(3-hexylthiophene-2,5-diyl) and indene-C60 bisadduct as active layer, and their electrical properties were investigated. The external quantum efficiency of the fabricated device increased with increasing precursor concentration. PMID:23680100
Bechler, Shane L; Lynn, David M
2012-05-14
We report on conjugate addition-based approaches to the covalent layer-by-layer assembly of thin films and the post-fabrication functionalization of biointerfaces. Our approach is based on a recently reported approach to the "reactive" assembly of covalently cross-linked polymer multilayers driven by the 1,4-conjugate addition of amine functionality in poly(ethyleneimine) (PEI) to the acrylate groups in a small-molecule pentacrylate species (5-Ac). This process results in films containing degradable β-amino ester cross-links and residual acrylate and amine functionality that can be used as reactive handles for the subsequent immobilization of new functionality. Layer-by-layer growth of films fabricated on silicon substrates occurred in a supra-linear manner to yield films ≈ 750 nm thick after the deposition of 80 PEI/5-Ac layers. Characterization by atomic force microscopy (AFM) suggested a mechanism of growth that involves the reactive deposition of nanometer-scale aggregates of PEI and 5-Ac during assembly. Infrared (IR) spectroscopy studies revealed covalent assembly to occur by 1,4-conjugate addition without formation of amide functionality. Additional experiments demonstrated that acrylate-containing films could be postfunctionalized via conjugate addition reactions with small-molecule amines that influence important biointerfacial properties, including water contact angles and the ability of film-coated surfaces to prevent or promote the attachment of cells in vitro. For example, whereas conjugation of the hydrophobic molecule decylamine resulted in films that supported cell adhesion and growth, films treated with the carbohydrate-based motif D-glucamine resisted cell attachment and growth almost completely for up to 7 days in serum-containing media. We demonstrate that this conjugate addition-based approach also provides a means of immobilizing functionality through labile ester linkages that can be used to promote the long-term, surface-mediated release
Templated and template-free fabrication strategies for zero-dimensional hollow MOF superstructures.
Kim, Hyehyun; Lah, Myoung Soo
2017-05-16
Various fabrication strategies for hollow metal-organic framework (MOF) superstructures are reviewed and classified using various types of external templates and their properties. Hollow MOF superstructures have also been prepared without external templates, wherein unstable intermediates obtained during reactions convert to the final hollow MOF superstructures. Many hollow MOF superstructures have been fabricated using hard templates. After the core-shell core@MOF structure was prepared using a hard template, the core was selectively etched to generate a hollow MOF superstructure. Another approach for generating hollow superstructures is to use a solid reactant as a sacrificial template; this method requires no additional etching process. Soft templates such as discontinuous liquid/emulsion droplets and gas bubbles in a continuous soft phase have also been employed to prepare hollow MOF superstructures.
Rasappa, Sozaraj; Borah, Dipu; Senthamaraikannan, Ramsankar; Faulkner, Colm C; Holmes, Justin D; Morris, Michael A
2014-07-01
The need for materials for high energy storage has led to very significant research in supercapacitor systems. These can exhibit electrical double layer phenomena and capacitances up to hundreds of F/g. Here, we demonstrate a new supercapacitor fabrication methodology based around the microphase separation of PS-b-PMMA which has been used to prepare copper nanoelectrodes of dimension -13 nm. These structures provide excellent capacitive performance with a maximum specific capacitance of -836 F/g for a current density of 8.06 A/g at a discharge current as high as 75 mA. The excellent performance is due to a high surface area: volume ratio. We suggest that this highly novel, easily fabricated structure might have a number of important applications.
2017-01-01
Nanoporous anodic aluminum oxide (AAO) membranes are being used for an increasing number of applications. However, the original two-step anodization method in which the first anodization is sacrificial to pre-pattern the second is still widely used to produce them. This method provides relatively low throughput and material utilization as half of the films are discarded. An alternative scheme that relies on alternating anodization and cathodic delamination is demonstrated that allows for the fabrication of several AAO films with only one sacrificial layer thus greatly improving total aluminum to alumina yield. The thickness for which the cathodic delamination performs best to yield full, unbroken AAO sheets is around 85 μm. Additionally, an image analysis method is used to quantify the degree of long-range ordering of the unit cells in the AAO films which was found to increase with each successive iteration of the fabrication cycle. PMID:28630684
Choudhary, Eric; Szalai, Veronika
2016-01-01
Nanoporous anodic aluminum oxide (AAO) membranes are being used for an increasing number of applications. However, the original two-step anodization method in which the first anodization is sacrificial to pre-pattern the second is still widely used to produce them. This method provides relatively low throughput and material utilization as half of the films are discarded. An alternative scheme that relies on alternating anodization and cathodic delamination is demonstrated that allows for the fabrication of several AAO films with only one sacrificial layer thus greatly improving total aluminum to alumina yield. The thickness for which the cathodic delamination performs best to yield full, unbroken AAO sheets is around 85 μm. Additionally, an image analysis method is used to quantify the degree of long-range ordering of the unit cells in the AAO films which was found to increase with each successive iteration of the fabrication cycle.
NASA Astrophysics Data System (ADS)
Schlautmann, S.; Besselink, G. A. J.; Radhakrishna Prabhu, G.; Schasfoort, R. B. M.
2003-07-01
A method for the bonding of a microfluidic device at room temperature is presented. The wafer with the fluidic structures was bonded to a sensor wafer with gold pads by means of adhesive bonding, utilizing an UV-curable glue layer. To avoid filling the fluidic channels with the glue, a stamping process was developed which allows the selective application of a thin glue layer. In this way a microfluidic glass chip was fabricated that could be used for performing surface plasmon resonance measurements without signs of leakage. The advantage of this method is the possibility of integration of organic layers as well as other temperature-sensitive layers into a microfluidic glass device.
Wu, Mengchun; An, Ni; Li, Yang; Sun, Junqi
2016-11-29
Fluorine-free self-healing superhydrophobic films are of significance for practical applications because of their extended service life and cost-effective and eco-friendly preparation process. In this study, we report the fabrication of fluorine-free self-healing superhydrophobic films by layer-by-layer (LbL) assembly of poly(sodium 4-styrenesulfonate) (PSS)-1-octadecylamine (ODA) complexes (PSS-ODA) and poly(allylamine hydrochloride) (PAH)-sodium dodecyl sulfonate (SDS) (PAH-SDS) complexes. The wettability of the LbL-assembled PSS-ODA/PAH-SDS films depends on the film structure and can be tailored by changing the NaCl concentration in aqueous dispersions of PSS-ODA complexes and the number of film deposition cycles. The freshly prepared PSS-ODA/PAH-SDS film with micro- and nanoscaled hierarchical structures is hydrophilic and gradually changes to superhydrophobic in air because the polyelectrolyte-complexed ODA and SDS surfactants tend to migrate to the film surface to cover the film with hydrophobic alkyl chains to lower its surface energy. The large amount of ODA and SDS surfactants loaded in the superhydrophobic PSS-ODA/PAH-SDS films and the autonomic migration of these surfactants to the film surface endow the resultant superhydrophobic films with an excellent self-healing ability to restore the damaged superhydrophobicity. The self-healing superhydrophobic PSS-ODA/PAH-SDS films are mechanically robust and can be deposited on various flat and nonflat substrates. The LbL assembly of oppositely charged polyelectrolyte-surfactant complexes provides a new way for the fabrication of fluorine-free self-healing superhydrophobic films with satisfactory mechanical stability, enhanced reliability, and extended service life.
Composite metal foil and ceramic fabric materials
Webb, B.J.; Antoniak, Z.I.; Prater, J.T.; DeSteese, J.G.
1992-03-24
The invention comprises new materials useful in a wide variety of terrestrial and space applications. In one aspect, the invention comprises a flexible cloth-like material comprising a layer of flexible woven ceramic fabric bonded with a layer of metallic foil. In another aspect, the invention includes a flexible fluid impermeable barrier comprising a flexible woven ceramic fabric layer having metal wire woven therein. A metallic foil layer is incontinuously welded to the woven metal wire. In yet another aspect, the invention includes a material comprising a layer of flexible woven ceramic fabric bonded with a layer of an organic polymer. In still another aspect, the invention includes a rigid fabric structure comprising a flexible woven ceramic fabric and a resinous support material which has been hardened as the direct result of exposure to ultraviolet light. Inventive methods for producing such material are also disclosed. 11 figs.
Saftics, Andras; Kurunczi, Sándor; Szekrényes, Zsolt; Kamarás, Katalin; Khánh, Nguyen Quoc; Sulyok, Attila; Bősze, Szilvia; Horvath, Robert
2016-10-01
Surface coatings of the polysaccharide dextran and its derivatives are key ingredients especially in label-free biosensors for the suppression of non-specific binding and for receptor immobilization. Nevertheless, the nanostructure of these ultrathin coatings and its tailoring by the variation of the preparation conditions have not been profoundly characterized and understood. In this work carboxymethylated dextran (CMD) was prepared and used for fabricating ultrathin surface coatings. A grafting method based on covalent coupling to aminosilane- and epoxysilane-functionalized surfaces was applied to obtain thin CMD layers. The carboxyl moiety of the CMD was coupled to the aminated surface by EDC-NHS reagents, while CMD coupling through epoxysilane molecules was performed without any additional reagents. The surface analysis following the grafting procedures consisted of X-ray photoelectron spectroscopy (XPS), attenuated total reflection infrared spectroscopy (ATR-IR), spectroscopic ellipsometry, atomic force microscopy (AFM) and optical waveguide lightmode spectroscopy (OWLS). The XPS and AFM measurements showed that the grafting resulted in a very thin dextran layer of a few nanometers. The OWLS method allowed devising the structure of the interfacial dextran layers by the evaluation of the optogeometrical parameters. The alteration in the nanostructure of the CMD layer with the chemical composition of the silane coverage and the pH of the grafting solution was revealed by in situ OWLS, specifically, lain down chains were found to be prevalent on the surface under neutral and basic conditions on epoxysilylated surfaces. The developed methodologies allowed to design and fabricate nanometer scale CMD layers with well-controlled surface structure, which are very difficult to characterize in aqueous environments using present instrumentations and highly hydrated surface layers. Copyright © 2016 Elsevier B.V. All rights reserved.
Shi, Wenwu; Chopra, Nitin
2012-10-24
Fabrication of oxide nanowire heterostructures with controlled morphology, interface, and phase purity is critical for high-efficiency and low-cost photocatalysis. Here, we have studied the formation of copper oxide-cobalt nanowire heterostructures by sputtering and subsequent air annealing to result in cobalt oxide (Co(3)O(4))-coated CuO nanowires. This approach allowed fabrication of standing nanowire heterostructures with tunable compositions and morphologies. The vertically standing CuO nanowires were synthesized in a thermal growth method. The shell growth kinetics of Co and Co(3)O(4) on CuO nanowires, morphological evolution of the shell, and nanowire self-shadowing effects were found to be strongly dependent on sputtering duration, air-annealing conditions, and alignment of CuO nanowires. Finite element method (FEM) analysis indicated that alignment and stiffness of CuO-Co nanowire heterostructures greatly influenced the nanomechanical aspects such as von Mises equivalent stress distribution and bending of nanowire heterostructures during the Co deposition process. This fundamental knowledge was critical for the morphological control of Co and Co(3)O(4) on CuO nanowires with desired interfaces and a uniform coating. Band gap energies and phenol photodegradation capability of CuO-Co(3)O(4) nanowire heterostructures were studied as a function of Co(3)O(4) morphology. Multiple absorption edges and band gap tailings were observed for these heterostructures, indicating photoactivity from visible to UV range. A polycrystalline Co(3)O(4) shell on CuO nanowires showed the best photodegradation performance (efficiency ~50-90%) in a low-powered UV or visible light illumination with a sacrificial agent (H(2)O(2)). An anomalously high efficiency (~67.5%) observed under visible light without sacrificial agent for CuO nanowires coated with thin (∼5.6 nm) Co(3)O(4) shell and nanoparticles was especially interesting. Such photoactive heterostructures demonstrate unique
The layered evolution of fabric and microstructure of snow at Point Barnola, Central East Antarctica
NASA Astrophysics Data System (ADS)
Calonne, Neige; Montagnat, Maurine; Matzl, Margret; Schneebeli, Martin
2017-02-01
Snow fabric, defined as the distribution of the c-axis orientations of the ice crystals in snow, is poorly known. So far, only one study exits that measured snow fabric based on a statistically representative technique. This recent study has revealed the impact of temperature gradient metamorphism on the evolution of fabric in natural snow, based on cold laboratory experiments. On polar ice sheets, snow properties are currently investigated regarding their strong variability in time and space, notably because of their potential influence on firn processes and consequently on ice core analysis. Here, we present measurements of fabric and microstructure of snow from Point Barnola, East Antarctica (close to Dome C). We analyzed a snow profile from 0 to 3 m depth, where temperature gradients occur. The main contributions of the paper are (1) a detailed characterization of snow in the upper meters of the ice sheet, especially by providing data on snow fabric, and (2) the study of a fundamental snow process, never observed up to now in a natural snowpack, namely the role of temperature gradient metamorphism on the evolution of the snow fabric. Snow samples were scanned by micro-tomography to measure continuous profiles of microstructural properties (density, specific surface area and pore thickness). Fabric analysis was performed using an automatic ice texture analyzer on 77 representative thin sections cut out from the samples. Different types of snow fabric could be identified and persist at depth. Snow fabric is significantly correlated with snow microstructure, pointing to the simultaneous influence of temperature gradient metamorphism on both properties. We propose a mechanism based on preferential grain growth to explain the fabric evolution under temperature gradients. Our work opens the question of how such a layered profile of fabric and microstructure evolves at depth and further influences the physical and mechanical properties of snow and firn. More generally
Fabricating Large-Area Sheets of Single-Layer Graphene by CVD
NASA Technical Reports Server (NTRS)
Bronikowski, Michael; Manohara, Harish
2008-01-01
This innovation consists of a set of methodologies for preparing large area (greater than 1 cm(exp 2)) domains of single-atomic-layer graphite, also called graphene, in single (two-dimensional) crystal form. To fabricate a single graphene layer using chemical vapor deposition (CVD), the process begins with an atomically flat surface of an appropriate substrate and an appropriate precursor molecule containing carbon atoms attached to substituent atoms or groups. These molecules will be brought into contact with the substrate surface by being flowed over, or sprayed onto, the substrate, under CVD conditions of low pressure and elevated temperature. Upon contact with the surface, the precursor molecules will decompose. The substituent groups detach from the carbon atoms and form gas-phase species, leaving the unfunctionalized carbon atoms attached to the substrate surface. These carbon atoms will diffuse upon this surface and encounter and bond to other carbon atoms. If conditions are chosen carefully, the surface carbon atoms will arrange to form the lowest energy single-layer structure available, which is the graphene lattice that is sought. Another method for creating the graphene lattice includes metal-catalyzed CVD, in which the decomposition of the precursor molecules is initiated by the catalytic action of a catalytic metal upon the substrate surface. Another type of metal-catalyzed CVD has the entire substrate composed of catalytic metal, or other material, either as a bulk crystal or as a think layer of catalyst deposited upon another surface. In this case, the precursor molecules decompose directly upon contact with the substrate, releasing their atoms and forming the graphene sheet. Atomic layer deposition (ALD) can also be used. In this method, a substrate surface at low temperature is covered with exactly one monolayer of precursor molecules (which may be of more than one type). This is heated up so that the precursor molecules decompose and form one
Fabrication of microchannels in polycrystalline diamond using pre-fabricated Si substrates
NASA Astrophysics Data System (ADS)
Chandran, Maneesh; Elfimchev, Sergey; Michaelson, Shaul; Akhvlediani, Rozalia; Ternyak, Orna; Hoffman, Alon
2017-10-01
In this paper, we report on a simple, feasible method to fabricate microchannels in diamond. Polycrystalline diamond microchannels were produced by fabricating trenches in a Si wafer and subsequently depositing a thin layer of diamond onto this substrate using the hot filament vapor deposition technique. Fabrication of trenches in the Si substrate at different depths was carried out by standard photolithography, and the subsequent deposition of the diamond layer was performed by the hot filament chemical vapor deposition technique. The growth mechanism of diamond that leads to the formation of closed diamond microchannels is discussed in detail based on the Knudsen number and growth chemistry of diamond. Variations in the crystallite size, crystalline quality, and thickness of the diamond layer along the trench depths were systematically analyzed using cross-sectional scanning electron microscopy and Raman spectroscopy. Defect density and formation of non-diamond forms of carbon in the diamond layer were found to increase with the trench depth, which sets a limit of 5-45 μm trench depth (or an aspect ratio of 1-9) for the fabrication of diamond microchannels using this method under the present conditions.
Kahane, Guy; Everett, Jim A C; Earp, Brian D; Farias, Miguel; Savulescu, Julian
2015-01-01
A growing body of research has focused on so-called 'utilitarian' judgments in moral dilemmas in which participants have to choose whether to sacrifice one person in order to save the lives of a greater number. However, the relation between such 'utilitarian' judgments and genuine utilitarian impartial concern for the greater good remains unclear. Across four studies, we investigated the relationship between 'utilitarian' judgment in such sacrificial dilemmas and a range of traits, attitudes, judgments and behaviors that either reflect or reject an impartial concern for the greater good of all. In Study 1, we found that rates of 'utilitarian' judgment were associated with a broadly immoral outlook concerning clear ethical transgressions in a business context, as well as with sub-clinical psychopathy. In Study 2, we found that 'utilitarian' judgment was associated with greater endorsement of rational egoism, less donation of money to a charity, and less identification with the whole of humanity, a core feature of classical utilitarianism. In Studies 3 and 4, we found no association between 'utilitarian' judgments in sacrificial dilemmas and characteristic utilitarian judgments relating to assistance to distant people in need, self-sacrifice and impartiality, even when the utilitarian justification for these judgments was made explicit and unequivocal. This lack of association remained even when we controlled for the antisocial element in 'utilitarian' judgment. Taken together, these results suggest that there is very little relation between sacrificial judgments in the hypothetical dilemmas that dominate current research, and a genuine utilitarian approach to ethics. Copyright © 2014 The Authors. Published by Elsevier B.V. All rights reserved.
Carlson, David E.
1982-01-01
An improved process for fabricating amorphous silicon solar cells in which the temperature of the substrate is varied during the deposition of the amorphous silicon layer is described. Solar cells manufactured in accordance with this process are shown to have increased efficiencies and fill factors when compared to solar cells manufactured with a constant substrate temperature during deposition of the amorphous silicon layer.
AlGaInAs EML having high extinction ratios fabricated by identical epitaxial layer technique
NASA Astrophysics Data System (ADS)
Deng, Qiufang; Guo, Lu; Liang, Song; Sun, Siwei; Xie, Xiao; Zhu, Hongliang; Wang, Wei
2018-04-01
AlGaInAs electroabsorption-modulated lasers (EMLs) fabricated by identical epitaxial layer technique are demonstrated. The EML device shows an infinite characteristic temperature when the temperature ranges from 20 oC to 30 oC. The integrated modulator has static extinction ratios of larger than 20 dB at a reverse bias voltage of - 2 V. The small signal modulation bandwidth of the modulator is larger than 11 GHz. At 10 Gb/s data modulation, the dynamic extinction ratio is about 9.5 dB in a back to back test configuration. Because only a simple fabrication procedure is needed, our EMLs are promising low cost light sources for optical fiber transmission applications.
Manufacture of Micromirror Arrays Using a CMOS-MEMS Technique
Kao, Pin-Hsu; Dai, Ching-Liang; Hsu, Cheng-Chih; Wu, Chyan-Chyi
2009-01-01
In this study we used the commercial 0.35 μm CMOS (complementary metal oxide semiconductor) process and simple maskless post-processing to fabricate an array of micromirrors exhibiting high natural frequency. The micromirrors were manufactured from aluminum; the sacrificial layer was silicon dioxide. Because we fabricated the micromirror arrays using the standard CMOS process, they have the potential to be integrated with circuitry on a chip. For post-processing we used an etchant to remove the sacrificial layer and thereby suspend the micromirrors. The micromirror array contained a circular membrane and four fixed beams set symmetrically around and below the circular mirror; these four fan-shaped electrodes controlled the tilting of the micromirror. A MEMS (microelectromechanical system) motion analysis system and a confocal 3D-surface topography were used to characterize the properties and configuration of the micromirror array. Each micromirror could be rotated in four independent directions. Experimentally, we found that the micromirror had a tilting angle of about 2.55° when applying a driving voltage of 40 V. The natural frequency of the micromirrors was 59.1 kHz. PMID:22454581
NASA Astrophysics Data System (ADS)
Lee, Seong Yun; Kim, Jae Young; Lee, Jun Young; Song, Ho Jun; Lee, Sangkug; Choi, Kyung Ho; Shin, Gyojic
2014-06-01
An excellent transparent film with effective absorption property in near-infrared (NIR) region based on cesium-doped tungsten oxide nanoparticles was fabricated using a facile double layer coating method via the theoretical considerations. The optical performance was evaluated; the double layer-coated film exhibited 10% transmittance at 1,000 nm in the NIR region and over 80% transmittance at 550 nm in the visible region. To optimize the selectivity, the optical spectrum of this film was correlated with a theoretical model by combining the contributions of the Mie-Gans absorption-based localized surface plasmon resonance and reflections by the interfaces of the heterogeneous layers and the nanoparticles in the film. Through comparison of the composite and double layer coating method, the difference of the nanoscale distances between nanoparticles in each layer was significantly revealed. It is worth noting that the nanodistance between the nanoparticles decreased in the double layer film, which enhanced the optical properties of the film, yielding a haze value of 1% or less without any additional process. These results are very attractive for the nanocomposite coating process, which would lead to industrial fields of NIR shielding and thermo-medical applications.
Insertion of NiO electron blocking layer in fabrication of GaN-organic heterostructures
NASA Astrophysics Data System (ADS)
Li, Junmei; Guo, Wei; Jiang, Jie'an; Gao, Pingqi; Bo, Baoxue; Ye, Jichun
2018-03-01
We report the fabrication of a NiO thin film on top of an n-type GaN epitaxial layer. The electron-blocking capability of NiO in a hybrid organic/inorganic heterostructure consisting of n-GaN/NiO/poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) is discussed. Surface morphology, crystallography orientation, bandgap, and fermi level information of NiO films were investigated in detail. A rectifying property consistent with the proposed band diagram was observed in the current-voltage measurement. Theoretical analysis also demonstrated the effective electron blocking due to band alignment and a more balanced carrier distribution inside the GaN region with NiO inserted into the n-GaN/PEDOT:PSS heterostructure. This work provides a promising approach to the fabrication of high-efficiency hybrid optoelectronic devices.
Park, Tae-Min; Kang, Donggu; Jang, Ilho; Yun, Won-Soo; Shim, Jin-Hyung; Jeong, Young Hun; Kwak, Jong-Young; Yoon, Sik; Jin, Songwan
2017-01-01
In general, a drug candidate is evaluated using 2D-cultured cancer cells followed by an animal model. Despite successful preclinical testing, however, most drugs that enter human clinical trials fail. The high failure rates are mainly caused by incompatibility between the responses of the current models and humans. Here, we fabricated a cancer microtissue array in a multi-well format that exhibits heterogeneous and batch-to-batch structure by continuous deposition of collagen-suspended Hela cells on a fibroblast-layered nanofibrous membrane via inkjet printing. Expression of both Matrix Metalloproteinase 2 (MMP2) and Matrix Metalloproteinase 9 (MMP9) was higher in cancer microtissues than in fibroblast-free microtissues. The fabricated microtissues were treated with an anticancer drug, and high drug resistance to doxorubicin occurred in cancer microtissues but not in fibroblast-free microtissues. These results introduce an inkjet printing fabrication method for cancer microtissue arrays, which can be used for various applications such as early drug screening and gradual 3D cancer studies. PMID:29112150
NASA Astrophysics Data System (ADS)
Montazami, Reza; Liu, Sheng; Liu, Yang; Wang, Dong; Zhang, Qiming; Heflin, James R.
2011-05-01
Ionic electroactive polymer (IEAP) actuators containing porous conductive network composites (CNCs) and ionic liquids can result in high strain and fast response times. Incorporation of spherical gold nanoparticles in the CNC enhances conductivity and porosity, while maintaining relatively small thickness. This leads to improved mechanical strain and bending curvature of the actuators. We have employed the layer-by-layer self-assembly technique to fabricate a CNC with enhanced curvature (0.43 mm-1) and large net intrinsic strain (6.1%). The results demonstrate that curvature and net strain of IEAP actuators due to motion of the anions increase linearly with the thickness of the CNC as a result of the increased volume in which the anions can be stored. In addition, after subtracting the curvature of a bare Nafion actuator without a CNC, it is found that the net intrinsic strain of the CNC layer is independent of thickness for the range of 20-80 nm, indicating that the entire CNC volume contributes equivalently to the actuator motion. Furthermore, the response time of the actuator due to anion motion is independent of CNC thickness, suggesting that traversal through the Nafion membrane is the limiting factor in the anion motion.
NASA Astrophysics Data System (ADS)
Li, Qi; Qian, Lirong; Fu, Sulei; Song, Cheng; Zeng, Fei; Pan, Feng
2018-04-01
Characteristics of one-port surface acoustic wave (SAW) resonators fabricated on ZnO/6H-SiC layered structure were investigated experimentally and theoretically. Phase velocities (V p), electromechanical coupling coefficients (K 2), quality factors (Q), and temperature coefficients of frequency (TCF) of Rayleigh wave (0th mode) and first- and second-order Sezawa wave (1st and 2nd modes, respectively) for different piezoelectric film thickness-to-wavelength (h ZnO /λ) ratios were systematically studied. Results demonstrated that one-port SAW resonators fabricated on the ZnO/6H-SiC layered structure were promising for high-frequency SAW applications with moderate K 2 and TCF values. A high K 2 of 2.44% associated with a V p of 5182 m s‑1 and a TCF of ‑41.8 ppm/°C was achieved at h ZnO /λ = 0.41 in the 1st mode, while a large V p of 7210 m s‑1 with a K 2 of 0.19% and a TCF of ‑36.4 ppm/°C was obtained for h ZnO /λ = 0.31 in the 2nd mode. Besides, most of the parameters were reported for the first time and will be helpful for the future design and optimization of SAW devices fabricated on ZnO/6H-SiC layered structures.
Field evaluation of a new aluminum alloy as a sacrificial anode for steel embedded in concrete
DOT National Transportation Integrated Search
1998-04-01
This is the final report for a study to evaluate the use of sacrificial cathodic protection for reinforced and prestressed concrete bridge members. Cathodic protection (CP) using impressed current is an accepted and common method used to provide corr...
Feng, Junzong; Jiang, Yonggang; Liu, Ping; Zhang, Qiuhua; Wei, Ronghui; Chen, Xiang; Feng, Jian
2018-01-01
The conventional sol-gel method for preparing porous carbons is tedious and high-cost to prepare porous carbons and the control over the nanoporous architecture by solvents and carbonization is restricted. A simple and novel self-sacrificial salt templating method was first presented to adjust the microporous structure of porous carbon monoliths synthesized via the solvothermal method. Apart from good monolithic appearance, the solvothermal route allowed for ambient drying because it made sure that the polymerization reaction was completed quickly and thoroughly. The intact and crack-free porous carbon monoliths were investigated by scanning electron microscopy (SEM), thermogravimetric differential scanning calorimetry (TG-DSC), Fourier transform infrared (FT-IR), energy dispersive spectroscopy (EDS), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and nitrogen sorption measurements. It was proven that the self-sacrificial salts NH4SCN had been removed during pyrolyzing and so, porous carbon monoliths could be directly obtained after carbonization without the need of washing removal of salts. Most importantly, the microporous specific surface area of the resultant porous carbon monoliths was dramatically increased up to 770 m2/g and the Brunauer–Emmett–Teller (BET) specific surface area was up to 1131 m2/g. That was because the salts NH4SCN as self-sacrificial templating helped to form more around 0.6 nm, 0.72 nm and 1.1 nm micropores. The self-sacrificial salt templating is also a suitable and feasible method for controlling the nanoporous structure of other porous materials. PMID:29671818
Few-Layered Black Phosphorus: From Fabrication and Customization to Biomedical Applications.
Wang, Huaiyu; Yu, Xue-Feng
2018-02-01
As a new kind of 2D material, black phosphorus has gained increased attention in the past three years. Although few-layered black phosphorus nanosheets (BPs) degrade quickly under ambient conditions to phosphate anions, which greatly hampers their optical and electronic applications, this property also makes BPs highly biocompatible and biodegradable, and is regarded as an advantage for various biomedical applications. This Concept summarizes the state-of-art progresses of BPs, from fabrication and surface modification to biomedical applications. It is expected that BPs with such fascinating properties will encourage more scientists to engage in expanding its biomedical applications by tackling the scientific challenges involved in their development. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
NASA Astrophysics Data System (ADS)
Seredyński, B.; Król, M.; Starzyk, P.; Mirek, R.; Ściesiek, M.; Sobczak, K.; Borysiuk, J.; Stephan, D.; Rousset, J.-G.; Szczytko, J.; Pietka, B.; Pacuski, W.
2018-04-01
Opaque substrates precluded, so far, transmission studies of II-VI semiconductor microcavities. This work presents the design and molecular beam epitaxy growth of semimagnetic (Cd,Zn,Mn)Te quantum wells embedded into a (Cd,Zn,Mg)Te-based microcavity, which can be easily separated from the GaAs substrate. Our lift-off process relies on the use of a MgTe sacrificial layer which stratifies in contact with water. This allowed us to achieve a II-VI microcavity prepared for transmission measurements. We evidence the strong light-matter coupling regime using photoluminescence, reflectivity, and transmission measurements at the same spot on the sample. By comparing a series of reflectance spectra before and after lift-off, we prove that the microcavity quality remains high. Thanks to Mn content in quantum wells we show the giant Zeeman splitting of semimagnetic exciton-polaritons in our transmitting structure.
Microfabricated bragg waveguide
Fleming, James G.; Lin, Shawn-Yu; Hadley, G. Ronald
2004-10-19
A microfabricated Bragg waveguide of semiconductor-compatible material having a hollow core and a multilayer dielectric cladding can be fabricated by integrated circuit technologies. The microfabricated Bragg waveguide can comprise a hollow channel waveguide or a hollow fiber. The Bragg fiber can be fabricated by coating a sacrificial mandrel or mold with alternating layers of high- and low-refractive-index dielectric materials and then removing the mandrel or mold to leave a hollow tube with a multilayer dielectric cladding. The Bragg channel waveguide can be fabricated by forming a trench embedded in a substrate and coating the inner wall of the trench with a multilayer dielectric cladding. The thicknesses of the alternating layers can be selected to satisfy the condition for minimum radiation loss of the guided wave.
Kim, Hanna; Hau, Nguyen Trung; Chae, Yu-Gyeong; Lee, Byeong-Il; Kang, Hyun Wook
2016-04-01
Artificial skin phantoms have been developed as an alternative tissue for human skin experiments due to convenient use and easy storage. However, fabricating both thin (∼100 μm) epidermis and relatively thick dermis is often cumbersome, and most developed phantoms have hardly reflected specific human skin types. The objective of this study was to fabricate skin phantoms with 3D printing technique to emulate various human skin types (I-VI) along with the corresponding optical and mechanical properties for laser tattoo removal. Both gelatin and agar powders were mixed with coffee and TiO2 particles to fabricate skin phantoms with materials properties for various skin types (I-VI). A 3D printer was employed to precisely control the thickness of each phantom for epidermis and dermis layers. A number of concentrations of the coffee and TiO2 particles were used to determine the degree of absorption and scattering effects in various skin types. The optical properties between 500 and 1,000 nm for the fabricated phantoms were measured by double-integrating spheres with an inverse adding-doubling (IAD) algorithm. Optical coherence tomography (OCT) and rheometer were also utilized to evaluate optical (absorption and reduced scattering coefficients) and mechanical properties (compression modulus) of the fabricated phantoms, respectively. Visible color inspections presented that the skin phantoms for types I, III, and VI similarly emulated the color space of the human skin types. The optical property measurements demonstrated that the absorption (μa) and reduced scattering (μ(s')) coefficients decreased with wavelengths. Compared to the human skin type VI, a dermis phantom represented quite equivalent values of μa and μ(s') whereas an epidermis phantom showed up to 30% lower μa but almost identical μ(s') over the wavelengths. The OCT measurements confirmed that the thicknesses of the epidermis and the dermis phantoms were measured to be 138.50 ± 0.01 μm and
NASA Astrophysics Data System (ADS)
Fan, W.; Kabius, B.; Hiller, J. M.; Saha, S.; Carlisle, J. A.; Auciello, O.; Chang, R. P. H.; Ramesh, R.
2003-11-01
The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 °C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlOx, while the oxide layer at the TiAl/Cu interface is an Al2O3-rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlOx interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 °C followed by a rapid thermal annealing at 700 °C. This process significantly reduced the thickness of the TiAlOx layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high dielectric constant (280), low
2011-08-19
zinc oxide ( ZnO ) thin film as an active channel layer in TFT has become of great interest owing to their specific...630-0192 Japan Phone: +81-743-72-6060 Fax: +81-743-72-6069 E-mail: uraoka@ms.naist.jp Keywords: zinc oxide , thin film transistors , atomic layer...deposition Symposium topic: Transparent Semiconductors Oxides [Abstract] In this study, we fabricated TFTs using ZnO thin film as the
Chen, Ruei-San; Tang, Chih-Che; Shen, Wei-Chu; Huang, Ying-Sheng
2015-12-05
Layer semiconductors with easily processed two-dimensional (2D) structures exhibit indirect-to-direct bandgap transitions and superior transistor performance, which suggest a new direction for the development of next-generation ultrathin and flexible photonic and electronic devices. Enhanced luminescence quantum efficiency has been widely observed in these atomically thin 2D crystals. However, dimension effects beyond quantum confinement thicknesses or even at the micrometer scale are not expected and have rarely been observed. In this study, molybdenum diselenide (MoSe2) layer crystals with a thickness range of 6-2,700 nm were fabricated as two- or four-terminal devices. Ohmic contact formation was successfully achieved by the focused-ion beam (FIB) deposition method using platinum (Pt) as a contact metal. Layer crystals with various thicknesses were prepared through simple mechanical exfoliation by using dicing tape. Current-voltage curve measurements were performed to determine the conductivity value of the layer nanocrystals. In addition, high-resolution transmission electron microscopy, selected-area electron diffractometry, and energy-dispersive X-ray spectroscopy were used to characterize the interface of the metal-semiconductor contact of the FIB-fabricated MoSe2 devices. After applying the approaches, the substantial thickness-dependent electrical conductivity in a wide thickness range for the MoSe2-layer semiconductor was observed. The conductivity increased by over two orders of magnitude from 4.6 to 1,500 Ω(-) (1) cm(-) (1), with a decrease in the thickness from 2,700 to 6 nm. In addition, the temperature-dependent conductivity indicated that the thin MoSe2 multilayers exhibited considerably weak semiconducting behavior with activation energies of 3.5-8.5 meV, which are considerably smaller than those (36-38 meV) of the bulk. Probable surface-dominant transport properties and the presence of a high surface electron concentration in MoSe2 are proposed
Chen, Ruei-San; Tang, Chih-Che; Shen, Wei-Chu; Huang, Ying-Sheng
2015-01-01
Layer semiconductors with easily processed two-dimensional (2D) structures exhibit indirect-to-direct bandgap transitions and superior transistor performance, which suggest a new direction for the development of next-generation ultrathin and flexible photonic and electronic devices. Enhanced luminescence quantum efficiency has been widely observed in these atomically thin 2D crystals. However, dimension effects beyond quantum confinement thicknesses or even at the micrometer scale are not expected and have rarely been observed. In this study, molybdenum diselenide (MoSe2) layer crystals with a thickness range of 6-2,700 nm were fabricated as two- or four-terminal devices. Ohmic contact formation was successfully achieved by the focused-ion beam (FIB) deposition method using platinum (Pt) as a contact metal. Layer crystals with various thicknesses were prepared through simple mechanical exfoliation by using dicing tape. Current-voltage curve measurements were performed to determine the conductivity value of the layer nanocrystals. In addition, high-resolution transmission electron microscopy, selected-area electron diffractometry, and energy-dispersive X-ray spectroscopy were used to characterize the interface of the metal–semiconductor contact of the FIB-fabricated MoSe2 devices. After applying the approaches, the substantial thickness-dependent electrical conductivity in a wide thickness range for the MoSe2-layer semiconductor was observed. The conductivity increased by over two orders of magnitude from 4.6 to 1,500 Ω−1 cm−1, with a decrease in the thickness from 2,700 to 6 nm. In addition, the temperature-dependent conductivity indicated that the thin MoSe2 multilayers exhibited considerably weak semiconducting behavior with activation energies of 3.5-8.5 meV, which are considerably smaller than those (36-38 meV) of the bulk. Probable surface-dominant transport properties and the presence of a high surface electron concentration in MoSe2 are proposed
NASA Astrophysics Data System (ADS)
Tsivadze, A. Yu.; Fridman, A. Ya.; Morozova, E. M.; Sokolova, N. P.; Voloshchuk, A. M.; Bardyshev, I. I.; Gorbunov, A. M.; Novikov, A. K.; Polyakova, I. Ya.; Titova, B. N.; Yavich, A. A.
2018-02-01
Materials are produced with porous layers based on ethanolamine derivatives of PVC or compounds of active carbon with hydroxyethylcyclam derivatives of PVC with aqua complexes of chloride hydrogen cross-linked with the surface of cellulose or asbestos fabric. Their capacity for sorption with respect to hexane and benzene in the saturated vapor and liquid phases is determined. The dependences of current on voltage in a circuit are determined for bridges composed of these materials in air, and in the vapor and liquid phases of benzene and hexane between 3 M HCl solutions and 3 M HCl solutions containing 3 M CaCl2. It is established that only H+ ions migrate along the bridges between the HCl solutions, and H+ and Cl- ions were the only species that moved along the bridges between the HCl solutions containing CaCl2. The voltages at which the movement of ions starts are determined, and constants characterizing the conductivity of the layers are found. It is shown that these parameters depend on the structure of a layer, the nature of the fabric, and the medium surrounding a bridge.
Kahane, Guy; Everett, Jim A.C.; Earp, Brian D.; Farias, Miguel; Savulescu, Julian
2015-01-01
A growing body of research has focused on so-called ‘utilitarian’ judgments in moral dilemmas in which participants have to choose whether to sacrifice one person in order to save the lives of a greater number. However, the relation between such ‘utilitarian’ judgments and genuine utilitarian impartial concern for the greater good remains unclear. Across four studies, we investigated the relationship between ‘utilitarian’ judgment in such sacrificial dilemmas and a range of traits, attitudes, judgments and behaviors that either reflect or reject an impartial concern for the greater good of all. In Study 1, we found that rates of ‘utilitarian’ judgment were associated with a broadly immoral outlook concerning clear ethical transgressions in a business context, as well as with sub-clinical psychopathy. In Study 2, we found that ‘utilitarian’ judgment was associated with greater endorsement of rational egoism, less donation of money to a charity, and less identification with the whole of humanity, a core feature of classical utilitarianism. In Studies 3 and 4, we found no association between ‘utilitarian’ judgments in sacrificial dilemmas and characteristic utilitarian judgments relating to assistance to distant people in need, self-sacrifice and impartiality, even when the utilitarian justification for these judgments was made explicit and unequivocal. This lack of association remained even when we controlled for the antisocial element in ‘utilitarian’ judgment. Taken together, these results suggest that there is very little relation between sacrificial judgments in the hypothetical dilemmas that dominate current research, and a genuine utilitarian approach to ethics. PMID:25460392
Fabrication of complex nanoscale structures on various substrates
NASA Astrophysics Data System (ADS)
Han, Kang-Soo; Hong, Sung-Hoon; Lee, Heon
2007-09-01
Polymer based complex nanoscale structures were fabricated and transferred to various substrates using reverse nanoimprint lithography. To facilitate the fabrication and transference of the large area of the nanostructured layer to the substrates, a water-soluble polyvinyl alcohol mold was used. After generation and transference of the nanostructured layer, the polyvinyl alcohol mold was removed by dissolving in water. A residue-free, UV-curable, glue layer was formulated and used to bond the nanostructured layer onto the substrates. As a result, nanometer scale patterned polymer layers were bonded to various substrates and three-dimensional nanostructures were also fabricated by stacking of the layers.
Rapid fabrication of a silicon modification layer on silicon carbide substrate.
Bai, Yang; Li, Longxiang; Xue, Donglin; Zhang, Xuejun
2016-08-01
We develop a kind of magnetorheological (MR) polishing fluid for the fabrication of a silicon modification layer on a silicon carbide substrate based on chemical theory and actual polishing requirements. The effect of abrasive concentration in MR polishing fluid on material removal rate and removal function shape is investigated. We conclude that material removal rate will increase and tends to peak value as the abrasive concentration increases to 0.3 vol. %, and the removal function profile will become steep, which is a disadvantage to surface frequency error removal at the same time. The removal function stability is also studied and the results show that the prepared MR polishing fluid can satisfy actual fabrication requirements. An aspheric reflective mirror of silicon carbide modified by silicon is well polished by combining magnetorheological finishing (MRF) using two types of MR polishing fluid and computer controlled optical surfacing (CCOS) processes. The surface accuracy root mean square (RMS) is improved from 0.087λ(λ=632.8 nm) initially to 0.020λ(λ=632.8 nm) in 5.5 h total and the tool marks resulting from MRF are negligible. The PSD analysis results also shows that the final surface is uniformly polished.
Fabrication of por-Si/SnO{sub x} nanocomposite layers for gas microsensors and nanosensors
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bolotov, V. V., E-mail: bolotov@obisp.oscsbras.ru; Korusenko, P. M.; Nesov, S. N.
2011-05-15
Two-phase nanocomposite layers based on porous silicon and nonstoichiometric tin oxide were fabricated by various methods. The structure, as well as elemental and phase composition, of the obtained nanocomposites were studied using transmission and scanning electron microscopy, Raman spectroscopy, Auger electron spectroscopy, and X-ray photoelectron spectroscopy. The results obtained confirm the formation of nanocomposite layers with a thickness as large as 2 {mu}m thick and SnO{sub x} stoichiometry coefficients x = 1.0-2.0. Significant tin diffusion into the porous silicon matrix with D{sub eff} Almost-Equal-To 10{sup -14} cm{sup 2} s{sup -1} was observed upon annealing at 770 K. Test sensor structuresmore » based on por-Si/SnO{sub x} nanocomposite layers grown by magnetron deposition showed fairly high stability of properties and sensitivity to NO{sub 2}.« less
Magnetic domain observation of FeCo thin films fabricated by alternate monoatomic layer deposition
NASA Astrophysics Data System (ADS)
Ohtsuki, T.; Kojima, T.; Kotsugi, M.; Ohkochi, T.; Mizuguchi, M.; Takanashi, K.
2014-01-01
FeCo thin films are fabricated by alternate monoatomic layer deposition method on a Cu3Au buffer layer, which in-plane lattice constant is very close to the predicted value to obtain a large magnetic anisotropy constant. The variation of the in-plane lattice constant during the deposition process is investigated by reflection high-energy electron diffraction. The magnetic domain images are also observed by a photoelectron emission microscope in order to microscopically understand the magnetic structure. As a result, element-specific magnetic domain images show that Fe and Co magnetic moments align parallel. A series of images obtained with various azimuth reveal that the FeCo thin films show fourfold in-plane magnetic anisotropy along ⟨110⟩ direction, and that the magnetic domain structure is composed only of 90∘ wall.
Fabrication of superhydrophobic cotton fabrics using crosslinking polymerization method
NASA Astrophysics Data System (ADS)
Jiang, Bin; Chen, Zhenxing; Sun, Yongli; Yang, Huawei; Zhang, Hongjie; Dou, Haozhen; Zhang, Luhong
2018-05-01
With the aim of removing and recycling oil and organic solvent from water, a facile and low-cost crosslinking polymerization method was first applied on surface modification of cotton fabrics for water/oil separation. Micro-nano hierarchical rough structure was constructed by triethylenetetramine (TETA) and trimesoyl chloride (TMC) that formed a polymeric layer on the surface of the fabric and anchored Al2O3 nanoparticles firmly between the fabric surface and the polymer layer. Superhydrophobic property was further obtained through self-assembly grafting of hydrophobic groups on the rough surface. The as-prepared cotton fabric exhibited superoleophilicity in atmosphere and superhydrophobicity both in atmosphere and under oil with the water contact angle of 153° and 152° respectively. Water/oil separation test showed that the as-prepared cotton fabric can handle with various oil-water mixtures with a high separation efficiency over 99%. More importantly, the separation efficiency remained above 98% over 20 cycles of reusing without losing its superhydrophobicity which demonstrated excellent reusability in oil/water separation process. Moreover, the as-prepared cotton fabric possessed good contamination resistance ability and self-cleaning property. Simulation washing process test showed the superhydrophobic cotton fabric maintained high value of water contact angle above 150° after 100 times washing, indicating great stability and durability. In summary, this work provides a brand-new way to surface modification of cotton fabric and makes it a promising candidate material for oil/water separation.
Fabrication of cell-benign inverse opal hydrogels for three-dimensional cell culture.
Im, Pilseon; Ji, Dong Hwan; Kim, Min Kyung; Kim, Jaeyun
2017-05-15
Inverse opal hydrogels (IOHs) for cell culture were fabricated and optimized using calcium-crosslinked alginate microbeads as sacrificial template and gelatin as a matrix. In contrast to traditional three-dimensional (3D) scaffolds, the gelatin IOHs allowed the utilization of both the macropore surface and inner matrix for cell co-culture. In order to remove templates efficiently for the construction of 3D interconnected macropores and to maintain high cell viability during the template removal process using EDTA solution, various factors in fabrication, including alginate viscosity, alginate concentration, alginate microbeads size, crosslinking calcium concentration, and gelatin network density were investigated. Low viscosity alginate, lower crosslinking calcium ion concentration, and lower concentration of alginate and gelatin were found to obtain high viability of cells encapsulated in the gelatin matrix after removal of the alginate template by EDTA treatment by allowing rapid dissociation and diffusion of alginate polymers. Based on the optimized fabrication conditions, gelatin IOHs showed good potential as a cell co-culture system, applicable to tissue engineering and cancer research. Copyright © 2017 Elsevier Inc. All rights reserved.
NASA Astrophysics Data System (ADS)
Lieou, Charles K. C.; Elbanna, Ahmed E.; Carlson, Jean M.
2013-07-01
Sacrificial bonds and hidden length in structural molecules account for the greatly increased fracture toughness of biological materials compared to synthetic materials without such structural features by providing a molecular-scale mechanism for energy dissipation. One example is in the polymeric glue connection between collagen fibrils in animal bone. In this paper we propose a simple kinetic model that describes the breakage of sacrificial bonds and the release of hidden length, based on Bell's theory. We postulate a master equation governing the rates of bond breakage and formation. This enables us to predict the mechanical behavior of a quasi-one-dimensional ensemble of polymers at different stretching rates. We find that both the rupture peak heights and maximum stretching distance increase with the stretching rate. In addition, our theory naturally permits the possibility of self-healing in such biological structures.
Fracture characterization of inhomogeneous wrinkled metallic films deposited on soft substrates
NASA Astrophysics Data System (ADS)
Kishida, Hiroshi; Ishizaka, Satoshi; Nagakura, Takumi; Suzuki, Hiroaki; Yonezu, Akio
2017-12-01
This study investigated the fracture properties of wrinkled metallic films on a polydimethylsiloxane (PDMS) soft substrate. In particular, the crack density of the wrinkled film during tensile deformation was examined. In order to achieve better deformability of metallic thin films, a method to fabricate a wrinkled thin film on a PDMS soft substrate was first established. The copper (Cu) nano-film fabricated in this study possessed a wrinkled geometry, which plays a critical role in determining the extent of large elastic deformation. To create the wrinkled structure, wet-etching with a polymeric sacrificial layer was used. A sacrificial layer was first deposited onto a silicone rubber sheet. During the curing process of the layer, a compressive strain was applied such that the hardened surface layer buckled, and a wrinkled form was obtained. Subsequently, a PDMS solution was used to cover the layer in order to form a wrinkled PDMS substrate. Finally, the Cu film was deposited onto the wrinkled PDMS, such that the wrinkled Cu film on a soft PDMS substrate was fabricated. The use of uni-axial tensile tests resulted in film crack generation at the stress concentration zone in the wrinkled structure of the films. When the tensile loading was increased, the number of cracks increased. It was found that the increase in crack density was strongly related to the inhomogeneous nature of the wrinkled structure. Such a trend in crack density was investigated using FEM (finite element method) computations, such that this study established a simple mechanical model that may be used to predict the increase in crack density during tensile deformation. This model was verified through several experiments using various wrinkle patterns. The proposed mechanical model may be useful to predict the crack density of a wrinkled metallic film subject to tensile loading.
NASA Astrophysics Data System (ADS)
Chen, Miin-Jang; Yang, Jer-Ren; Shiojiri, Makoto
2012-07-01
We have investigated ZnO-based light-emitting diodes (LEDs) fabricated by atomic layer deposition (ALD), demonstrating that ALD is one of the noteworthy techniques to prepare high-quality ZnO required for ultraviolet (UV) photonic devices. Here, we review our recent investigations on different ZnO-based heterojunction LEDs such as n-ZnO/p-GaN LEDS, n-ZnO:Al/ZnO nanodots-SiO2 composite/p-GaN LEDS, n-ZnO/ZnO nanodots-SiO2 composite/p-AlGaN LEDs, n-ZnO:Al/i-ZnO/p-SiC(4H) LEDs, and also on ZnO-based nanostructures including ZnO quantum dots embedded in SiO2 nanoparticle layer, ZnO nanopillars on sapphire substrates, Al-doped ZnO films on sapphire substrate and highly (0 0 0 1)-oriented ZnO films on amorphous glass substrate. The latest investigation also demonstrated p-type ZnO:P films prepared on amorphous silica substrates, which allow us to fabricate ZnO-based homojunction LEDs. These devices and structures were studied by x-ray diffraction and various analytical electron microscopy observations as well as electric and electro-optical measurements.
Dong, Yibo; Xie, Yiyang; Xu, Chen; Fu, Yafei; Fan, Xing; Li, Xuejian; Wang, Le; Xiong, Fangzhu; Guo, Weiling; Pan, Guanzhong; Wang, Qiuhua; Qian, Fengsong; Sun, Jie
2018-06-14
Chemical vapor deposited graphene suffers from two problems: transfer from metal catalysts to insulators, and photoresist induced degradation during patterning. Both result in macroscopic and microscopic damages such as holes, tears, doping, and contamination, translated into property and yield dropping. We attempt to solve the problems simultaneously. A nickel thin film is evaporated on SiO 2 as a sacrificial catalyst, on which surface graphene is grown. A polymer (PMMA) support is spin-coated on the graphene. During the Ni wet etching process, the etchant can permeate the polymer, making the etching efficient. The PMMA/graphene layer is fixed on the substrate by controlling the surface morphology of Ni film during the graphene growth. After etching, the graphene naturally adheres to the insulating substrate. By using this method, transfer-free, lithography-free and fast growth of graphene realized. The whole experiment has good repeatability and controllability. Compared with graphene transfer between substrates, here, no mechanical manipulation is required, leading to minimal damage. Due to the presence of Ni, the graphene quality is intrinsically better than catalyst-free growth. The Ni thickness and growth temperature are controlled to limit the number of layers of graphene. The technology can be extended to grow other two-dimensional materials with other catalysts.
Development of Self-Cleaning Denim Fabrics
NASA Astrophysics Data System (ADS)
Uğur, Ş. S.; Sarıışık, A. M.; Çavuşlar, E.; Ertek, M.
2017-10-01
Denim fabrics coated with TiO2 nanolayers for self-cleaning properties by using a continuous layer-by-layer method. Nanolayer coated denim fabrics washed with an enzyme process for aging affect. Fabrics were analyzed with SEM-EDX and XPS measurements. Self-cleaning properties of the nanolayer deposited denim fabrics were tested according to red wine stain against to Suntest visible light irradiation after 72 h. And also, some physical (air permeability, tensile strength) and color (color difference and rubbing fastness) properties were evaluated.
Tait, Zachary S; Thompson, Megan; Stubbins, Aron
2015-07-01
The availability of in situ spectrophotometers, such as the S::CAN spectro::lyser, has expanded the possibilities for high-frequency water quality data collection. However, biological and chemical fouling can degrade the performance of in situ spectrophotometers, especially in saline environments with rapid flow rates. A complex freshwater washing system has been previously designed to reduce chemical fouling for the S::CAN spectro::lyser spectrophotometer. In the current study, we present a simpler, cheaper alternative: the attachment of a sacrificial zinc anode. Results are presented detailing the S::CAN spectro::lyser performance with and without the addition of the sacrificial anode. Attachment of the zinc anode provided efficient corrosion protection during 2-wk deployments in a highly dynamic (average tidal range, 2.5 m) saline tidal saltmarsh creek at Groves Creek, Skidaway Institute of Oceanography, Savannah, GA. Copyright © by the American Society of Agronomy, Crop Science Society of America, and Soil Science Society of America, Inc.
NASA Astrophysics Data System (ADS)
Vasin, Andriy V.; Ishikawa, Yukari; Shibata, Noriyoshi; Salonen, Jarno; Lehto, Vesa-Pekka
2007-05-01
A new approach to development of light-emitting SiO2:C layers on Si wafer is demonstrated. Carbon-incorporated silicon oxide was fabricated by three-step procedure: (1) formation of the porous silicon (por-Si) layer by ordinary anodization in HF:ethanol solution; (2) carbonization at 1000 °C in acetylene flow (formation of por-Si:C layer); (3) oxidation in the flow of moisturized argon at 800 °C (formation of SiO2:C layer). Resulting SiO2:C layer exhibited very strong and stable white photoluminescence at room temperature. It is shown that high reactivity of water vapor with nano-crystalline silicon and inertness with amorphous carbon play a key role in the formation of light-emitting SiO2:C layer.
Surface-micromachined chain for use in microelectromechanical structures
DOE Office of Scientific and Technical Information (OSTI.GOV)
Vernon, Sr., George E.
2001-01-01
A surface-micromachined chain and a microelectromechanical (MEM) structure incorporating such a chain are disclosed. The surface-micromachined chain can be fabricated in place on a substrate (e.g. a silicon substrate) by depositing and patterning a plurality of alternating layers of a chain-forming material (e.g. polycrystalline silicon) and a sacrificial material (e.g. silicon dioxide or a silicate glass). The sacrificial material is then removed by etching to release the chain for movement. The chain has applications for forming various types of MEM devices which include a microengine (e.g. an electrostatic motor) connected to rotate a drive sprocket, with the surface-micromachined chain beingmore » connected between the drive sprocket and one or more driven sprockets.« less
NASA Astrophysics Data System (ADS)
Jeong, Eun Sook; Kim, Jin Woong
2015-03-01
Hydrogel particles, also known as microgels, consist of cross-linked three-dimensional water-soluble polymer networks. They play an essential role in loading and delivering active ingredients in medicine, cosmetics, and foods. Despite their excellent biocompatibility as well as structural diversity, much wider applications are limited due mainly to their intrinsically loose network nature. This study introduces a practical and straightforward method that enables fabrication of hydrogel microparticles layered with a mechanically robust hybrid thin shell. Basically highly monodisperse hydrogel microparticles were produced in microcapillary devices. Then, their surface was coated with alternate polyelectrolyte layers through the layer-by-layer deposition. Finally a thin silica layer was again formed by reduction of silicate on the amino-functionalized polyelectrolyte layer. We have figured out that these hybrid hydrogel microparticles showed controlled loading and releasing behaviors for water-soluble probe molecules. Moreover, we have demonstrated that they can be applied for immobilization of biomacromolecules, such as bacteria and living cells, and even for targeted releasing.
Yan, Keyi; Toku, Yuhki; Morita, Yasuyuki; Ju, Yang
2018-06-22
In this research, we propose a new simple method to fabricate hydrogen gas sensor by stacking the multiwall carbon nanotube (MWCNT) sheets. MWCNT sheet offers a larger surface area and more CNT contacts, which are key factors for gas sensing, because of its super-high alignment and end-to-end structure comparing to the traditional CNT film. Besides, MWCNT sheet can be directly drawn from the spinnable CNT array in large scales. Therefore, this method is a potential answer for the mass production and commercialization of CNT based sensor with high response. By stacking different layers of sheet, microstructure and CNT interactions in the layers were changed and their influences towards gas sensing were investigated. It was observed that the sample with 3 layers of sheet and functionalized with 3 nm-thick Pd showed the best gas sensing performance with a response of 12.31% at 4% H2 and response time below 200 s. © 2018 IOP Publishing Ltd.
Xu, Wanxing; Li, Xianfeng; Cao, Jingyu; Zhang, Hongzhang; Zhang, Huamin
2014-02-06
In this work we presented a general strategy for the fabrication of membranes with well-defined ions transport channels through solvent-responsive layer-by-layer assembly (SR-LBL). Multilayered poly (diallyldimethylammonium chloride) (PDDA) and poly (acrylic acid) (PAA) complexes were first introduced on the inner pore wall and the surface of sulfonated poly (ether ether ketone)/poly (ether sulfone) (PES/SPEEK) nanofiltration membranes to form ions transport channels with tuned radius. This type of membranes are highly efficient for the separators of batteries especially vanadium flow batteries (VFBs): the VFBs assembled with prepared membranes exhibit an outstanding performance in a wide current density range, which is much higher than that assembled with commercial Nafion 115 membranes. This idea could inspire the development of membranes for other flow battery systems, as well as create further progress in similar areas such as fuel cells, electro-dialysis, chlor-alkali cells, water electrolysis and so on.
Xu, Wanxing; Li, Xianfeng; Cao, Jingyu; Zhang, Hongzhang; Zhang, Huamin
2014-01-01
In this work we presented a general strategy for the fabrication of membranes with well-defined ions transport channels through solvent-responsive layer-by-layer assembly (SR-LBL). Multilayered poly (diallyldimethylammonium chloride) (PDDA) and poly (acrylic acid) (PAA) complexes were first introduced on the inner pore wall and the surface of sulfonated poly (ether ether ketone)/poly (ether sulfone) (PES/SPEEK) nanofiltration membranes to form ions transport channels with tuned radius. This type of membranes are highly efficient for the separators of batteries especially vanadium flow batteries (VFBs): the VFBs assembled with prepared membranes exhibit an outstanding performance in a wide current density range, which is much higher than that assembled with commercial Nafion 115 membranes. This idea could inspire the development of membranes for other flow battery systems, as well as create further progress in similar areas such as fuel cells, electro-dialysis, chlor-alkali cells, water electrolysis and so on. PMID:24500376
NASA Astrophysics Data System (ADS)
Karbasian, Golnaz
The continuing increase of the device density in integrated circuits (ICs) gives rise to the high level of power that is dissipated per unit area and consequently a high temperature in the circuits. Since temperature affects the performance and reliability of the circuits, minimization of the energy consumption in logic devices is now the center of attention. According to the International Technology Roadmaps for Semiconductors (ITRS), single electron transistors (SETs) hold the promise of achieving the lowest power of any known logic device, as low as 1x10-18 J per switching event. Moreover, SETs are the most sensitive electrometers to date, and are capable of detecting a fraction of an electron charge. Despite their low power consumption and high sensitivity for charge detection, room temperature operation of these devices is quite challenging mainly due to lithographical constraints in fabricating structures with the required dimensions of less than 10 nm. Silicon based SETs have been reported to operate at room temperature. However, they all suffer from significant variation in batch-to-batch performance, low fabrication yield, and temperature-dependent tunnel barrier height. In this project, we explored the fabrication of SETs featuring metal-insulator-metal (MIM) tunnel junctions. While Si-based SETs suffer from undesirable effect of dopants that result in irregularities in the device behavior, in metal-based SETs the device components (tunnel barrier, island, and the leads) are well-defined. Therefore, metal SETs are potentially more predictable in behavior, making them easier to incorporate into circuits, and easier to check against theoretical models. Here, the proposed fabrication method takes advantage of unique properties of chemical mechanical polishing (CMP) and plasma enhanced atomic layer deposition (PEALD). Chemical mechanical polishing provides a path for tuning the dimensions of the tunnel junctions, surpassing the limits imposed by electron beam
A fluidic diode, valves, and a sequential-loading circuit fabricated on layered paper.
Chen, Hong; Cogswell, Jeremy; Anagnostopoulos, Constantine; Faghri, Mohammad
2012-08-21
Current microfluidic paper-based devices lack crucial components for fluid manipulation. We created a fluidic diode fabricated entirely on a single layer of paper to control the wicking of fluids. The fluidic diode is a two-terminal component that promotes or stops wicking along a paper channel. We further constructed a trigger and a delay valve based on the fluidic diode. Furthermore, we demonstrated a high-level functional circuit, consisting of a diode and a delay valve, to manipulate two fluids in a sequential manner. Our study provides new, transformative tools to manipulate fluid in microfluidic paper-based devices.
Wang, Kai; Shi, Yantao; Li, Bo; Zhao, Liang; Wang, Wei; Wang, Xiangyuan; Bai, Xiaogong; Wang, Shufeng; Hao, Ce; Ma, Tingli
2016-03-02
Inorganic electron-selective layers (ESLs) are fabricated at extremely low temperatures of 70°C or even 25°C by a simple solution route. This is of great significance because the attained PCEs confirm the feasibility of room-temperature coating of inorganic amorphous ESLs through a solution method for the first time. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Magnetic domain observation of FeCo thin films fabricated by alternate monoatomic layer deposition
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ohtsuki, T., E-mail: ohtsuki@spring8.or.jp; Kotsugi, M.; Ohkochi, T.
2014-01-28
FeCo thin films are fabricated by alternate monoatomic layer deposition method on a Cu{sub 3}Au buffer layer, which in-plane lattice constant is very close to the predicted value to obtain a large magnetic anisotropy constant. The variation of the in-plane lattice constant during the deposition process is investigated by reflection high-energy electron diffraction. The magnetic domain images are also observed by a photoelectron emission microscope in order to microscopically understand the magnetic structure. As a result, element-specific magnetic domain images show that Fe and Co magnetic moments align parallel. A series of images obtained with various azimuth reveal that themore » FeCo thin films show fourfold in-plane magnetic anisotropy along 〈110〉 direction, and that the magnetic domain structure is composed only of 90∘ wall.« less
Microfluidic channel fabrication method
Arnold, Don W.; Schoeniger, Joseph S.; Cardinale, Gregory F.
2001-01-01
A new channel structure for microfluidic systems and process for fabricating this structure. In contrast to the conventional practice of fabricating fluid channels as trenches or grooves in a substrate, fluid channels are fabricated as thin walled raised structures on a substrate. Microfluidic devices produced in accordance with the invention are a hybrid assembly generally consisting of three layers: 1) a substrate that can or cannot be an electrical insulator; 2) a middle layer, that is an electrically conducting material and preferably silicon, forms the channel walls whose height defines the channel height, joined to and extending from the substrate; and 3) a top layer, joined to the top of the channels, that forms a cover for the channels. The channels can be defined by photolithographic techniques and are produced by etching away the material around the channel walls.
NASA Astrophysics Data System (ADS)
Sakaike, Kohei; Akazawa, Muneki; Nakagawa, Akitoshi; Higashi, Seiichiro
2015-04-01
A novel low-temperature technique for transferring a silicon-on-insulator (SOI) layer with a midair cavity (supported by narrow SiO2 columns) by meniscus force has been proposed, and a single-crystalline Si (c-Si) film with a midair cavity formed in dog-bone shape was successfully transferred to a poly(ethylene terephthalate) (PET) substrate at its heatproof temperature or lower. By applying this proposed transfer technique, high-performance c-Si-based complementary metal-oxide-semiconductor (CMOS) transistors were successfully fabricated on the PET substrate. The key processes are the thermal oxidation and subsequent hydrogen annealing of the SOI layer on the midair cavity. These processes ensure a good MOS interface, and the SiO2 layer works as a “blocking” layer that blocks contamination from PET. The fabricated n- and p-channel c-Si thin-film transistors (TFTs) on the PET substrate showed field-effect mobilities of 568 and 103 cm2 V-1 s-1, respectively.
Park, Jaewon; Kim, Hyun Soo; Han, Arum
2009-01-01
A poly(dimethylsiloxane) (PDMS) patterning method based on a photoresist lift-off technique to make an electrical insulation layer with selective openings is presented. The method enables creating PDMS patterns with small features and various thicknesses without any limitation in the designs and without the need for complicated processes or expensive equipments. Patterned PDMS layers were created by spin-coating liquid phase PDMS on top of a substrate having sacrificial photoresist patterns, followed by a photoresist lift-off process. The thickness of the patterned PDMS layers could be accurately controlled (6.5–24 µm) by adjusting processing parameters such as PDMS spin-coating speeds, PDMS dilution ratios, and sacrificial photoresist thicknesses. PDMS features as small as 15 µm were successfully patterned and the effects of each processing parameter on the final patterns were investigated. Electrical resistance tests between adjacent electrodes with and without the insulation layer showed that the patterned PDMS layer functions properly as an electrical insulation layer. Biocompatibility of the patterned PDMS layer was confirmed by culturing primary neuron cells on top of the layer for up to two weeks. An extensive neuronal network was successfully formed, showing that this PDMS patterning method can be applied to various biosensing microdevices. The utility of this fabrication method was further demonstrated by successfully creating a patterned electrical insulation layer on flexible substrates containing multi-electrode arrays. PMID:19946385
NASA Astrophysics Data System (ADS)
Wu, Zhiguo; Cui, Zhenyu; Li, Tianyu; Qin, Shuhao; He, Benqiao; Han, Na; Li, Jianxin
2017-10-01
A simple strategy of thermally induced phase separation followed by non-solvent induced phase separation (TIPS-NIPS) is reported to fabricate poly (vinylidene fluoride) (PVDF)-based blend membrane. The dissolved poly (styrene-co-maleic anhydride) (SMA) in diluent prevents the crystallization of PVDF during the cooling process and deposites on the established PVDF matrix in the later extraction. Compared with traditional coating technique, this one-step TIPS-NIPS method can not only fabricate a supporting layer with an interconnected network structure even via solid-liquid phase separation of TIPS, but also form a uniform SMA skin layer approximately as thin as 200 nm via surface deposition of NIPS. Besides the better hydrophilicity, what's interesting is that the BSA rejection ratio increases from 48% to 94% with the increase of SMA, which indicates that the separation performance has improved. This strategy can be conveniently extended to the creation of firmly thin layer, surface functionalization and structure controllability of the membrane.
Pletti, Carolina; Lotto, Lorella; Buodo, Giulia; Sarlo, Michela
2017-05-01
This research investigated whether emotional hyporeactivity affects moral judgements and choices of action in sacrificial moral dilemmas and in everyday moral conflict situations in which harm to other's welfare is differentially involved. Twenty-six participants with high trait psychopathy (HP) and 25 with low trait psychopathy (LP) were selected based on the primary psychopathy scale of the Levenson Self-Report Psychopathy Scale. HP participants were more likely to sacrifice one person to save others in sacrificial dilemmas and to pursue a personal advantage in everyday moral situations entailing harm to another's good. While deciding in these situations, HP participants experienced lower unpleasantness as compared to LP participants. Conversely, no group differences emerged in choice of action and unpleasantness ratings for everyday moral situations that did not entail harm to others. Importantly, moral judgements did not differ in the two groups. These results suggest that high psychopathy trait affects choices of action in sacrificial dilemmas because of reduced emotional reactivity to harmful acts. The dissociation between choice of action and moral judgement suggests that the former is more closely related to emotional experience. Also, emotion seems to play a critical role in discriminating harmful from harmless acts and in driving decisions accordingly. © 2016 The British Psychological Society.
Material-Independent Nanotransfer onto a Flexible Substrate Using Mechanical-Interlocking Structure.
Seo, Min-Ho; Choi, Seon-Jin; Park, Sang Hyun; Yoo, Jae-Young; Lim, Sung Kyu; Lee, Jae-Shin; Choi, Kwang-Wook; Jo, Min-Seung; Kim, Il-Doo; Yoon, Jun-Bo
2018-05-22
Nanowire-transfer technology has received much attention thanks to its capability to fabricate high-performance flexible nanodevices with high simplicity and throughput. However, it is still challenging to extend the conventional nanowire-transfer method to the fabrication of a wide range of devices since a chemical-adhesion-based nanowire-transfer mechanism is complex and time-consuming, hindering successful transfer of diverse nanowires made of various materials. Here, we introduce a material-independent mechanical-interlocking-based nanowire-transfer (MINT) method, fabricating ultralong and fully aligned nanowires on a large flexible substrate (2.5 × 2 cm 2 ) in a highly robust manner. For the material-independent nanotransfer, we developed a mechanics-based nanotransfer method, which employs a dry-removable amorphous carbon (a-C) sacrificial layer between a vacuum-deposited nanowire and the underlying master mold. The controlled etching of the sacrificial layer enables the formation of a mechanical-interlocking structure under the nanowire, facilitating peeling off of the nanowire from the master mold robustly and reliably. Using the developed MINT method, we successfully fabricated various metallic and semiconductor nanowire arrays on flexible substrates. We further demonstrated that the developed method is well suited to the reliable fabrication of highly flexible and high-performance nanoelectronic devices. As examples, a fully aligned gold (Au) microheater array exhibited high bending stability (10 6 cycling) and ultrafast (∼220 ms) heating operation up to ∼100 °C. An ultralong Au heater-embedded cuprous-oxide (Cu 2 O) nanowire chemical gas sensor showed significantly improved reversible reaction kinetics toward NO 2 with 10-fold enhancement in sensitivity at 100 °C.
Atomic layer deposition on polymer fibers and fabrics for multifunctional and electronic textiles
DOE Office of Scientific and Technical Information (OSTI.GOV)
Brozena, Alexandra H.; Oldham, Christopher J.; Parsons, Gregory N., E-mail: gnp@ncsu.edu
Textile materials, including woven cotton, polymer knit fabrics, and synthetic nonwoven fiber mats, are being explored as low-cost, flexible, and light-weight platforms for wearable electronic sensing, communication, energy generation, and storage. The natural porosity and high surface area in textiles is also useful for new applications in environmental protection, chemical decontamination, pharmaceutical and chemical manufacturing, catalytic support, tissue regeneration, and others. These applications raise opportunities for new chemistries, chemical processes, biological coupling, and nanodevice systems that can readily combine with textile manufacturing to create new “multifunctional” fabrics. Atomic layer deposition (ALD) has a unique ability to form highly uniform andmore » conformal thin films at low processing temperature on nonuniform high aspect ratio surfaces. Recent research shows how ALD can coat, modify, and otherwise improve polymer fibers and textiles by incorporating new materials for viable electronic and other multifunctional capabilities. This article provides a current overview of the understanding of ALD coating and modification of textiles, including current capabilities and outstanding problems, with the goal of providing a starting point for further research and advances in this field. After a brief introduction to textile materials and current textile treatment methods, the authors discuss unique properties of ALD-coated textiles, followed by a review of recent electronic and multifunctional textiles that use ALD coatings either as direct functional components or as critical nucleation layers for active materials integration. The article concludes with possible future directions for ALD on textiles, including the challenges in materials, manufacturing, and manufacturing integration that must be overcome for ALD to reach its full potential in electronic and other emerging multifunctional textile systems.« less
Multilayer insulation blanket, fabricating apparatus and method
Gonczy, John D.; Niemann, Ralph C.; Boroski, William N.
1992-01-01
An improved multilayer insulation blanket for insulating cryogenic structures operating at very low temperatures is disclosed. An apparatus and method for fabricating the improved blanket are also disclosed. In the improved blanket, each successive layer of insulating material is greater in length and width than the preceding layer so as to accommodate thermal contraction of the layers closest to the cryogenic structure. The fabricating apparatus has a rotatable cylindrical mandrel having an outer surface of fixed radius that is substantially arcuate, preferably convex, in cross-section. The method of fabricating the improved blanket comprises (a) winding a continuous sheet of thermally reflective material around the circumference of the mandrel to form multiple layers, (b) binding the layers along two lines substantially parallel to the edges of the circumference of the mandrel, (c) cutting the layers along a line parallel to the axle of the mandrel, and (d) removing the bound layers from the mandrel.
Method of fabricating a multilayer insulation blanket
Gonczy, John D.; Niemann, Ralph C.; Boroski, William N.
1993-01-01
An improved multilayer insulation blanket for insulating cryogenic structures operating at very low temperatures is disclosed. An apparatus and method for fabricating the improved blanket are also disclosed. In the improved blanket, each successive layer of insulating material is greater in length and width than the preceding layer so as to accommodate thermal contraction of the layers closest to the cryogenic structure. The fabricating apparatus has a rotatable cylindrical mandrel having an outer surface of fixed radius that is substantially arcuate, preferably convex, in cross-section. The method of fabricating the improved blanket comprises (a) winding a continuous sheet of thermally reflective material around the circumference of the mandrel to form multiple layers, (b) binding the layers along two lines substantially parallel to the edges of the circumference of the mandrel, (c) cutting the layers along a line parallel to the axle of the mandrel, and (d) removing the bound layers from the mandrel.
Method of fabricating a multilayer insulation blanket
Gonczy, J.D.; Niemann, R.C.; Boroski, W.N.
1993-07-06
An improved multilayer insulation blanket for insulating cryogenic structures operating at very low temperatures is disclosed. An apparatus and method for fabricating the improved blanket are also disclosed. In the improved blanket, each successive layer of insulating material is greater in length and width than the preceding layer so as to accommodate thermal contraction of the layers closest to the cryogenic structure. The fabricating apparatus has a rotatable cylindrical mandrel having an outer surface of fixed radius that is substantially arcuate, preferably convex, in cross-section. The method of fabricating the improved blanket comprises (a) winding a continuous sheet of thermally reflective material around the circumference of the mandrel to form multiple layers, (b) binding the layers along two lines substantially parallel to the edges of the circumference of the mandrel, (c) cutting the layers along a line parallel to the axle of the mandrel, and (d) removing the bound layers from the mandrel.
Multilayer insulation blanket, fabricating apparatus and method
Gonczy, J.D.; Niemann, R.C.; Boroski, W.N.
1992-09-01
An improved multilayer insulation blanket for insulating cryogenic structures operating at very low temperatures is disclosed. An apparatus and method for fabricating the improved blanket are also disclosed. In the improved blanket, each successive layer of insulating material is greater in length and width than the preceding layer so as to accommodate thermal contraction of the layers closest to the cryogenic structure. The fabricating apparatus has a rotatable cylindrical mandrel having an outer surface of fixed radius that is substantially arcuate, preferably convex, in cross-section. The method of fabricating the improved blanket comprises (a) winding a continuous sheet of thermally reflective material around the circumference of the mandrel to form multiple layers, (b) binding the layers along two lines substantially parallel to the edges of the circumference of the mandrel, (c) cutting the layers along a line parallel to the axle of the mandrel, and (d) removing the bound layers from the mandrel. 7 figs.
NASA Astrophysics Data System (ADS)
Faisal, N. H.; Ahmed, R.; Katikaneni, S. P.; Souentie, S.; Goosen, M. F. A.
2015-12-01
Air plasma-sprayed (APS) coatings provide an ability to deposit a range of novel fuel cell materials at competitive costs. This work develops three separate types of composite anodes (Mo-Mo2C/Al2O3, Mo-Mo2C/ZrO2, Mo-Mo2C/TiO2) using a combination of APS process parameters on Hastelloy®X for application in intermediate temperature proton-conducting solid oxide fuel cells. Commercially available carbide of molybdenum powder catalyst (Mo-Mo2C) and three metal oxides (Al2O3, ZrO2, TiO2) was used to prepare three separate composite feedstock powders to fabricate three different anodes. Each of the modified composition anode feedstock powders included a stoichiometric weight ratio of 0.8:0.2. The coatings were characterized by scanning electron microscopy, energy dispersive spectroscopy, x-ray diffraction, nanoindentation, and conductivity. We report herein that three optimized anode layers of thicknesses between 200 and 300 µm and porosity as high as 20% for Mo-Mo2C/Al2O3 (250-µm thick) and Mo-Mo2C/TiO2 (300 µm thick) and 17% for Mo-Mo2C/ZrO2 (220-µm thick), controllable by a selection of the APS process parameters with no addition of sacrificial pore-forming material. The nanohardness results indicate the upper layers of the coatings have higher values than the subsurface layers in coatings with some effect of the deposition on the substrate. Mo-Mo2C/ZrO2 shows high electrical conductivity.
Epitaxial solar cells fabrication
NASA Technical Reports Server (NTRS)
Daiello, R. V.; Robinson, P. H.; Kressel, H.
1975-01-01
Silicon epitaxy has been studied for the fabrication of solar cell structures, with the intent of optimizing efficiency while maintaining suitability for space applications. SiH2CL2 yielded good quality layers and junctions with reproducible impurity profiles. Diode characteristics and lifetimes in the epitaxial layers were investigated as a function of epitaxial growth conditions and doping profile, as was the effect of substrates and epitaxial post-gettering on lifetime. The pyrolytic decomposition of SiH4 was also used in the epitaxial formation of highly doped junction layers on bulk Si wafers. The effects of junction layer thickness and bulk background doping level on cell performance, in particular, open-circuit voltage, were investigated. The most successful solar cells were fabricated with SiH2 CL2 to grow p/n layers on n(+) substrates. The best performance was obtained from a p(+)/p/n/n(+) structure grown with an exponential grade in the n-base layer.
NASA Astrophysics Data System (ADS)
Han, Jun Sae; Gal, Chang Woo; Park, Jae Man; Kim, Jong Hyun; Park, Seong Jin
2018-04-01
Aspect ratio effects in the micro-powder injection molding process were experimentally analyzed for fabrication of high-aspect-ratio piezoelectric ceramic structure. The mechanisms of critical defects have been studied according to individual manufacturing steps. In the molding process, incomplete filling phenomenon determines the critical aspect ratios of a micro pattern. According to mold temperature, an incomplete filling phenomenon has been analyzed with respect to different pattern sizes and aspect ratio. In demolding and drying process, the capillary behavior of sacrificial polymeric mold insert determines the critical aspect ratio of a micro pattern. With respect to pattern dimensions, slumping behavior has been analyzed. Based on our current systems, micro PZT feature has stability when it has lower aspect ratio than 5. Under optimized processing conditions, 20 μm and 40 μm ceramic rod array feature which has 5 of aspect ratio were successfully fabricated by the developed process. Further modification points to fabricate the smaller and higher feature were specifically addressed.
Indirect Fabrication of Lattice Metals with Thin Sections Using Centrifugal Casting.
Mun, Jiwon; Ju, Jaehyung; Thurman, James
2016-05-14
One of the typical methods to manufacture 3D lattice metals is the direct-metal additive manufacturing (AM) process such as Selective Laser Melting (SLM) and Electron Beam Melting (EBM). In spite of its potential processing capability, the direct AM method has several disadvantages such as high cost, poor surface finish of final products, limitation in material selection, high thermal stress, and anisotropic properties of parts. We propose a cost-effective method to manufacture 3D lattice metals. The objective of this study is to provide a detailed protocol on fabrication of 3D lattice metals having a complex shape and a thin wall thickness; e.g., octet truss made of Al and Cu alloys having a unit cell length of 5 mm and a cell wall thickness of 0.5 mm. An overall experimental procedure is divided into eight sections: (a) 3D printing of sacrificial patterns (b) melt-out of support materials (c) removal of residue of support materials (d) pattern assembly (e) investment (f) burn-out of sacrificial patterns (g) centrifugal casting (h) post-processing for final products. The suggested indirect AM technique provides the potential to manufacture ultra-lightweight lattice metals; e.g., lattice structures with Al alloys. It appears that the process parameters should be properly controlled depending on materials and lattice geometry, observing the final products of octet truss metals by the indirect AM technique.
Kim, Dong-Eun; Kwon, Young-Soo; Shin, Hoon-Kyu
2015-01-01
We have studied white OLED using two types of Zn-complexes as an emitting layer. We synthesized brand new two emissive materials, Zn(HPQ)2 as a yellow emitting material and Zn(HPB)2 as a blue emitting material. The Zn-complexes are low-molecular compounds and stable thermally. The fundamental structures of the fabricated OLED was ITO/NPB (40 nm)/Zn(HPB)2 (30 nm)/Zn(HPQ)2/LiF/Al. We varied the thickness of the Zn(HPQ)2 layer by 20, 30, and 40 nm. When the thickness of the Zn(HPQ)2 layer was 20 nm, the white emission was achieved. The maximum luminance was 12,000 cd/m2 at a current density of 800 mA/cm2. The CIE coordinates of the white emission were (0.319, 0.338) at an applied voltage of 10 V.
Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors.
Matsushima, Toshinori; Sandanayaka, Atula S D; Esaki, Yu; Adachi, Chihaya
2015-09-29
We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10(-2) cm(2)/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm(2)/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost.
Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors
NASA Astrophysics Data System (ADS)
Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya
2015-09-01
We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10-2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost.
Kim, Hyehyun; Oh, Minhak; Kim, Dongwook; Park, Jeongin; Seong, Junmo; Kwak, Sang Kyu; Lah, Myoung Soo
2015-02-28
Single crystalline hollow metal-organic frameworks (MOFs) with cavity dimensions on the order of several micrometers and hundreds of micrometers were prepared using a metal-organic polyhedron single crystal as a sacrificial hard template. The hollow nature of the MOF crystal was confirmed by scanning electron microscopy of the crystal sliced using a focused ion beam.
Lu, T. M.; Gamble, J. K.; Muller, R. P.; ...
2016-08-01
Enhancement-mode Si/SiGe electron quantum dots have been pursued extensively by many groups for their potential in quantum computing. Most of the reported dot designs utilize multiple metal-gate layers and use Si/SiGe heterostructures with Ge concentration close to 30%. Here, we report the fabrication and low-temperature characterization of quantum dots in the Si/Si 0.8Ge 0.2 heterostructures using only one metal-gate layer. We find that the threshold voltage of a channel narrower than 1 μm increases as the width decreases. The higher threshold can be attributed to the combination of quantum confinement and disorder. We also find that the lower Ge ratiomore » used here leads to a narrower operational gate bias range. The higher threshold combined with the limited gate bias range constrains the device design of lithographic quantum dots. We incorporate such considerations in our device design and demonstrate a quantum dot that can be tuned from a single dot to a double dot. Furthermore, the device uses only a single metal-gate layer, greatly simplifying device design and fabrication.« less
NASA Astrophysics Data System (ADS)
Chevalier, S.; Lavielle, N.; Hatton, B. D.; Bazylak, A.
2017-06-01
In this first of a series of two papers, we report an in-depth analysis of the impact of the gas diffusion layer (GDL) structure on the polymer electrolyte membrane (PEM) fuel cell performance through the use of custom GDLs fabricated in-house. Hydrophobic electrospun nanofibrous gas diffusion layers (eGDLs) are fabricated with controlled fibre diameter and alignment. The eGDLs are rendered hydrophobic through direct surface functionalization, and this molecular grafting is achieved in the absence of structural alteration. The fibre diameter, chemical composition, and electrical conductivity of the eGDL are characterized, and the impact of eGDL structure on fuel cell performance is analysed. We observe that the eGDL facilitates higher fuel cell power densities compared to a commercial GDL (Toray TGP-H-60) at highly humidified operating conditions. The ohmic resistance of the fuel cell is found to significantly increase with increasing inter-fiber distance. It is also observed that the addition of a hydrophobic treatment enhances membrane hydration, and fibres perpendicularly aligned to the channel direction may enhance the contact area between the catalyst layer and the GDL.
Dupuis, Alexandre; Mazhorova, Anna; Désévédavy, Frédéric; Rozé, Mathieu; Skorobogatiy, Maksim
2010-06-21
We report two novel fabrication techniques, as well as THz spectral transmission and propagation loss measurements of subwavelength plastic wires with highly porous (up to 86%) and non-porous transverse geometries. The two fabrication techniques we describe are based on the microstructured molding approach. In one technique the mold is made completely from silica by stacking and fusing silica capillaries to the bottom of a silica ampoule. The melted material is then poured into the silica mold to cast the microstructured preform. Another approach uses a microstructured mold made of a sacrificial plastic which is co-drawn with a cast preform. Material from the sacrificial mold is then dissolved after fi ber drawing. We also describe a novel THz-TDS setup with an easily adjustable optical path length, designed to perform cutback measurements using THz fibers of up to 50 cm in length. We fi nd that while both porous and non-porous subwavelength fibers of the same outside diameter have low propagation losses (alpha
Inversion layer solar cell fabrication and evaluation
NASA Technical Reports Server (NTRS)
Call, R. L.
1972-01-01
Silicon solar cells with induced junctions were created by forming an inversion layer near the surface of the silicon by supplying a sheet of positive charge above the surface. This charged layer was supplied through three mechanisms: (1) supplying a positive potential to a transparent electrode separated from the silicon surface by a dielectric, (2) contaminating the oxide layer with positive ions, and (3) forming donor surface states that leave a positive charge on the surface. A movable semi-infinite shadow delineated the extent of sensitivity of the cell due to the inversion region. Measurements of the inversion layer cell response to light of different wavelengths indicated it to be more sensitive to the shorter wavelengths of the sun's spectrum than conventional cells. Theory of the conductance of the inversion layer vs. strength of the inversion layer was compared with experiment and found to match. Theoretical determinations of junction depth and inversion layer strength were made as a function of the surface potential for the transparent electrode cell.
Interphase layer optimization for metal matrix composites with fabrication considerations
NASA Technical Reports Server (NTRS)
Morel, M.; Saravanos, D. A.; Chamis, C. C.
1991-01-01
A methodology is presented to reduce the final matrix microstresses for metal matrix composites by concurrently optimizing the interphase characteristics and fabrication process. Application cases include interphase tailoring with and without fabrication considerations for two material systems, graphite/copper and silicon carbide/titanium. Results indicate that concurrent interphase/fabrication optimization produces significant reductions in the matrix residual stresses and strong coupling between interphase and fabrication tailoring. The interphase coefficient of thermal expansion and the fabrication consolidation pressure are the most important design parameters and must be concurrently optimized to further reduce the microstresses to more desirable magnitudes.
Fiegel, Vincent; Harlepp, Sebastien; Begin-Colin, Sylvie; Begin, Dominique; Mertz, Damien
2018-03-26
One key challenge in the fields of nanomedicine and tissue engineering is the design of theranostic nanoplatforms able to monitor their therapeutic effect by imaging. Among current developed nano-objects, carbon nanotubes (CNTs) were found suitable to combine imaging, photothermal therapy, and to be loaded with hydrophobic drugs. However, a main problem is their resulting low hydrophilicity. To face this problem, an innovative method is developed here, which consists in loading the surface of carbon nanotubes (CNTs) with drugs followed by a protein coating around them. The originality of this method relies on first covering CNTs with a sacrificial template mesoporous silica (MS) shell grafted with isobutyramide (IBAM) binders on which a protein nanofilm is strongly adhered through IBAM-mediated physical cross-linking. This concept is first demonstrated without drugs, and is further improved with the suitable loading of hydrophobic drugs, curcumin (CUR) and camptothecin (CPT), which are retained between the CNTs and human serum albumin (HSA) layer. Such novel nanocomposites with favorable photothermal properties are very promising for theranostic systems, drug delivery, and phototherapy applications. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
Syn, C.K.; Lesuer, D.R.
1995-07-04
A laminated metal composite of low flow stress layers and high flow stress layers is described which is formed using flow constraining elements, preferably in the shape of rings, individually placed around each of the low flow stress layers while pressure is applied to the stack to bond the layers of the composite together, to thereby restrain the flow of the low flow stress layers from the stack during the bonding. The laminated metal composite of the invention is made by the steps of forming a stack of alternate layers of low flow stress layers and high flow stress layers with each layer of low flow stress material surrounded by an individual flow constraining element, such as a ring, and then applying pressure to the top and bottom surfaces of the resulting stack to bond the dissimilar layers together, for example, by compression rolling the stack. In a preferred embodiment, the individual flow constraining elements surrounding the layers of low flow stress material are formed of a material which may either be the same material as the material comprising the high flow stress layers, or have similar flow stress characteristics to the material comprising the high flow stress layers. Additional sacrificial layers may be added to the top and bottom of the stack to avoid damage to the stack during the bonding step; and these additional layers may then be removed after the bonding step. 5 figs.
Syn, Chol K.; Lesuer, Donald R.
1995-01-01
A laminated metal composite of low flow stress layers and high flow stress layers is described which is formed using flow constraining elements, preferably in the shape of rings, individually placed around each of the low flow stress layers while pressure is applied to the stack to bond the layers of the composite together, to thereby restrain the flow of the low flow stress layers from the stack during the bonding. The laminated metal composite of the invention is made by the steps of forming a stack of alternate layers of low flow stress layers and high flow stress layers with each layer of low flow stress material surrounded by an individual flow constraining element, such as a ring, and then applying pressure to the top and bottom surfaces of the resulting stack to bond the dissimilar layers together, for example, by compression rolling the stack. In a preferred embodiment, the individual flow constraining elements surrounding the layers of low flow stress material are formed of a material which may either be the same material as the material comprising the high flow stress layers, or have similar flow stress characteristics to the material comprising the high flow stress layers. Additional sacrificial layers may be added to the top and bottom of the stack to avoid damage to the stack during the bonding step; and these additional layers may then be removed after the bonding step.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lu, Di; Baek, David J.; Hong, Seung Sae
2016-08-22
The ability to create and manipulate materials in two-dimensional (2D) form has repeatedly had transformative impact on science and technology. In parallel with the exfoliation and stacking of intrinsically layered crystals, atomic-scale thin film growth of complex materials has enabled the creation of artificial 2D heterostructures with novel functionality and emergent phenomena, as seen in perovskite heterostructures. However, separation of these layers from the growth substrate has proven challenging, limiting the manipulation capabilities of these heterostructures with respect to exfoliated materials. Here we present a general method to create freestanding perovskite membranes. The key is the epitaxial growth of water-solublemore » Sr 3Al 2O 6 on perovskite substrates, followed by in situ growth of films and heterostructures. Millimetre-size single-crystalline membranes are produced by etching the Sr 3Al 2O 6 layer in water, providing the opportunity to transfer them to arbitrary substrates and integrate them with heterostructures of semiconductors and layered compounds.« less
Sakai, Yusuke; Koike, Makiko; Hasegawa, Hideko; Yamanouchi, Kosho; Soyama, Akihiko; Takatsuki, Mitsuhisa; Kuroki, Tamotsu; Ohashi, Kazuo; Okano, Teruo; Eguchi, Susumu
2013-01-01
Cell sheet engineering is attracting attention from investigators in various fields, from basic research scientists to clinicians focused on regenerative medicine. However, hepatocytes have a limited proliferation potential in vitro, and it generally takes a several days to form a sheet morphology and multi-layered sheets. We herein report our rapid and efficient technique for generating multi-layered human hepatic cell (HepaRG® cell) sheets using pre-cultured fibroblast monolayers derived from human skin (TIG-118 cells) as a feeder layer on a temperature-responsive culture dish. Multi-layered TIG-118/HepaRG cell sheets with a thick morphology were harvested on day 4 of culturing HepaRG cells by forceful contraction of the TIG-118 cells, and the resulting sheet could be easily handled. In addition, the human albumin and alpha 1-antitrypsin synthesis activities of TIG-118/HepaRG cells were approximately 1.2 and 1.3 times higher than those of HepaRG cells, respectively. Therefore, this technique is considered to be a promising modality for rapidly fabricating multi-layered human hepatocyte sheets from cells with limited proliferation potential, and the engineered cell sheet could be used for cell transplantation with highly specific functions. PMID:23923035
Sakai, Yusuke; Koike, Makiko; Hasegawa, Hideko; Yamanouchi, Kosho; Soyama, Akihiko; Takatsuki, Mitsuhisa; Kuroki, Tamotsu; Ohashi, Kazuo; Okano, Teruo; Eguchi, Susumu
2013-01-01
Cell sheet engineering is attracting attention from investigators in various fields, from basic research scientists to clinicians focused on regenerative medicine. However, hepatocytes have a limited proliferation potential in vitro, and it generally takes a several days to form a sheet morphology and multi-layered sheets. We herein report our rapid and efficient technique for generating multi-layered human hepatic cell (HepaRG® cell) sheets using pre-cultured fibroblast monolayers derived from human skin (TIG-118 cells) as a feeder layer on a temperature-responsive culture dish. Multi-layered TIG-118/HepaRG cell sheets with a thick morphology were harvested on day 4 of culturing HepaRG cells by forceful contraction of the TIG-118 cells, and the resulting sheet could be easily handled. In addition, the human albumin and alpha 1-antitrypsin synthesis activities of TIG-118/HepaRG cells were approximately 1.2 and 1.3 times higher than those of HepaRG cells, respectively. Therefore, this technique is considered to be a promising modality for rapidly fabricating multi-layered human hepatocyte sheets from cells with limited proliferation potential, and the engineered cell sheet could be used for cell transplantation with highly specific functions.
Lattice-mismatched GaInP LED devices and methods of fabricating same
Mascarenhas, Angelo; Steiner, Myles A; Bhusal, Lekhnath; Zhang, Yong
2014-10-21
A method (100) of fabricating an LED or the active regions of an LED and an LED (200). The method includes growing, depositing or otherwise providing a bottom cladding layer (208) of a selected semiconductor alloy with an adjusted bandgap provided by intentionally disordering the structure of the cladding layer (208). A first active layer (202) may be grown above the bottom cladding layer (208) wherein the first active layer (202) is fabricated of the same semiconductor alloy, with however, a partially ordered structure. The first active layer (202) will also be fabricated to include a selected n or p type doping. The method further includes growing a second active layer (204) above the first active layer (202) where the second active layer (204) Is fabricated from the same semiconductor alloy.
Fabrication of read-only type triple-layered disc
NASA Astrophysics Data System (ADS)
Yang, Huei Wen; Jeng, Tzuan Ren; Yen, Wen Hsin; Chan, Rong Po; Shin, Kuo Ding; Huang, Der Ray
2003-06-01
The approach to increase optical recording density has become very popular research subject in these years. One direct and effective method is to increase the recording layer stack number. That is to say, to add one more recording layer can get one more recording capacity. In this paper, we will propose a new method for manufacturing read only type multi-layered disc. The process is described in the following. This first recorded data layer (called L0) still follows the traditional DVD disc manufacturing process. We obtain the polycarbonate substrate by replicating from Ni stamper. Then polycarbonate substrate is sputtered thin silicon film for semi-reflection layer. As for second layer (L1) and even more layer (Ln-1) producing, one special kind of duplication (called SKD) method is proposed. The duplication (or replication) source of second or nth recorded data is not only limited from Ni stamper. Even polycarbonate or PMMA substrate has recording data are also acceptable sources. At next step, the duplication source is deposited by thin gold film. Then we apply spin coating to bond the first layer (L0) substrate and second layer (L1) duplication source by choosing suitable UV curing glue. After being emitted by UV lamp for several seconds, we can easily separate the duplication source of second layer (L1) from (L0) substrate. Then we find the thin second data layer (L1) is replicated and stacks upon the first layer. On the same way, we sputter thin AgTi layer on the thin second data layer for another semi- reflective layer. By following the above manufacture step, we can produce more layers. In our experimental, we prepare triple layered read-only type disc. The total capacity is almost 12GB for one side of disc, and 24GB for two side of disc. The read-out intensity of laser from each data layer is expected to be similar. Thus we have designed particular reflectance and transmittance for each data layer by controlling the thickness of thin silicon film. We can
Fabrication of large area woodpile structure in polymer
NASA Astrophysics Data System (ADS)
Gupta, Jaya Prakash; Dutta, Neilanjan; Yao, Peng; Sharkawy, Ahmed S.; Prather, Dennis W.
2009-02-01
A fabrication process of three-dimensional Woodpile photonic crystals based on multilayer photolithography from commercially available photo resist SU8 have been demonstrated. A 6-layer, 2 mm × 2mm woodpile has been fabricated. Different factors that influence the spin thickness on multiple resist application have been studied. The fabrication method used removes, the problem of intermixing, and is more repeatable and robust than the multilayer fabrication techniques for three dimensional photonic crystal structures that have been previously reported. Each layer is developed before next layer photo resist spin, instead of developing the whole structure in the final step as used in multilayer process. The desired thickness for each layer is achieved by the calibration of spin speed and use of different photo resist compositions. Deep UV exposure confinement has been the defining parameter in this process. Layer uniformity for every layer is independent of the previous developed layers and depends on the photo resist planarizing capability, spin parameters and baking conditions. The intermixing problem, which results from the previous layers left uncrossed linked photo resist, is completely removed in this process as the previous layers are fully developed, avoiding any intermixing between the newly spun and previous layers. Also this process gives the freedom to redo every spin any number of times without affecting the previously made structure, which is not possible in other multilayer process where intermediate developing is not performed.
Misra, Rohit; Guldhe, Abhishek; Singh, Poonam; Rawat, Ismail; Stenström, Thor Axel; Bux, Faizal
2015-01-01
The efficient harvesting of microalgae is considered to be one of the challenging steps of algal biofuel production and a key factor limiting the commercial use of microalgae. To overcome the limitation of metallic electrodes depletion, the application of non-sacrificial electrode was investigated for the electrochemical harvesting (ECH) of microalgae. The effect of applied current, addition of electrolyte and initial pH were parameters investigated. The highest recovery efficiency of 83% was obtained for Scenedesmus obliquus at 1.5A, initial pH 9 and 6gL(-)(1) NaCl with power consumption of 3.84kWhkg(-)(1). Recovery efficiency of ECH process was comparable to literature reported centrifugation, filtration and chemical flocculation techniques but with a much lower power consumption. The ECH process with addition of electrolyte enhanced the lipid extraction by 22% without any adverse effects. The ECH process with non sacrificial carbon electrodes could be a possible harvesting step at commercial scale microalgal biomass production. Copyright © 2014 Elsevier Ltd. All rights reserved.
Fabrication and performance of a double layered Mn-Co-Ni-O/Mn-Co-Ni-Cu-O thin film detector
NASA Astrophysics Data System (ADS)
Zhou, Wei; Yin, Yiming; Yao, Niangjuan; Jiang, Lin; Qu, Yue; Wu, Jing; Gao, Y. Q.; Huang, Jingguo; Huang, Zhiming
2018-01-01
A thermal sensitive infrared and THz detector was fabricated by a double layered Mn-Co-Ni-O/Mn-Co-Ni-Cu-O films. The Mn-Co-Ni-O material, as one type of transition metal oxides, has long been used as a candidate for thermal sensors or infrared detectors. The resistivity of a most important Mn-Co-Ni-O thin film, Mn1. 96Co0.96Ni0.48O4(MCN) , is about 200 Ω·cm at room temperature, which ranges about 2 orders larger than that of VOx detectors. Therefore, the thickness of a typical squared Mn-Co-Ni-O IR detector should be about 10 μm, which is too large for focal plane arrays applications. To reduce the resistivity of Mn-Co-Ni-O thin film, 1/6 of Co element was replaced by Cu. Meanwhile, a cover layer of MCN film was deposited onto the Mn-Co-Ni-Cu-O film to improve the long term stability. The detector fabricated by the double layered Mn-Co-Ni-O/Mn-Co-Ni-Cu-O films showed large response to blackbody and 170 GHz radiation. The NEP of the detector was estimated to be the order of 10-8 W/Hz0. 5. By applying thermal isolation structure and additional absorption materials, the detection performance can be largely improved by 1-2 orders according to numerical estimation. The double layered Mn-Co-Ni-O film detector shows great potentials in applications in large scale IR detection arrays, and broad-band imaging.
NASA Astrophysics Data System (ADS)
Cao, Shaowen; Shen, Baojia; Huang, Qian; Chen, Zhe
2018-06-01
Surface photodeposition of noble metal cocatalyst has been regarded as an effective approach to facilitate the separation of charge carriers and reduce the over-potential of water reduction, thus to enhance the photocatalytic H2-production activities of semiconductor photocatalyst. Herein, the influences of sacrificial agents used in the photodeposition process on the dispersion of noble metal nanoparticles are investigated, via a series of technique of photocatalytic hydrogen evolution test, microstructure analysis and photoelectrochemical measurement. As a result, the sacrificial agents are found to show large impact on the loading amount, particle size and distribution of different metals on the surface of g-C3N4. The real loading amount of Pt and Au is higher in methanol solution than that in triethanolamine solution. Better distribution and smaller size of Pt nanoparticles are achieved in the presence of methanol; while better distribution and smaller size of Au nanoparticles are achieved in the presence of triethanolamine. As a result, quite different charge transfer ability is achieved for the synthesized Pt and Au decorated g-C3N4, which subsequently leads to disparate photocatalytic activities of the same g-C3N4 photocatalyst under various conditions. The finding in this work indicates that the valid deposition content, particle size and distribution of metal cocatalysts should be carefully taken into account when comparing the photocatalytic activities among various samples.
Fabrication of a Tantalum-Based Josephson Junction for an X-Ray Detector
NASA Astrophysics Data System (ADS)
Morohashi, Shin'ichi; Gotoh, Kohtaroh; Yokoyama, Naoki
2000-06-01
We have fabricated a tantalum-based Josephson junction for an X-ray detector. The tantalum layer was selected for the junction electrode because of its long quasiparticle lifetime, large X-ray absorption efficiency and stability against thermal cycling. We have developed a buffer layer to fabricate the tantalum layer with a body-centered cubic structure. Based on careful consideration of their superconductivity, we have selected a niobium thin layer as the buffer layer for fabricating the tantalum base electrode, and a tungsten thin layer for the tantalum counter electrode. Fabricated Nb/AlOx-Al/Ta/Nb and Nb/Ta/W/AlOx-Al/Ta/Nb Josephson junctions exhibited current-voltage characteristics with a low subgap leakage current.
Layerless fabrication with continuous liquid interface production.
Janusziewicz, Rima; Tumbleston, John R; Quintanilla, Adam L; Mecham, Sue J; DeSimone, Joseph M
2016-10-18
Despite the increasing popularity of 3D printing, also known as additive manufacturing (AM), the technique has not developed beyond the realm of rapid prototyping. This confinement of the field can be attributed to the inherent flaws of layer-by-layer printing and, in particular, anisotropic mechanical properties that depend on print direction, visible by the staircasing surface finish effect. Continuous liquid interface production (CLIP) is an alternative approach to AM that capitalizes on the fundamental principle of oxygen-inhibited photopolymerization to generate a continual liquid interface of uncured resin between the growing part and the exposure window. This interface eliminates the necessity of an iterative layer-by-layer process, allowing for continuous production. Herein we report the advantages of continuous production, specifically the fabrication of layerless parts. These advantages enable the fabrication of large overhangs without the use of supports, reduction of the staircasing effect without compromising fabrication time, and isotropic mechanical properties. Combined, these advantages result in multiple indicators of layerless and monolithic fabrication using CLIP technology.
Layerless fabrication with continuous liquid interface production
Janusziewicz, Rima; Tumbleston, John R.; Quintanilla, Adam L.; Mecham, Sue J.; DeSimone, Joseph M.
2016-01-01
Despite the increasing popularity of 3D printing, also known as additive manufacturing (AM), the technique has not developed beyond the realm of rapid prototyping. This confinement of the field can be attributed to the inherent flaws of layer-by-layer printing and, in particular, anisotropic mechanical properties that depend on print direction, visible by the staircasing surface finish effect. Continuous liquid interface production (CLIP) is an alternative approach to AM that capitalizes on the fundamental principle of oxygen-inhibited photopolymerization to generate a continual liquid interface of uncured resin between the growing part and the exposure window. This interface eliminates the necessity of an iterative layer-by-layer process, allowing for continuous production. Herein we report the advantages of continuous production, specifically the fabrication of layerless parts. These advantages enable the fabrication of large overhangs without the use of supports, reduction of the staircasing effect without compromising fabrication time, and isotropic mechanical properties. Combined, these advantages result in multiple indicators of layerless and monolithic fabrication using CLIP technology. PMID:27671641
Lee, Hee Sung; Shin, Jae Min; Jeon, Pyo Jin; Lee, Junyeong; Kim, Jin Sung; Hwang, Hyun Chul; Park, Eunyoung; Yoon, Woojin; Ju, Sang-Yong; Im, Seongil
2015-05-13
Few-layer MoS2-organic thin-film hybrid complementary inverters demonstrate a great deal of device performance with a decent voltage gain of ≈12, a few hundred pW power consumption, and 480 Hz switching speed. As fabricated on glass, this hybrid CMOS inverter operates as a light-detecting pixel as well, using a thin MoS2 channel. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Mixed mosaic membranes prepared by layer-by-layer assembly for ionic separations.
Rajesh, Sahadevan; Yan, Yu; Chang, Hsueh-Chia; Gao, Haifeng; Phillip, William A
2014-12-23
Charge mosaic membranes, which possess distinct cationic and anionic domains that traverse the membrane thickness, are capable of selectively separating dissolved salts from similarly sized neutral solutes. Here, the generation of charge mosaic membranes using facile layer-by-layer assembly methodologies is reported. Polymeric nanotubes with pore walls lined by positively charged polyethylenimine moieties or negatively charged poly(styrenesulfonate) moieties were prepared via layer-by-layer assembly using track-etched membranes as sacrificial templates. Subsequently, both types of nanotubes were deposited on a porous support in order to produce mixed mosaic membranes. Scanning electron microscopy demonstrates that the facile deposition techniques implemented result in nanotubes that are vertically aligned without overlap between adjacent elements. Furthermore, the nanotubes span the thickness of the mixed mosaic membranes. The effects of this unique nanostructure are reflected in the transport characteristics of the mixed mosaic membranes. The hydraulic permeability of the mixed mosaic membranes in piezodialysis operations was 8 L m(-2) h(-1) bar(-1). Importantly, solute rejection experiments demonstrate that the mixed mosaic membranes are more permeable to ionic solutes than similarly sized neutral molecules. In particular, negative rejection of sodium chloride is observed (i.e., the concentration of NaCl in the solution that permeates through a mixed mosaic membrane is higher than in the initial feed solution). These properties illustrate the ability of mixed mosaic membranes to permeate dissolved ions selectively without violating electroneutrality and suggest their utility in ionic separations.
Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors
Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya
2015-01-01
We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10–2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost. PMID:26416434
Behura, Sanjay K; Mahala, Pramila; Nayak, Sasmita; Yang, Qiaoqin; Mukhopadhyay, Indrajit; Janil, Omkar
2014-04-01
High quality graphene film is fabricated using mechanical exfoliation of highly-oriented pyrolytic graphite. The graphene films on glass substrates are characterized using field-emission scanning electron microscopy, atomic force microscopy, Raman spectroscopy, UV-vis spectroscopy and Fourier transform infrared spectroscopy. A very high intensity ratio of 2D to G-band (to approximately 1.67) and narrow 2D-band full-width at half maximum (to approximately 40 cm(-1)) correspond to the bi-layer graphene formation. The bi-layer graphene/p-GaN/n-InGaN/n-GaN/GaN/sAl2O3 system is studied theoretically using TCAD Silvaco software, in which the properties of exfoliated bi-layer graphene are used as transparent and conductive film, and the device exhibits an efficiency of 15.24% compared to 13.63% for ITO/p-GaN/n-InGaN/n-GaN/GaN/Al2O3 system.
Multi-wavelength VCSEL arrays using high-contrast gratings
NASA Astrophysics Data System (ADS)
Haglund, Erik; Gustavsson, Johan S.; Sorin, Wayne V.; Bengtsson, Jörgen; Fattal, David; Haglund, Àsa; Tan, Michael; Larsson, Anders
2017-02-01
The use of a high-contrast grating (HCG) as the top mirror in a vertical-cavity surface-emitting laser (VCSEL) allows for setting the resonance wavelength by the grating parameters in a post-epitaxial growth fabrication process. Using this technique, we demonstrate electrically driven multi-wavelength VCSEL arrays at 980 nm wavelength. The VCSELs are GaAs-based and the suspended GaAs HCGs were fabricated using electron-beam lithography, dry etching and selective removal of an InGaP sacrificial layer. The air-coupled cavity design enabled 4-channel arrays with 5 nm wavelength spacing and sub-mA threshold currents thanks to the high HCG reflectance.
Method for the production of fabricated hollow microspheroids
Wickramanayake, Shan; Luebke, David R.
2015-06-09
The method relates to the fabrication of a polymer microspheres comprised of an asymmetric layer surrounding a hollow interior. The fabricated hollow microsphere is generated from a nascent hollow microsphere comprised of an inner core of core fluid surrounded by a dope layer of polymer dope, where the thickness of the dope layer is at least 10% and less than 50% of the diameter of the inner core. The nascent hollow microsphere is exposed to a gaseous environment, generating a vitrified hollow microsphere, which is subsequently immersed in a coagulation bath. Solvent exchange produces a fabricated hollow microsphere comprised of a densified outer skin surrounding a macroporous inner layer, which surrounds a hollow interior. In an embodiment, the polymer is a polyimide or a polyamide-imide, and the non-solvent in the core fluid and the coagulation bath is water. The fabricated hollow microspheres are particularly suited as solvent supports for gas separation processes.
NASA Astrophysics Data System (ADS)
Zhang, Xinming; Li, Zhaoyang; Yuan, Xubo; Cui, Zhenduo; Yang, Xianjin
2013-11-01
The bare inert surface of titanium (Ti) alloy typically causes early failures in implants. Layer-by-layer self-assembly is one of the simple methods for fabricating bioactive multilayer coatings on titanium implants. In this study, a dopamine-modified hyaluronic acid/chitosan (DHA/CHI) bioactive multilayer was built on the surface of Ti-24Nb-2Zr (TNZ) alloy. Zeta potential oscillated between -2 and 17 mV for DHA- and CHI-ending layers during the assembly process, respectively. The DHA/CHI multilayer considerably decreased the contact angle and dramatically improved the wettability of TNZ alloy. Atomic force microscopy results revealed a rough surface on the original TNZ alloy, while the surface became smoother and more homogeneous after the deposition of approximately 5 bilayers (TNZ/(DHA/CHI)5). X-ray photoelectron spectroscopy analysis indicated that the TNZ/(DHA/CHI)5 sample was completely covered by polyelectrolytes. Pre-osteoblast MC3T3-E1 cells were cultured on the original TNZ alloy and TNZ/(DHA/CHI)5 to evaluate the effects of DHA/CHI multilayer on osteoblast proliferation in vitro. The proliferation of osteoblasts on TNZ/(DHA/CHI)5 was significantly higher than that on the original TNZ alloy. The results of this study indicate that the proposed technique improves the biocompatibility of TNZ alloy and can serve as a potential modification method in orthopedic applications.
Method of fabrication of supported liquid membranes
Luebke, David R.; Hong, Lei; Myers, Christina R.
2015-11-17
Method for the fabrication of a supported liquid membrane having a dense layer in contact with a porous layer, and a membrane liquid layer within the interconnected pores of the porous layer. The dense layer is comprised of a solidified material having an average pore size less than or equal to about 0.1 nanometer, while the porous layer is comprised of a plurality of interconnected pores and has an average pore size greater than 10 nanometers. The supported liquid membrane is fabricated through the preparation of a casting solution of a membrane liquid and a volatile solvent. A pressure difference is established across the dense layer and porous layer, the casting solution is applied to the porous layer, and the low viscosity casting solution is drawn toward the dense layer. The volatile solvent is evaporated and the membrane liquid precipitates, generating a membrane liquid layer in close proximity to the dense layer.
Preferentially etched epitaxial liftoff of InP material
NASA Technical Reports Server (NTRS)
Bailey, Sheila G. (Inventor); Wilt, David M. (Inventor); Deangelo, Frank L. (Inventor)
1995-01-01
The present invention is directed toward a method of removing epitaxial substrates from host substrates. A sacrificial release layer of ternary material is placed on the substrate. A layer of InP is then placed on the ternary material. Afterward a layer of wax is applied to the InP layer to apply compressive force and an etchant material is used to remove the sacrificial release layer.
Preferentially Etched Epitaxial Liftoff of InP Material
NASA Technical Reports Server (NTRS)
Bailey, Sheila G. (Inventor); Wilt, David M. (Inventor); DeAngelo, Frank L. (Inventor)
1997-01-01
The present invention is directed toward a method of removing epitaxial substrates from host substrates. A sacrificial release layer of ternary material is placed on the substrate. A layer of InP is then placed on the ternary material. Afterward a layer of wax is applied to the InP layer to apply compressive force and an etchant material is used to remove the sacrificial release layer.
Mechanical Computing in Microelectromechanical Systems (MEMS)
2003-03-01
New York: John Wiley and Sons, Inc., 1968. 25. Helvajian , H . and S. Janson, Microengineering Aerospace Systems, ch. Micro- engineering Space Systems...sacrificial layer. (g)Strip remaining resist. ( h ) Deposit a structural layer(conformal deposition is shown). (i) Deposit resist. (j) Expose resist...layer is then deposited, and assuming a conformal process, the structural layer will follow the topography of the sacrificial layer (Figure 2.9( h
Indirect Fabrication of Lattice Metals with Thin Sections Using Centrifugal Casting
Mun, Jiwon; Ju, Jaehyung; Thurman, James
2016-01-01
One of the typical methods to manufacture 3D lattice metals is the direct-metal additive manufacturing (AM) process such as Selective Laser Melting (SLM) and Electron Beam Melting (EBM). In spite of its potential processing capability, the direct AM method has several disadvantages such as high cost, poor surface finish of final products, limitation in material selection, high thermal stress, and anisotropic properties of parts. We propose a cost-effective method to manufacture 3D lattice metals. The objective of this study is to provide a detailed protocol on fabrication of 3D lattice metals having a complex shape and a thin wall thickness; e.g., octet truss made of Al and Cu alloys having a unit cell length of 5 mm and a cell wall thickness of 0.5 mm. An overall experimental procedure is divided into eight sections: (a) 3D printing of sacrificial patterns (b) melt-out of support materials (c) removal of residue of support materials (d) pattern assembly (e) investment (f) burn-out of sacrificial patterns (g) centrifugal casting (h) post-processing for final products. The suggested indirect AM technique provides the potential to manufacture ultra-lightweight lattice metals; e.g., lattice structures with Al alloys. It appears that the process parameters should be properly controlled depending on materials and lattice geometry, observing the final products of octet truss metals by the indirect AM technique. PMID:27214495
Fabrication of bifunctional core-shell Fe3O4 particles coated with ultrathin phosphor layer
NASA Astrophysics Data System (ADS)
Atabaev, Timur Sh; Kim, Hyung-Kook; Hwang, Yoon-Hwae
2013-08-01
Bifunctional monodispersed Fe3O4 particles coated with an ultrathin Y2O3:Tb3+ shell layer were fabricated using a facile urea-based homogeneous precipitation method. The obtained composite particles were characterized by powder X-ray diffraction, transmission electron microscopy (TEM), quantum design vibrating sample magnetometry, and photoluminescence (PL) spectroscopy. TEM revealed uniform spherical core-shell-structured composites ranging in size from 306 to 330 nm with a shell thickness of approximately 25 nm. PL spectroscopy confirmed that the synthesized composites displayed a strong eye-visible green light emission. Magnetic measurements indicated that the composite particles obtained also exhibited strong superparamagnetic behavior at room temperature. Therefore, the inner Fe3O4 core and outer Y2O3:Tb3+ shell layer endow the composites with both robust magnetic properties and strong eye-visible luminescent properties. These composite materials have potential use in magnetic targeting and bioseparation, simultaneously coupled with luminescent imaging.
Fabrication of bifunctional core-shell Fe3O4 particles coated with ultrathin phosphor layer
2013-01-01
Bifunctional monodispersed Fe3O4 particles coated with an ultrathin Y2O3:Tb3+ shell layer were fabricated using a facile urea-based homogeneous precipitation method. The obtained composite particles were characterized by powder X-ray diffraction, transmission electron microscopy (TEM), quantum design vibrating sample magnetometry, and photoluminescence (PL) spectroscopy. TEM revealed uniform spherical core-shell-structured composites ranging in size from 306 to 330 nm with a shell thickness of approximately 25 nm. PL spectroscopy confirmed that the synthesized composites displayed a strong eye-visible green light emission. Magnetic measurements indicated that the composite particles obtained also exhibited strong superparamagnetic behavior at room temperature. Therefore, the inner Fe3O4 core and outer Y2O3:Tb3+ shell layer endow the composites with both robust magnetic properties and strong eye-visible luminescent properties. These composite materials have potential use in magnetic targeting and bioseparation, simultaneously coupled with luminescent imaging. PMID:23962025
NASA Astrophysics Data System (ADS)
Wang, Tao; Hu, Mingshan; Yang, Bin; Wang, Xiaolin; Liu, Jingquan
2018-03-01
Porous nC-Si/SiOx photoluminescent nanostructured layer is fabricated by direct, precursor-free microplasma irradiation on Si substrate in air. It is confirmed that the deposited layer has porous and cluster-like structures by scanning electron microscopy (SEM) and profile scanning. Fourier transform infrared transmission (FTIR), X-ray diffraction (XRD) and X-ray photoelectron spectrum (XPS) results indicate the produced layer is actually composed of nanocrystalline silicon (nC-Si) embedded in SiOx matrix. Transmission electron microscopy (TEM) and Raman results show the mean particle size of nC-Si is mainly between 2 and 4 nm and the highest crystalline volume fraction reaches 86.9%. The photoluminescence (PL) measurement of nC-Si/SiOx layer exhibited a broad band centered at 1.7-1.9 eV, ranging from 1.2-2.4 eV, and could be tuned by varying the applied voltage. The synthetical mechanisms are discussed to explain the PL properties of the layers. We propose that the energetic ions bombing induced by high compressed electric field near the Si surface is the main reason for porous nC-Si/SiOx formation. Maskless deposition of the line pattern of nC-Si/SiOx layer was also successfully fabricated. This simple, maskless, vacuum-free and precursor-free technique could be used in various potential optoelectronics and biological applications in the future.
Fabricating solid carbon porous electrodes from powders
Kaschmitter, James L.; Tran, Tri D.; Feikert, John H.; Mayer, Steven T.
1997-01-01
Fabrication of conductive solid porous carbon electrodes for use in batteries, double layer capacitors, fuel cells, capacitive dionization, and waste treatment. Electrodes fabricated from low surface area (<50 m.sup.2 /gm) graphite and cokes exhibit excellent reversible lithium intercalation characteristics, making them ideal for use as anodes in high voltage lithium insertion (lithium-ion) batteries. Electrodes having a higher surface area, fabricated from powdered carbon blacks, such as carbon aerogel powder, carbon aerogel microspheres, activated carbons, etc. yield high conductivity carbon compositives with excellent double layer capacity, and can be used in double layer capacitors, or for capacitive deionization and/or waste treatment of liquid streams. By adding metallic catalysts to be high surface area carbons, fuel cell electrodes can be produced.
Fabricating solid carbon porous electrodes from powders
Kaschmitter, J.L.; Tran, T.D.; Feikert, J.H.; Mayer, S.T.
1997-06-10
Fabrication is described for conductive solid porous carbon electrodes for use in batteries, double layer capacitors, fuel cells, capacitive deionization, and waste treatment. Electrodes fabricated from low surface area (<50 m{sup 2}/gm) graphite and cokes exhibit excellent reversible lithium intercalation characteristics, making them ideal for use as anodes in high voltage lithium insertion (lithium-ion) batteries. Electrodes having a higher surface area, fabricated from powdered carbon blacks, such as carbon aerogel powder, carbon aerogel microspheres, activated carbons, etc. yield high conductivity carbon composites with excellent double layer capacity, and can be used in double layer capacitors, or for capacitive deionization and/or waste treatment of liquid streams. By adding metallic catalysts to high surface area carbons, fuel cell electrodes can be produced. 1 fig.
Ion traps fabricated in a CMOS foundry
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mehta, K. K.; Ram, R. J.; Eltony, A. M.
2014-07-28
We demonstrate trapping in a surface-electrode ion trap fabricated in a 90-nm CMOS (complementary metal-oxide-semiconductor) foundry process utilizing the top metal layer of the process for the trap electrodes. The process includes doped active regions and metal interconnect layers, allowing for co-fabrication of standard CMOS circuitry as well as devices for optical control and measurement. With one of the interconnect layers defining a ground plane between the trap electrode layer and the p-type doped silicon substrate, ion loading is robust and trapping is stable. We measure a motional heating rate comparable to those seen in surface-electrode traps of similar size.more » This demonstration of scalable quantum computing hardware utilizing a commercial CMOS process opens the door to integration and co-fabrication of electronics and photonics for large-scale quantum processing in trapped-ion arrays.« less
Hydrothermal growth of ZnO nanowires on flexible fabric substrates
NASA Astrophysics Data System (ADS)
Hong, Gwang-Wook; Yun, Sang-Ho; Kim, Joo-Hyung
2016-04-01
ZnO nanowires (NWs) would provide significant enhancement in sensitivity due to high surface to volume ratio. We investigated the first methodical study on the quantitative relationship between the process parameters of solution concentration ratio, structure, and physical and properties of ZnO NWs grown on different flexible fabric surfaces. To develop a fundamental following concerning various substrates, we controlled the growth speed of ZnO NWs and nanowires on cotton surface with easy and moderate cost fabrication method. Using ammonium hydroxide as the reactant with zinc nitrate hexahydrate, ZnO NWs layer have been grown on metal layers, instead of seed layer. ZnO NWs fabrication was done on different fabric substrates such as wool, nylon and polypropylene (PP). After the ZnO NWs grown to each substrates, we coated insulating layer with polyurethane (PU) and ethyl cellulose for prevent external intervention. Detailed electrical characterization was subsequently performed to reveal the working characteristics of the hybrid fabric. For electrical verification of fabricated ZnO NWs, we implemented measurement impact test and material properties with FFT analyzer and LCR meter.
New approach for pattern collapse problem by increasing contact area at sub-100nm patterning
NASA Astrophysics Data System (ADS)
Lee, Sung-Koo; Jung, Jae Chang; Lee, Min Suk; Lee, Sung K.; Kim, Sam Young; Hwang, Young-Sun; Bok, Cheol K.; Moon, Seung-Chan; Shin, Ki S.; Kim, Sang-Jung
2003-06-01
To accomplish minimizing feature size to sub 100nm, new light sources for photolithography are emerging, such as ArF(193nm), F2(157nm), and EUV(13nm). However as the pattern size decreases to sub 100nm, a new obstacle, that is pattern collapse problem, becomes most serious bottleneck to the road for the sub 100 nm lithography. The main reason for this pattern collapse problem is capillary force that is increased as the pattern size decreases. As a result there were some trials to decrease this capillary force by changing developer or rinse materials that had low surface tension. On the other hands, there were other efforts to increase adhesion between resists and sub materials (organic BARC). In this study, we will propose a novel approach to solve pattern collapse problems by increasing contact area between sub material (organic BARC) and resist pattern. The basic concept of this approach is that if nano-scale topology is made at the sub material, the contact area between sub materials and resist will be increased. The process scheme was like this. First after coating and baking of organic BARC material, the nano-scale topology (3~10nm) was made by etching at this organic BARC material. On this nano-scale topology, resist was coated and exposed. Finally after develop, the contact area between organic BARC and resist could be increased. Though nano-scale topology was made by etching technology, this 20nm topology variation induced large substrate reflectivity of 4.2% and as a result the pattern fidelity was not so good at 100nm 1:1 island pattern. So we needed a new method to improve pattern fidelity problem. This pattern fidelity problem could be solved by introducing a sacrificial BARC layer. The process scheme was like this. First organic BARC was coated of which k value was about 0.64 and then sacrificial BARC layers was coated of which k value was about 0.18 on the organic BARC. The nano-scale topology (1~4nm) was made by etching of this sacrificial BARC layer
NASA Astrophysics Data System (ADS)
George, Giphin; Saravanakumar, M. P.
2017-11-01
The layered double hydroxides (LDH) which are anionic clay substances comprising of stacked cationic layers and interlayer anions. The cationic sheets contain octahedral structure consisting the divalent and trivalent ions in the center and hydroxyl bunches in the corners, gathered by three bonding with the neighbouring octahedra on every side of the layer. The ratio between the quantity of cations and OH- ions is 2:1, so a positive charge shows up on the layer because of the presence of trivalent cations. The interlayer space gives the compensation anions and water molecules, assuring a balanced out layered structure. The LDH materials were successfully synthesised from magnesium, aluminium, zinc and chromium chloride salts utilizing the co-precipitation technique. A Zn-Al LDH was researched as a potential sorbent material. This article reviews the recent advances in the preparation and intercalation of layered double hydroxides and its application in the fabrication of Dye Sensitized Solar Cell (DSSC).
Chowdhury, Pankaj; Gomaa, Hassan; Ray, Ajay K
2015-02-01
In this paper, we have studied Eosin Y-sensitized sacrificial hydrogen generation with triethanolamine as electron donor in UV, visible, and solar light irradiation. Aeroxide TiO2 was loaded with platinum metal via solar photo-deposition method to reduce the electron hole recombination process. Photocatalytic sacrificial hydrogen generation was influenced by several factors such as platinum loading (wt%) on TiO2, solution pH, Eosin Y to Pt/TiO2 mass ratio, triethanolamine concentration, and light (UV, visible and solar) intensities. Detailed reaction mechanisms in visible and solar light irradiation were established. Oxidation of triethanolamine and formaldehyde formation was correlated with hydrogen generation in both visible and solar lights. Hydrogen generation kinetics followed a Langmuir-type isotherm with reaction rate constant and adsorption constant of 6.77×10(-6) mol min(-1) and 14.45 M(-1), respectively. Sacrificial hydrogen generation and charge recombination processes were studied as a function of light intensities. Apparent quantum yields (QYs) were compared for UV, visible, and solar light at four different light intensities. Highest QYs were attained at lower light intensity because of trivial charge recombination. At 30 mW cm(-2) we achieved QYs of 10.82%, 12.23% and 11.33% in UV, visible and solar light respectively. Copyright © 2014 Elsevier Ltd. All rights reserved.
NASA Astrophysics Data System (ADS)
Vukmanovic, Z.; Holness, M. B.; Mariani, E.
2016-12-01
Gabbroic cumulates often have foliations and/or lineations defined by shape-preferred orientations of cumulus grains (SPO). These fabrics are commonly interpreted as a product of crystal alignment by flowing magma or by slumping of a non-cohesive mush. Conversely it has also been argued that cumulate fabrics are secondary and formed during compaction via dislocation creep and/or solution-reprecipitation creep. The dominant plagioclase slip system, (010)[001], creates a crystallographic preferred orientation (CPO) defined by the alignment of (010) planes, with [001] parallel to lineation. Solution-reprecipitation results in a CPO with (010) planes aligned parallel to the principal compressive stress, and preferential mineral growth on (010) planes to form an SPO defined by grains elongated perpendicular to (010). In the Skaergaard Layered Series, the shape of cumulus plagioclase grains changes systematically from highly tabular to equant up the stratigraphy. Foliations, defined both by a plagioclase SPO (with tabular grains aligned horizontally) and an associated CPO ((010) parallel to foliation), are strongest lower in the stratigraphy and reduce in strength upwards. Lineations are generally absent or weak. Evidence for crystal plasticity is limited to bending of some plagioclase crystals and small numbers of low angle boundaries in all phases. Compositional zoning is present on all plagioclase growth faces in the lower part of the stratigraphy, inconsistent with preferential solution - reprecipitation during compression. There are no fabrics or microstructures that can be attributed to solution-reprecipitation, and evidence for only minor microstructural modification by dislocation creep. Plagioclase grain shape and strength of foliations are approximately anti-correlated with incompatible element concentration. It has been argued that the upwards decrease in incompatible element concentration in the Skaergaard Layered Series is due to an upwards increasing
NASA Astrophysics Data System (ADS)
Shang, Ya-na; Ni, Qing-yan; Ding, Ding; Chen, Na; Wang, Ting-yun
2015-01-01
In this paper, a partial discharge detection system is proposed using an optical fiber Fabry-Perot (FP) interferometric sensor, which is fabricated by photolithography. SU-8 photoresist is employed due to its low Young's modulus and potentially high sensitivity for ultrasound detection. The FP cavity is formed by coating the fiber end face with two layers of SU-8 so that the cavity can be controlled by the thickness of the middle layer of SU-8. Static pressure measurement experiments are done to estimate the sensing performance. The results show that the SU-8 based sensor has a sensitivity of 154.8 nm/kPa, which is much higher than that of silica based sensor under the same condition. Moreover, the sensor is demonstrated successfully to detect ultrasound from electrode discharge.
Fleming, James G.; Lin, Shawn-Yu
2002-01-01
A new class of structured dielectric media which exhibit significant photonic bandstructure has been invented. The new structures, called photonic layered media, are easy to fabricate using existing layer-by-layer growth techniques, and offer the ability to significantly extend our practical ability to tailor the properties of such optical materials.
A capacitive power sensor based on the MEMS cantilever beam fabricated by GaAs MMIC technology
NASA Astrophysics Data System (ADS)
Yi, Zhenxiang; Liao, Xiaoping
2013-03-01
In this paper, a novel capacitive power sensor based on the microelectromechanical systems (MEMS) cantilever beam at 8-12 GHz is proposed, fabricated and tested. The presented design can not only realize a cantilever beam instead of the conventional fixed-fixed beam, but also provide fine compatibility with the GaAs monolithic microwave integrated circuit (MMIC) process. When the displacement of the cantilever beam is very small compared with the initial height of the air gap, the capacitance change between the measuring electrode and the cantilever beam has an approximately linear dependence on the incident radio frequency (RF) power. Impedance compensating technology, by modifying the slot width of the coplanar waveguide transmission line, is adopted to minimize the effect of the cantilever beam on the power sensor; its validity is verified by the simulation of high frequency structure simulator software. The power sensor has been fabricated successfully by Au surface micromachining using polyimide as the sacrificial layer on the GaAs substrate. Optimization of the design with impedance compensating technology has resulted in a measured return loss of less than -25 dB and an insertion loss of around 0.1 dB at 8-12 GHz, which shows the slight effect of the cantilever beam on the microwave performance of this power sensor. The measured capacitance change starts from 0.7 fF to 1.3 fF when the incident RF power increases from 100 to 200 mW and an approximate linear dependence has been obtained. The measured sensitivities of the sensor are about 6.16, 6.27 and 6.03 aF mW-1 at 8, 10 and 12 GHz, respectively.
Lee, Seung Jun; Hur, Man Gyu; Yoon, Dae Ho
2013-11-01
We investigate nano-sized double layer anti-reflection coatings (ARCs) using a TiO2 and SiO2 sol-gel solution process for mono-crystalline silicon solar cells. The process can be easily adapted for spraying sol-gel coatings to reduce manufacturing cost. The spray-coated SiO2/TiO2 nano-sized double layer ARCs were deposited on mono-crystalline silicon solar cells, and they showed good optical properties. The spray coating process is a lower-cost fabrication process for large-scale coating than vacuum deposition processes such as PECVD. The measured average optical reflectance (300-1200 nm) was about approximately 8% for SiO2/TiO2 nano-sized double layer ARCs. The electrical parameters of a mono-crystalline silicon solar cell and reflection losses show that the SiO2/TiO2 stacks can improve cell efficiency by 0.2% compared to a non-coated mono-crystalline silicon solar cell. In the results, good correlation between theoretical and experimental data was obtained. We expect that the sol-gel spray-coated mono-crystalline silicon solar cells have high potential for low-cost solar cell fabrication.
Cardinale, Gregory F.
2000-01-01
A method for fabricating masks and reticles useful for projection lithography systems. An absorber layer is conventionally patterned using a pattern and etch process. Following the step of patterning, the entire surface of the remaining top patterning photoresist layer as well as that portion of an underlying protective photoresist layer where absorber material has been etched away is exposed to UV radiation. The UV-exposed regions of the protective photoresist layer and the top patterning photoresist layer are then removed by solution development, thereby eliminating the need for an oxygen plasma etch and strip and chances for damaging the surface of the substrate or coatings.
Fabrication for Nanotechnology
2007-03-01
could be divided into four groups as pictured in the following figure. Figure 1 : Nanotechnology fabrication methods Top-down nanofabrication...cooled) substrate on which a layer is formed. RTO-EN-AVT-129bis 2 - 1 van Heeren, H. (2007) Fabrication for Nanotechnology. In Nanotechnology...Aerospace Applications – 2006 (pp. 2- 1 – 2-4). Educational Notes RTO-EN-AVT-129bis, Paper 2. Neuilly-sur-Seine, France: RTO. Available from: http
Method for generating small and ultra small apertures, slits, nozzles and orifices
Khounsary, Ali M [Hinsdale, IL
2012-05-22
A method and device for one or more small apertures, slits, nozzles and orifices, preferably having a high aspect ratio. In one embodiment, one or more alternating layers of sacrificial layers and blocking layers are deposited onto a substrate. Each sacrificial layer is made of a material which preferably allows a radiation to substantially pass through. Each blocking layer is made of a material which substantially blocks the radiation.
Method of fabricating a back-contact solar cell and device thereof
Li, Bo; Smith, David; Cousins, Peter
2014-07-29
Methods of fabricating back-contact solar cells and devices thereof are described. A method of fabricating a back-contact solar cell includes forming an N-type dopant source layer and a P-type dopant source layer above a material layer disposed above a substrate. The N-type dopant source layer is spaced apart from the P-type dopant source layer. The N-type dopant source layer and the P-type dopant source layer are heated. Subsequently, a trench is formed in the material layer, between the N-type and P-type dopant source layers.
Method of fabricating a back-contact solar cell and device thereof
Li, Bo; Smith, David; Cousins, Peter
2016-08-02
Methods of fabricating back-contact solar cells and devices thereof are described. A method of fabricating a back-contact solar cell includes forming an N-type dopant source layer and a P-type dopant source layer above a material layer disposed above a substrate. The N-type dopant source layer is spaced apart from the P-type dopant source layer. The N-type dopant source layer and the P-type dopant source layer are heated. Subsequently, a trench is formed in the material layer, between the N-type and P-type dopant source layers.
Layer-by-layer 3-dimensional nanofiber tissue scaffold with controlled gap by electrospinning
NASA Astrophysics Data System (ADS)
Lin, Sai-Jun; Xue, Ya-Ping; Chang, Guoqing; Han, Qiao-Ling; Chen, Li-Fang; Jia, Yan-Bo; Zheng, Yu-Guo
2018-02-01
The development of three-dimensional (3D) nanofiber structures by electrospinning has drawn considerable attention in the field of tissue scaffolds. However, the generation of two dimensional mats using the conventional method limits electrospinning, the electrical charging of polymer liquids, as a means of nanofiber fabrication. In this study, we established a facile method of fabrication of layer-by-layer 3D polycaprolactone (PCL) nanofiber structures by utilizing a booklet collector with controlled morphology. Meanwhile, we explore the application of the manufactured 3D architectures in the field of tissue scaffolds. The approximately 20 μm layer-to-layer distance enhanced the ability of cells to migrate freely into tissues and induce cells in an ordered arrangement.
NASA Astrophysics Data System (ADS)
Wang, Zhengduo; Zhang, Li; Liu, Zhongwei; Sang, Lijun; Yang, Lizhen; Chen, Qiang
2017-06-01
In this paper, we report the combination of atomic layer deposition (ALD) with hydrothermal techniques to deposit ZnO on electrospun polyamide 6 (PA 6) nanofiber (NF) surface in the purpose of antibacterial application. The micro- and nanostructures of the hierarchical fibers are characterized by field emission scanning electron microscopy (FE-SEM), high-resolution transmission electron microscopy (HRTEM), and scanning transmission electron microscopy (STEM). We find that NFs can grow into "water lily"- and "caterpillar"-like shapes, which depend on the number of ALD cycles and the hydrothermal reaction period. It is believed that the thickness of ZnO seed layer by ALD process and the period in hydrothermal reaction have the same importance in crystalline growth and hierarchical fiber formation. The tests of antibacterial activity demonstrate that the ZnO/PA 6 core-shell composite fabricated by the combination of ALD with hydrothermal are markedly efficient in suppressing bacteria survivorship.
Fabrication of magnetic bubble memory overlay
NASA Technical Reports Server (NTRS)
1973-01-01
Self-contained magnetic bubble memory overlay is fabricated by process that employs epitaxial deposition to form multi-layered complex of magnetically active components on single chip. Overlay fabrication comprises three metal deposition steps followed by subtractive etch.
Tugba Camic, B; Oytun, Faruk; Hasan Aslan, M; Jeong Shin, Hee; Choi, Hyosung; Basarir, Fevzihan
2017-11-01
A solution-processed transparent conducting electrode was fabricated via layer-by-layer (LBL) deposition of graphene oxide (GO) and silver nanowires (Ag NWs). First, graphite was oxidized with a modified Hummer's method to obtain negatively-charged GO sheets, and Ag NWs were functionalized with cysteamine hydrochloride to acquire positively-charged silver nanowires. Oppositely-charged GO and Ag NWs were then sequentially coated on a 3-aminopropyltriethoxysilane modified glass substrate via LBL deposition, which provided highly controllable thin films in terms of optical transmittance and sheet resistance. Next, the reduction of GO sheets was performed to improve the electrical conductivity of the multilayer films. The resulting GO/Ag NWs multilayer was characterized by a UV-Vis spectrometer, field emission scanning electron microscope (FE-SEM), optical microscope (OM) and sheet resistance using a four-point probe method. The best result was achieved with a 2-bilayer film, resulting in a sheet resistance of 6.5Ω sq -1 with an optical transmittance of 78.2% at 550nm, which values are comparable to those of commercial ITO electrodes. The device based on a 2-bilayer hybrid film exhibited the highest device efficiency of 1.30% among the devices with different number of graphene/Ag NW LBL depositions. Copyright © 2017 Elsevier Inc. All rights reserved.
Methods of fabrication of graphene nanoribbons
Zhang, Yuegang
2015-06-23
Methods of fabricating graphene nanoribbons include depositing a catalyst layer on a substrate. A masking layer is deposited on the catalyst layer. The masking layer and the catalyst layer are etched to form a structure on the substrate, the structure comprising a portion of the catalyst layer and a portion of the masking layer disposed on the catalyst layer, with sidewalls of the catalyst layer being exposed. A graphene layer is formed on a sidewall of the catalyst layer with a carbon-containing gas.
NASA Astrophysics Data System (ADS)
Chandran, Maneesh; Michaelson, Shaul; Saguy, Cecile; Hoffman, Alon
2016-11-01
In this letter, we report on the proof of a concept of an innovative delta doping technique to fabricate an ensemble of nitrogen vacancy centers at shallow depths in (100) diamond. A nitrogen delta doped layer with a concentration of ˜1.8 × 1020 cm-3 and a thickness of a few nanometers was produced using this method. Nitrogen delta doping was realized by producing a stable nitrogen terminated (N-terminated) diamond surface using the RF nitridation process and subsequently depositing a thin layer of diamond on the N-terminated diamond surface. The concentration of nitrogen on the N-terminated diamond surface and its stability upon exposure to chemical vapor deposition conditions are determined by x-ray photoelectron spectroscopy analysis. The SIMS profile exhibits a positive concentration gradient of 1.9 nm/decade and a negative gradient of 4.2 nm/decade. The proposed method offers a finer control on the thickness of the delta doped layer than the currently used ion implantation and delta doping techniques.
Method of fabricating a solar cell
Pass, Thomas; Rogers, Robert
2016-02-16
Methods of fabricating solar cells are described. A porous layer may be formed on a surface of a substrate, the porous layer including a plurality of particles and a plurality of voids. A solution may be dispensed into one or more regions of the porous layer to provide a patterned composite layer. The substrate may then be heated.
Method of fabricating a solar cell
Pass, Thomas; Rogers, Robert
2014-02-25
Methods of fabricating solar cells are described. A porous layer may be formed on a surface of a substrate, the porous layer including a plurality of particles and a plurality of voids. A solution may be dispensed into one or more regions of the porous layer to provide a patterned composite layer. The substrate may then be heated.
Lu, Di; Baek, David J.; Hong, Seung Sae; ...
2016-09-12
Here, the ability to create and manipulate materials in two-dimensional (2D) form has repeatedly had transformative impact on science and technology. In parallel with the exfoliation and stacking of intrinsically layered crystals 1, 2, 3, 4, 5, atomic-scale thin film growth of complex materials has enabled the creation of artificial 2D heterostructures with novel functionality 6, 7, 8, 9 and emergent phenomena, as seen in perovskite heterostructures 10, 11, 12. However, separation of these layers from the growth substrate has proved challenging, limiting the manipulation capabilities of these heterostructures with respect to exfoliated materials. Here we present a general methodmore » to create freestanding perovskite membranes. The key is the epitaxial growth of water-soluble Sr 3Al 2O 6 on perovskite substrates, followed by in situ growth of films and heterostructures. Millimetre-size single-crystalline membranes are produced by etching the Sr 3Al 2O 6 layer in water, providing the opportunity to transfer them to arbitrary substrates and integrate them with heterostructures of semiconductors and layered compounds 13, 14.« less
Superabsorbent Multilayer Fabric
NASA Technical Reports Server (NTRS)
Coreale, J. V.; Dawn, F. S.
1982-01-01
Material contains gel-forming polymer and copolymer that absorb from 70 to 200 times their weight of liquid. Superabsorbent Polymer and Copolymer form gels to bind and retain liquid in multiply fabric. Until reaction between liquid and absorbent masses forms gel, backing layer retains liquids within fabric; also allows material to "breathe." Possible applications include baby diapers, female hygiene napkins, and hospital bedpads. Might also have uses in improvement of dry soil.
Thin film capillary process and apparatus
Yu, Conrad M.
2003-11-18
Method and system of forming microfluidic capillaries in a variety of substrate materials. A first layer of a material such as silicon dioxide is applied to a channel etched in substrate. A second, sacrificial layer of a material such as a polymer is deposited on the first layer. A third layer which may be of the same material as the first layer is placed on the second layer. The sacrificial layer is removed to form a smooth walled capillary in the substrate.
NASA Astrophysics Data System (ADS)
Zhang, Haimin; Quan, Xie; Chen, Shuo; Zhao, Huimin
2007-11-01
Uniform, large-scale, and well-aligned needle-like ZnO nanorods with good photoluminescence and photocatalysis properties on Zn substrates, have been successfully fabricated using a simple low-temperature seed-layer growth approach in solution (50 °C). The formation of ZnO seed-layer by the anodic oxidation technique (AOT) plays an important role in the subsequent growth of highly oriented ZnO nanorods arrays. Temperature also proved to be a significant factor in the growth of ZnO nanorods and had a great effect on their optical properties. X-ray diffraction (XRD) analysis, selected-area electron diffraction (SAED) pattern and high-resolution TEM (HRTEM) indicated that the needle-like ZnO nanorods were single crystal in nature and that they had grown up preferentially along the [0001] direction. The well-aligned ZnO nanorods arrays on Zn substrates exhibited strong UV emission at around 380 nm at room temperature. To investigate their potential as photocatalysts, degradation of pentachlorophenol (PCP) in aqueous solution was carried out using photocatalytic processes, with comparison to direct photolysis. After 1 h, the degradation efficiencies of PCP by direct photolysis and photocatalytic processes achieved 57% and 76% under given experimental conditions, respectively. This improved degradation efficiency of PCP illustrates that ZnO nanorods arrays on Zn substrates have good photocatalytic activity. This simple low-temperature seed-layer growth approach in solution resulted in the development of an effective and low-cost fabrication process for high-quality ZnO nanorods arrays with good optical and photocatalytic properties that can be applicable in many fields such as photocatalysis, photovoltaic cells, luminescent sensors, and photoconductive sensors.
NASA Astrophysics Data System (ADS)
Tzivadze, A. Yu.; Fridman, A. Ya.; Morozova, E. M.; Sokolova, N. P.; Voloshchuk, A. M.; Petukhova, G. A.; Bardishev, I. I.; Gorbunov, A. M.; Novikov, A. K.; Polyakova, I. Ya.; Titova, V. N.; Yavich, A. A.; Petrova, N. V.
2016-08-01
The synthesis of bilayer materials with porous upper layers composed of PVC hydroxyethylcyclam derivatives filled with carbon and a layer consisting of hydroxyethylcyclam, cross-linked via Si-O-C groups with the silica chains of a developed surface of asbestos fabric, is described. The aza-crown groups in these materials are bound with aqua complexes of H2SO4 or NaOH. The structure of the materials is examined, their adsorption characteristics are determined, and the rate of motion of H+ or OH- ions in electrochemical bridges is measured, while the formation of H2 and O2 in their cathodic and anodic polarization is determined as a function of voltage. It is shown that the upper layer of these materials is adsorption-active and electronand H+- or OH-- conductive, while the bottom layer is only H+- or OH-- conductive; through it, the upper layer is supplied with the H+ or OH- ions needed for the regeneration of the aqua complexes broken down to H2 and O2 on carbon particles.
NASA Astrophysics Data System (ADS)
Metcalfe, C.; Harris, J.; Kuhn, J.; Marr, M.; Kesler, O.
2013-06-01
A composite NiO-Y0.15Zr0.85O1.925 (YSZ) agglomerated feedstock having nanoscale NiO and YSZ primary particles was used to fabricate anodes having sub-micrometer structure. These anodes were incorporated into two different metal-supported SOFC architectures, which differ in the order of electrode deposition. The composition of the composite Ni-YSZ anodes is controllable by selection of the agglomerate size fraction and standoff distance, while the porosity is controllable by selection of agglomerate size fraction and addition of a sacrificial pore-forming material. A bi-layer anode was fabricated having a total porosity of 33% for the diffusion layer and 23% porosity for the functional layer. A power density of 630 mW/cm2 was obtained at 750 °C in humidified H2 with cells having the bi-layer anode deposited on the metal support. Cells having the cathode deposited on the metal support showed poor performance due to a significant number of vertical cracks through the electrolyte, allowing excessive gas cross-over between the anode and the cathode compartments.
Developing Multilayer Thin Film Strain Sensors With High Thermal Stability
NASA Technical Reports Server (NTRS)
Wrbanek, John D.; Fralick, Gustave C.; Gonzalez, Jose M., III
2006-01-01
A multilayer thin film strain sensor for large temperature range use is under development using a reactively-sputtered process. The sensor is capable of being fabricated in fine line widths utilizing the sacrificial-layer lift-off process that is used for micro-fabricated noble-metal sensors. Tantalum nitride films were optimized using reactive sputtering with an unbalanced magnetron source. A first approximation model of multilayer resistance and temperature coefficient of resistance was used to set the film thicknesses in the multilayer film sensor. Two multifunctional sensors were fabricated using multilayered films of tantalum nitride and palladium chromium, and tested for low temperature resistivity, TCR and strain response. The low temperature coefficient of resistance of the films will result in improved stability in thin film sensors for low to high temperature use.
Out-of-plane permeability of multilayer 0°/90° non-crimp fabrics
NASA Astrophysics Data System (ADS)
Fang, Liangchao; Wu, Wenyu; Xu, Chunting; Zhang, Hui
2018-03-01
Layer shift is the main source of the variations in permeability values for multilayer fabrics. This phenomenon could change the flow path and cause inadequate infiltration. In this paper, the out-of-plane permeability of multilayer 0°/90° non-crimp fabrics was analyzed statistically. Based on the prediction models of 2-layer fabrics, every two adjacent layers were regarded as porous media with different permeabilities. The out-of-plane permeability of multilayer fabrics was then modeled with the electrical resistance analogy. Analytical results were compared with experiment data. And the effect of number of layer on permeability was thoroughly researched based on the statistical point of view.
Tests on Double Layer Metalization
NASA Technical Reports Server (NTRS)
Woo, D. S.
1983-01-01
28 page report describes experiments in fabrication of integrated circuits with double-layer metalization. Double-layer metalization requires much less silicon "real estate" and allows more flexibility in placement of circuit elements than does single-layer metalization.
NASA Astrophysics Data System (ADS)
Metcalfe, Craig; Kuhn, Joel; Kesler, Olivera
2013-12-01
Composite Ni-Y0.15Zr0.85O1.925 anodes were fabricated by axial-injection suspension plasma spraying in open atmosphere conditions. The composition of the anode is controllable by adjustment of the plasma gas composition, stand-off distance, and suspension feed rate. The total porosity is controllable through the addition of carbon black to the suspension as a sacrificial pore-forming material as well as by adjustment of the suspension feed rate. The size of the NiO particles in suspension affects both the composition and total porosity, with larger NiO particles leading to increased Ni content and porosity in the deposited coatings. The surface roughness increases with a decrease of the in-flight droplet momentum, which results from both smaller NiO particles in suspension and the addition of low density pore-forming materials. A solid oxide fuel cell was fabricated with both electrodes and electrolyte fabricated by axial-injection plasma spraying. Peak power densities of 0.718 W cm-2 and 1.13 W cm-2 at 750 °C and 850 °C, respectively, were achieved.
NASA Astrophysics Data System (ADS)
Zhu, Shiyao; Zheng, Junsheng; Huang, Jun; Dai, Ningning; Li, Ping; Zheng, Jim P.
2018-07-01
Polyelectrolyte poly(diallyldimethylammonium chloride) (PDDA) functionalized carbon nanotubes (CNTs) supported Pt electrocatalyst was synthesized as a substitute for commonly used Pt/C and Pt/CNTs (modified by harsh acid-oxidation treatment) catalysts. In addition, this catalyst was fabricated as the cathode catalyst layer (CL) with a unique double-layered structure for proton exchange membrane fuel cells (PEMFCs). Thermogravimetric analysis shows an enhanced thermal stability of Pt/PDDA-CNTs. The Pt/PDDA-CNTs catalyst with an average Pt particle size of ∼3.1 nm exhibits the best electrocatalytic activity and a significantly enhanced electrochemical stability. Scanning electron microscope, energy dispersive spectrometer and mercury intrusion porosimetry results demonstrate the gradient distribution of Pt content and pore size along the thickness of buckypaper catalyst layer (BPCL). The accelerated degradation test results of BPCLs indicate that this gradient structure can ensure a high Pt utilization in the BPCLs (up to 90%) and further improve the catalyst durability. In addition, the membrane electrode assembly (MEA) fabricated with cathode BPCL-PDDA shows the best single cell performance and long-term stability, and a reduction of Pt loading can be achieved. The feasibility of BPCL for improving the Pt utilization is also demonstrated by the cathode cyclic voltammetry in MEA.
Double layered tailorable advanced blanket insulation
NASA Technical Reports Server (NTRS)
Falstrup, D.
1983-01-01
An advanced flexible reusable surface insulation material for future space shuttle flights was investigated. A conventional fly shuttle loom with special modifications to weave an integral double layer triangular core fabric from quartz yarn was used. Two types of insulating material were inserted into the cells of the fabric, and a procedure to accomplish this was developed. The program is follow up of a program in which single layer rectangular cell core fabrics are woven and a single type of insulating material was inserted into the cells.
Nanoscale fabrication using single-ion impacts
NASA Astrophysics Data System (ADS)
Millar, Victoria; Pakes, Chris I.; Cimmino, Alberto; Brett, David; Jamieson, David N.; Prawer, Steven D.; Yang, Changyi; Rout, Bidhudutta; McKinnon, Rita P.; Dzurak, Andrew S.; Clark, Robert G.
2001-11-01
We describe a novel technique for the fabrication of nanoscale structures, based on the development of localized chemical modification caused in a PMMA resist by the implantation of single ions. The implantation of 2 MeV He ions through a thin layer of PMMA into an underlying silicon substrate causes latent damage in the resist. On development of the resist we demonstrate the formation within the PMMA layer of clearly defined etched holes, of typical diameter 30 nm, observed using an atomic force microscope employing a carbon nanotube SPM probe in intermittent-contact mode. This technique has significant potential applications. Used purely to register the passage of an ion, it may be a useful verification of the impact sites in an ion-beam modification process operating at the single-ion level. Furthermore, making use of the hole in the PMMA layer to perform subsequent fabrication steps, it may be applied to the fabrication of self-aligned structures in which surface features are fabricated directly above regions of an underlying substrate that are locally doped by the implanted ion. Our primary interest in single-ion resists relates to the development of a solid-state quantum computer based on an array of 31P atoms (which act as qubits) embedded with nanoscale precision in a silicon matrix. One proposal for the fabrication of such an array is by phosphorous-ion implantation. A single-ion resist would permit an accurate verification of 31P implantation sites. Subsequent metalisation of the latent damage may allow the fabrication of self-aligned metal gates above buried phosphorous atoms.
NASA Astrophysics Data System (ADS)
Palomaki, Peter Karl Bunk
Solar energy may be the only renewable source of energy available to the human race that could provide the energy we require while at the same time minimizing negative impacts on the planet and population. These characteristics may be instrumental in diminishing the potential for societal conflict. In order for photovoltaic devices to succeed on a global scale, research and development must lead to reduced costs and/or increased efficiency. Dye-Sensitized Solar Cells (DSSCs) are one class of nextgeneration photovoltaic technologies with the potential to realize these goals. Herein, I describe efforts towards developing a new light harvesting array of chromophores assembled on oxide substrates using copper(I)-catalyzed azide-alkyne cycloaddition (CuAAC or ‘click’ chemistry) that could prove useful in improving DSCC performance while maintaining low cost and simple fabrication. Specifically, molecular multilayers of porphyrin-based chromophores have been fabricated via sequential selflimiting CuAAC reactions to generate multilayered light harvesting films. Films of synthetic porphyrins, perylenes, and mixtures of the two are constructed in order to highlight the versatility of this molecular layer-by-layer (LbL) technique. Characterization in the form of electrochemical techniques, UV-Visible spectroscopy, infrared spectroscopy (IR), and water contact angle all indicate that the films are reacting as expected. Film thickness and morphology are investigated using X-ray reflectivity showing that film growth displays a high degree of linearity, while the roughness increases with thickness. Growth angles based on the porphyrin plane are estimated via a comparison of molecular models and experimentally determined thickness measurements. A more finite measurement of growth angle (and as a result the primary bonding mode) is determined by grazing angle IR spectroscopy. Blocking layer studies suggest that the films could be useful as a self-passivating layer in DSSCs to
Inversion layer MOS solar cells
NASA Technical Reports Server (NTRS)
Ho, Fat Duen
1986-01-01
Inversion layer (IL) Metal Oxide Semiconductor (MOS) solar cells were fabricated. The fabrication technique and problems are discussed. A plan for modeling IL cells is presented. Future work in this area is addressed.
Tube Formation in Nanoscale Materials
2008-01-01
The formation of tubular nanostructures normally requires layered, anisotropic, or pseudo-layered crystal structures, while inorganic compounds typically do not possess such structures, inorganic nanotubes thus have been a hot topic in the past decade. In this article, we review recent research activities on nanotubes fabrication and focus on three novel synthetic strategies for generating nanotubes from inorganic materials that do not have a layered structure. Specifically, thermal oxidation method based on gas–solid reaction to porous CuO nanotubes has been successfully established, semiconductor ZnS and Nb2O5nanotubes have been prepared by employing sacrificial template strategy based on liquid–solid reaction, and an in situ template method has been developed for the preparation of ZnO taper tubes through a chemical etching reaction. We have described the nanotube formation processes and illustrated the detailed key factors during their growth. The proposed mechanisms are presented for nanotube fabrication and the important pioneering studies are discussed on the rational design and fabrication of functional materials with tubular structures. It is the intention of this contribution to provide a brief account of these research activities. PMID:20592945
Wang, Zijiao; Ma, Qianli; Dong, Xiangting; Li, Dan; Xi, Xue; Yu, Wensheng; Wang, Jinxian; Liu, Guixia
2016-12-21
A two-dimensional (2D) bi-layered composite nanofibrous film assembled by one-dimensional (1D) nanofibers with trifunctionality of electrical conduction, magnetism and photoluminescence has been successfully fabricated by layer-by-layer electrospinning. The composite film consists of a polyaniline (PANI)/Fe 3 O 4 nanoparticle (NP)/polyacrylonitrile (PAN) tuned electrical-magnetic bifunctional layer on one side and a Tb(TTA) 3 (TPPO) 2 /polyvinylpyrrolidone (PVP) photoluminescent layer on the other side, and the two layers are tightly combined face-to-face together into the novel bi-layered composite film of trifunctionality. The brand-new film has totally different characteristics at the double layers. The electrical conductivity and magnetism of the electrical-magnetic bifunctional layer can be, respectively, tunable via modulating the PANI and Fe 3 O 4 NP contents, and the highest electrical conductivity can reach up to the order of 10 -2 S cm -1 , and predominant intense green emission at 545 nm is obviously observed in the photoluminescent layer under the excitation of 357 nm single-wavelength ultraviolet light. More importantly, the luminescence intensity of the photoluminescent layer remains almost unaffected by the electrical-magnetic bifunctional layer because the photoluminescent materials have been successfully isolated from dark-colored PANI and Fe 3 O 4 NPs. By comparing with the counterpart single-layered composite nanofibrous film, it is found that the bi-layered composite nanofibrous film has better performance. The novel bi-layered composite nanofibrous film with trifunctionality has potential in the fields of nanodevices, molecular electronics and biomedicine. Furthermore, the design conception and fabrication technique for the bi-layered multifunctional film provide a new and facile strategy towards other films of multifunctionality.
Fabrication of Solid-State Multilayer Glass Capacitors
DOE Office of Scientific and Technical Information (OSTI.GOV)
Wilke, Rudeger H. T.; Brown-Shaklee, Harlan James; Casias, Adrian L.
Alkali-free glasses show immense promise for the development of high-energy density capacitors. The high breakdown strengths on single-layer sheets of glass suggest the potential for improved energy densities over existing state-of-the art polymer capacitors. In this paper, we demonstrate the ability to package thin glass to make solid-state capacitors. Individual layers are bonded using epoxy, leading to capacitors that exhibit stable operation over the temperature range -55 °C to +65 °C. Here, this fabrication approach is scalable and allows for proof testing individual layers prior to incorporation of the stack, providing a blueprint for the fabrication of high-energy density capacitors.
Fabrication of Solid-State Multilayer Glass Capacitors
Wilke, Rudeger H. T.; Brown-Shaklee, Harlan James; Casias, Adrian L.; ...
2017-07-31
Alkali-free glasses show immense promise for the development of high-energy density capacitors. The high breakdown strengths on single-layer sheets of glass suggest the potential for improved energy densities over existing state-of-the art polymer capacitors. In this paper, we demonstrate the ability to package thin glass to make solid-state capacitors. Individual layers are bonded using epoxy, leading to capacitors that exhibit stable operation over the temperature range -55 °C to +65 °C. Here, this fabrication approach is scalable and allows for proof testing individual layers prior to incorporation of the stack, providing a blueprint for the fabrication of high-energy density capacitors.
Porous silicon carbide (SIC) semiconductor device
NASA Technical Reports Server (NTRS)
Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)
1996-01-01
Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.
NASA Astrophysics Data System (ADS)
Min, Young-Hoon; Kim, Yong-Kweon
1998-09-01
A silicon based micro mirror array is a highly efficient component for use in optical applications as adaptive optical systems and optical correlators. Many types of micro mirror or micro mirror array have been studied and proposed in order to obtain the optimal performance according to their own purposes. A micro mirror array designed, fabricated and tested in this paper consists of 5 X 5 single layer polysilicon-based, electrostatically driven actuators. The micro mirror array for the optical phase modulation is made by using only two masks and can be driven independently by 25 channel circuits. About 6 (pi) phase modulation is obtained in He-Ne laser ((lambda) equals 633 nm) with 67% fill-factor. In this paper, the deflection characteristics of the actuators in controllable range were studied. The experimental results show that the deflection characteristics is much dependent upon a residual stress in flexure, the initial curvature of mirror due to stress gradient and an electrostatic force acted on other element except for mirror itself. The modeling results agree well with the experimental results. Also, it is important to fabricate a flat mirror that is not initially curved because the curved mirror brings a bad performance in optical use. Therefore, a new method to obtain the flat mirror by using the gold metallization in spite of the residual stress unbalance is proposed in this paper.
NASA Astrophysics Data System (ADS)
Song, Tingting; Liu, Qi; Liu, Jingyuan; Yang, Wanlu; Chen, Rongrong; Jing, Xiaoyan; Takahashi, Kazunobu; Wang, Jun
2015-11-01
Inspired by natural plants such as Nepenthes pitcher plants, super slippery surfaces have been developed to improve the attributes of repellent surfaces. In this report, super slippery porous anodic aluminium oxide (AAO) surfaces have fabricated by a simple and reproducible method. Firstly, the aluminium substrates were treated by an anodic process producing micro-nano structured sheet-layered pores, and then immersed in Methyl Silicone Oil, Fluororalkylsilane (FAS) and DuPont Krytox, respectively, generating super slippery surfaces. Such a good material with excellent anti-corrosion property through a simple and repeatable method may be potential candidates for metallic application in anti-corrosion and extreme environment.
Yarn-dyed fabric defect classification based on convolutional neural network
NASA Astrophysics Data System (ADS)
Jing, Junfeng; Dong, Amei; Li, Pengfei; Zhang, Kaibing
2017-09-01
Considering that manual inspection of the yarn-dyed fabric can be time consuming and inefficient, we propose a yarn-dyed fabric defect classification method by using a convolutional neural network (CNN) based on a modified AlexNet. CNN shows powerful ability in performing feature extraction and fusion by simulating the learning mechanism of human brain. The local response normalization layers in AlexNet are replaced by the batch normalization layers, which can enhance both the computational efficiency and classification accuracy. In the training process of the network, the characteristics of the defect are extracted step by step and the essential features of the image can be obtained from the fusion of the edge details with several convolution operations. Then the max-pooling layers, the dropout layers, and the fully connected layers are employed in the classification model to reduce the computation cost and extract more precise features of the defective fabric. Finally, the results of the defect classification are predicted by the softmax function. The experimental results show promising performance with an acceptable average classification rate and strong robustness on yarn-dyed fabric defect classification.
Control and automation of multilayered integrated microfluidic device fabrication.
Kipper, Sarit; Frolov, Ludmila; Guy, Ortal; Pellach, Michal; Glick, Yair; Malichi, Asaf; Knisbacher, Binyamin A; Barbiro-Michaely, Efrat; Avrahami, Dorit; Yavets-Chen, Yehuda; Levanon, Erez Y; Gerber, Doron
2017-01-31
Integrated microfluidics is a sophisticated three-dimensional (multi layer) solution for high complexity serial or parallel processes. Fabrication of integrated microfluidic devices requires soft lithography and the stacking of thin-patterned PDMS layers. Precise layer alignment and bonding is crucial. There are no previously reported standards for alignment of the layers, which is mostly performed using uncontrolled processes with very low alignment success. As a result, integrated microfluidics is mostly used in academia rather than in the many potential industrial applications. We have designed and manufactured a semiautomatic Microfluidic Device Assembly System (μDAS) for full device production. μDAS comprises an electrooptic mechanical system consisting of four main parts: optical system, smart media holder (for PDMS), a micropositioning xyzθ system and a macropositioning XY mechanism. The use of the μDAS yielded valuable information regarding PDMS as the material for device fabrication, revealed previously unidentified errors, and enabled optimization of a robust fabrication process. In addition, we have demonstrated the utilization of the μDAS technology for fabrication of a complex 3 layered device with over 12 000 micromechanical valves and an array of 64 × 64 DNA spots on a glass substrate with high yield and high accuracy. We increased fabrication yield from 25% to about 85% with an average layer alignment error of just ∼4 μm. It also increased our protein expression yields from 80% to over 90%, allowing us to investigate more proteins per experiment. The μDAS has great potential to become a valuable tool for both advancing integrated microfluidics in academia and producing and applying microfluidic devices in the industry.
NASA Astrophysics Data System (ADS)
Li, Yi-Shao; Wu, Chun-Yi; Chou, Chia-Hsin; Liao, Chan-Yu; Chuang, Kai-Chi; Luo, Jun-Dao; Li, Wei-Shuo; Cheng, Huang-Chung
2018-06-01
A tetraethyl-orthosilicate (TEOS) capping oxide was deposited by low-pressure chemical vapor deposition (LPCVD) on a 200-nm-thick amorphous Si (a-Si) film as a heat reservoir to improve the crystallinity and surface roughness of polycrystalline silicon (poly-Si) formed by continuous-wave laser crystallization (CLC). The effects of four thicknesses of the capping oxide layer to satisfy an antireflection condition, namely, 90, 270, 450, and 630 nm, were investigated. The largest poly-Si grain size of 2.5 × 20 µm2 could be achieved using a capping oxide layer with an optimal thickness of 450 nm. Moreover, poly-Si nanorod (NR) thin-film transistors (TFTs) fabricated using the aforementioned technique exhibited a superior electron field-effect mobility of 1093.3 cm2 V‑1 s‑1 and an on/off current ratio of 2.53 × 109.
Tan, J Y; Chua, C K; Leong, K F
2013-02-01
Advanced scaffold fabrication techniques such as Rapid Prototyping (RP) are generally recognized to be advantageous over conventional fabrication methods in terms architectural control and reproducibility. Yet, most RP techniques tend to suffer from resolution limitations which result in scaffolds with uncontrollable, random-size pores and low porosity, albeit having interconnected channels which is characteristically present in most RP scaffolds. With the increasing number of studies demonstrating the profound influences of scaffold pore architecture on cell behavior and overall tissue growth, a scaffold fabrication method with sufficient architectural control becomes imperative. The present study demonstrates the use of RP fabrication techniques to create scaffolds having interconnected channels as well as controllable micro-size pores. Adopted from the concepts of porogen leaching and indirect RP techniques, the proposed fabrication method uses monodisperse microspheres to create an ordered, hexagonal closed packed (HCP) array of micro-pores that surrounds the existing channels of the RP scaffold. The pore structure of the scaffold is shaped using a single sacrificial construct which comprises the microspheres and a dissolvable RP mold that were sintered together. As such, the size of pores as well as the channel configuration of the scaffold can be tailored based on the design of the RP mold and the size of microspheres used. The fabrication method developed in this work can be a promising alternative way of preparing scaffolds with customized pore structures that may be required for specific studies concerning cell-scaffold interactions.
Method of fabricating an optoelectronic device having a bulk heterojunction
Shtein, Max [Ann Arbor, MI; Yang, Fan [Princeton, NJ; Forrest, Stephen R [Princeton, NJ
2008-10-14
A method of fabricating an optoelectronic device comprises: depositing a first layer having protrusions over a first electrode, in which the first layer comprises a first organic small molecule material; depositing a second layer on the first layer such that the second layer is in physical contact with the first layer; in which the smallest lateral dimension of the protrusions are between 1 to 5 times the exciton diffusion length of the first organic small molecule material; and depositing a second electrode over the second layer to form the optoelectronic device. A method of fabricating an organic optoelectronic device having a bulk heterojunction is also provided and comprises: depositing a first layer with protrusions over an electrode by organic vapor phase deposition; depositing a second layer on the first layer where the interface of the first and second layers forms a bulk heterojunction; and depositing another electrode over the second layer.
NASA Astrophysics Data System (ADS)
Tsaur, Jiunnjye; Zhang, Lulu; Maeda, Ryutaro; Matsumoto, Sohei; Khumpuang, Sommawan
2002-06-01
Micro scanners including 1D scanner beams and 2D scanning micromirrors are designed and fabricated. In order to yield large bending force, the sol-gel derived double layered lead zirconate titanate (PZT) structures are developed to be the actuator components. In our developed fabrication process, the use of thermal treatment and the addition of one platinium/titanium film played an important role to yield the well-crystallized perovskite phase and decrease the residual strss of total cantilever structures successfully. In the case of 1D scanner beams with the size of 750× 230 μm2, the optical scanning angle was 41.2 deg with respect to actuation with AC 5 V at 2706 Hz. Under the applied bias of 10 V, the bimorph beam bended upward and the deflection angle of 34.3 deg was measured. A 2D scanning micromirror supported by four suspended double layered PZT actuators was designed to rotate around two orthogonal axes by the operation at different resonant frequencies. While resonating with AC 7.5 V at 3750 Hz and 5350 Hz, the maximum scanning area of 24\\circ× 26\\circ was obtained.
Interface engineering in epitaxial growth of layered oxides via a conducting layer insertion
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yun, Yu; Meng, Dechao; Wang, Jianlin
2015-07-06
There is a long-standing challenge in the fabrication of layered oxide epitaxial films due to their thermodynamic phase-instability and the large stacking layer number. Recently, the demand for high-quality thin films is strongly pushed by their promising room-temperature multiferroic properties. Here, we find that by inserting a conducting and lattice matched LaNiO{sub 3} buffer layer, high quality m = 5 Bi{sub 6}FeCoTi{sub 3}O{sub 18} epitaxial films can be fabricated using the laser molecular beam epitaxy, in which the atomic-scale sharp interface between the film and the metallic buffer layer explains the enhanced quality. The magnetic and ferroelectric properties of the high qualitymore » Bi{sub 6}FeCoTi{sub 3}O{sub 18} films are studied. This study demonstrates that insertion of the conducting layer is a powerful method in achieving high quality layered oxide thin films, which opens the door to further understand the underline physics and to develop new devices.« less
Fabricating with crystalline Si to improve superconducting detector performance
NASA Astrophysics Data System (ADS)
Beyer, A. D.; Hollister, M. I.; Sayers, J.; Frez, C. F.; Day, P. K.; Golwala, S. R.
2017-05-01
We built and measured radio-frequency (RF) loss tangent, tan δ, evaluation structures using float-zone quality silicon-on-insulator (SOI) wafers with 5 μm thick device layers. Superconducting Nb components were fabricated on both sides of the SOI Si device layer. Our main goals were to develop a robust fabrication for using crystalline Si (c-Si) dielectric layers with superconducting Nb components in a wafer bonding process and to confirm that tan δ with c-Si dielectric layers was reduced at RF frequencies compared to devices fabricated with amorphous dielectrics, such as SiO2 and SixNy, where tan δ ∼ 10-3. Our primary test structure used a Nb coplanar waveguide (CPW) readout structure capacitively coupled to LC resonators, where the capacitors were defined as parallel-plate capacitors on both sides of a c-Si device layer using a wafer bonding process with benzocyclobutene (BCB) wafer bonding adhesive. Our control experiment, to determine the intrinsic tan δ in the SOI device layer without wafer bonding, also used Nb CPW readout coupled to LC resonators; however, the parallel-plate capacitors were fabricated on both sides of the Si device layer using a deep reactive ion etch (DRIE) to access the c-Si underside through the buried oxide and handle Si layers in the SOI wafers. We found that our wafer bonded devices demonstrated F· δ = (8 ± 2) × 10-5, where F is the filling fraction of two-level states (TLS). For the control experiment, F· δ = (2.0 ± 0.6) × 10-5, and we discuss what may be degrading the performance in the wafer bonded devices as compared to the control devices.
Waveguide-integrated vertical pin photodiodes of Ge fabricated on p+ and n+ Si-on-insulator layers
NASA Astrophysics Data System (ADS)
Ito, Kazuki; Hiraki, Tatsurou; Tsuchizawa, Tai; Ishikawa, Yasuhiko
2017-04-01
Vertical pin structures of Ge photodiodes (PDs) integrated with Si optical waveguides are fabricated by depositing Ge epitaxial layers on Si-on-insulator (SOI) layers, and the performances of n+-Ge/i-Ge/p+-SOI PDs are compared with those of p+-Ge/i-Ge/n+-SOI PDs. Both types of PDs show responsivities as high as 1.0 A/W at 1.55 µm, while the dark leakage current is different, which is consistent with previous reports on free-space PDs formed on bulk Si wafers. The dark current of the p+-Ge/i-Ge/n+-SOI PDs is higher by more than one order of magnitude. Taking into account the activation energies for dark current as well as the dependence on PD area, the dark current of the n+-Ge/i-Ge/p+-SOI PDs is dominated by the thermal generation of carriers via mid-gap defect levels in Ge, while for the p+-Ge/i-Ge/n+-SOI PDs, the dark current is ascribed to not only thermal generation but also other mechanisms such as locally formed conduction paths.
Rananavare, Shankar B; Morakinyo, Moshood K
2017-02-12
Nano-patterns fabricated with extreme ultraviolet (EUV) or electron-beam (E-beam) lithography exhibit unexpected variations in size. This variation has been attributed to statistical fluctuations in the number of photons/electrons arriving at a given nano-region arising from shot-noise (SN). The SN varies inversely to the square root of a number of photons/electrons. For a fixed dosage, the SN is larger in EUV and E-beam lithographies than for traditional (193 nm) optical lithography. Bottom-up and top-down patterning approaches are combined to minimize the effects of shot noise in nano-hole patterning. Specifically, an amino-silane surfactant self-assembles on a silicon wafer that is subsequently spin-coated with a 100 nm film of a PMMA-based E-beam photoresist. Exposure to the E-beam and the subsequent development uncover the underlying surfactant film at the bottoms of the holes. Dipping the wafer in a suspension of negatively charged, citrate-capped, 20 nm gold nanoparticles (GNP) deposits one particle per hole. The exposed positively charged surfactant film in the hole electrostatically funnels the negatively charged nanoparticle to the center of an exposed hole, which permanently fixes the positional registry. Next, by heating near the glass transition temperature of the photoresist polymer, the photoresist film reflows and engulfs the nanoparticles. This process erases the holes affected by SN but leaves the deposited GNPs locked in place by strong electrostatic binding. Treatment with oxygen plasma exposes the GNPs by etching a thin layer of the photoresist. Wet-etching the exposed GNPs with a solution of I2/KI yields uniform holes located at the center of indentations patterned by E-beam lithography. The experiments presented show that the approach reduces the variation in the size of the holes caused by SN from 35% to below 10%. The method extends the patterning limits of transistor contact holes to below 20 nm.
Deng, Xiaolong; Yu Nikiforov, Anton; Coenye, Tom; Cools, Pieter; Aziz, Gaelle; Morent, Rino; De Geyter, Nathalie; Leys, Christophe
2015-01-01
An antimicrobial nano-silver non-woven polyethylene terephthalate (PET) fabric has been prepared in a three step process. The fabrics were first pretreated by depositing a layer of organosilicon thin film using an atmospheric pressure plasma system, then silver nano-particles (AgNPs) were incorporated into the fabrics by a dipping-dry process, and finally the nano-particles were covered by a second organosilicon layer of 10-50 nm, which acts as a barrier layer. Different surface characterization techniques like SEM and XPS have been implemented to study the morphology and the chemical composition of the nano-silver fabrics. Based on these techniques, a uniform immobilization of AgNPs in the PET matrix has been observed. The antimicrobial activity of the treated fabrics has also been tested using P. aeruginosa, S. aureus and C. albicans. It reveals that the thickness of the barrier layer has a strong effect on the bacterial reduction of the fabrics. The durability and stability of the AgNPs on the fabrics has also been investigated in a washing process. By doing so, it is confirmed that the barrier layer can effectively prevent the release of AgNPs and that the thickness of the barrier layer is an important parameter to control the silver ions release. PMID:25951432
Method of fabricating reflection-mode EUV diffusers
Anderson, Erik; Naulleau, Patrick P.
2005-03-01
Techniques for fabricating well-controlled, random relief, engineered surfaces that serve as substrates for EUV optical devices are accomplished with grayscale exposure. The method of fabricating a multilevel EUV optical element includes: (a) providing a substrate; (b) depositing a layer of curable material on a surface of the substrate; (c) creating a relief profile in a layer of cured material from the layer of curable material wherein the relief profile comprises multiple levels of cured material that has a defined contour; and (d) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. The curable material can comprise photoresist or a low dielectric constant material.
NASA Technical Reports Server (NTRS)
Denis, Kevin L. (Inventor)
2018-01-01
Disclosed are systems, methods, and non-transitory computer-readable storage media for fabrication of silicon on insulator (SOI) wafers with a superconductive via for electrical connection to a groundplane. Fabrication of the SOI wafer with a superconductive via can involve depositing a superconducting groundplane onto a substrate with the superconducting groundplane having an oxidizing layer and a non-oxidizing layer. A layer of monocrystalline silicon can be bonded to the superconducting groundplane and a photoresist layer can be applied to the layer of monocrystalline silicon and the SOI wafer can be etched with the oxygen rich etching plasma, resulting in a monocrystalline silicon top layer with a via that exposes the superconducting groundplane. Then, the fabrication can involve depositing a superconducting surface layer to cover the via.
Method of fabricating boron containing coatings
Makowiecki, Daniel M.; Jankowski, Alan F.
1999-01-01
Hard coatings are fabricated from boron nitride, cubic boron nitride, and multilayer boron/cubic boron nitride, and the fabrication thereof involves magnetron sputtering in a selected atmosphere. These hard coatings may be applied to tools and engine and other parts, as well to reduce wear on tribological surfaces and electronic devices. These boron coatings contain no morphological growth features. For example, the boron is formed in an inert (e.g. argon) atmosphere, while the cubic boron nitride is formed in a reactive (e.g. nitrogen) atmosphere. The multilayer boron/cubic boron nitride, is produced by depositing alternate layers of boron and cubic boron nitride, with the alternate layers having a thickness of 1 nanometer to 1 micrometer, and at least the interfaces of the layers may be discrete or of a blended or graded composition.
Method for fabricating an ultra-low expansion mask blank having a crystalline silicon layer
Cardinale, Gregory F.
2002-01-01
A method for fabricating masks for extreme ultraviolet lithography (EUVL) using Ultra-Low Expansion (ULE) substrates and crystalline silicon. ULE substrates are required for the necessary thermal management in EUVL mask blanks, and defect detection and classification have been obtained using crystalline silicon substrate materials. Thus, this method provides the advantages for both the ULE substrate and the crystalline silicon in an Extreme Ultra-Violet (EUV) mask blank. The method is carried out by bonding a crystalline silicon wafer or member to a ULE wafer or substrate and thinning the silicon to produce a 5-10 .mu.m thick crystalline silicon layer on the surface of the ULE substrate. The thinning of the crystalline silicon may be carried out, for example, by chemical mechanical polishing and if necessary or desired, oxidizing the silicon followed by etching to the desired thickness of the silicon.
Fabrication of Hydrogenated Diamond Metal-Insulator-Semiconductor Field-Effect Transistors.
Liu, Jiangwei; Koide, Yasuo
2017-01-01
Diamond is regarded as a promising material for fabrication of high-power and high-frequency electronic devices due to its remarkable intrinsic properties, such as wide band gap energy, high carrier mobility, and high breakdown field. Meanwhile, since diamond has good biocompatibility, long-term durability, good chemical inertness, and a large electron-chemical potential window, it is a suitable candidate for the fabrication of biosensors. Here, we demonstrate the fabrication of hydrogenated diamond (H-diamond) based metal-insulator-semiconductor field-effect transistors (MISFETs). The fabrication is based on the combination of laser lithography, dry-etching, atomic layer deposition (ALD), sputtering deposition (SD), electrode evaporation, and lift-off techniques. The gate insulator is high-k HfO 2 with a SD/ALD bilayer structure. The thin ALD-HfO 2 film (4.0 nm) acts as a buffer layer to prevent the hydrogen surface of the H-diamond from plasma discharge damage during the SD-HfO 2 deposition. The growth of H-diamond epitaxial layer, fabrication of H-diamond MISFETs, and electrical property measurements for the MISFETs is demonstrated. This chapter explains the fabrication of H-diamond FET based biosensors.
Fabrications and Performance of Wireless LC Pressure Sensors through LTCC Technology.
Lin, Lin; Ma, Mingsheng; Zhang, Faqiang; Liu, Feng; Liu, Zhifu; Li, Yongxiang
2018-01-25
This paper presents a kind of passive wireless pressure sensor comprised of a planar spiral inductor and a cavity parallel plate capacitor fabricated through low-temperature co-fired ceramic (LTCC) technology. The LTCC material with a low Young's modulus of ~65 GPa prepared by our laboratory was used to obtain high sensitivity. A three-step lamination process was applied to construct a high quality cavity structure without using any sacrificial materials. The effects of the thickness of the sensing membranes on the sensitivity and detection range of the pressure sensors were investigated. The sensor with a 148 μm sensing membrane showed the highest sensitivity of 3.76 kHz/kPa, and the sensor with a 432 μm sensing membrane presented a high detection limit of 2660 kPa. The tunable sensitivity and detection limit of the wireless pressure sensors can meet the requirements of different scenes.
Layer-by-layer-assembled healable antifouling films.
Chen, Dongdong; Wu, Mingda; Li, Bochao; Ren, Kefeng; Cheng, Zhongkai; Ji, Jian; Li, Yang; Sun, Junqi
2015-10-21
Healable antifouling films are fabricated by the exponential layer-by-layer assembly of PEGylated branched poly(ethylenimine) and hyaluronic acid followed by post-crosslinking. The antifouling function originates from the grafted PEG and the extremely soft nature of the films. The rapid and multiple healing of damaged antifouling functions caused by cuts and scratches can be readily achieved by immersing the films in normal saline solution. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Epoxy bond and stop etch fabrication method
Simmons, Jerry A.; Weckwerth, Mark V.; Baca, Wes E.
2000-01-01
A class of epoxy bond and stop etch (EBASE) microelectronic fabrication techniques is disclosed. The essence of such techniques is to grow circuit components on top of a stop etch layer grown on a first substrate. The first substrate and a host substrate are then bonded together so that the circuit components are attached to the host substrate by the bonding agent. The first substrate is then removed, e.g., by a chemical or physical etching process to which the stop etch layer is resistant. EBASE fabrication methods allow access to regions of a device structure which are usually blocked by the presence of a substrate, and are of particular utility in the fabrication of ultrafast electronic and optoelectronic devices and circuits.
Fabrication of Organic Thin Film Transistors Using Layer-By-Layer Assembly (Preprint)
2007-03-01
thin-film transistors ( TFTs ) have received considerable attention as a low- cost, light-weight, flexible alternative to traditional amorphous silicon...Previous studies have investigated the use of a number of materials for both the active layer and the gate dielectric in various TFT architectures. These...performance. Conjugated small molecules, such as pentacene, or polymers, such as poly(3- hexylthiophene), are commonly used as the active layer in organic TFT
Method of fabrication of electrodes and electrolytes
Jankowski, Alan F.; Morse, Jeffrey D.
2004-01-06
Fuel cell stacks contain an electrolyte layer surrounded on top and bottom by an electrode layer. Porous electrodes are prepared which enable fuel and oxidant to easily flow to the respective electrode-electrolyte interface without the need for high temperatures or pressures to assist the flow. Rigid, inert microspheres in combination with thin-film metal deposition techniques are used to fabricate porous anodes, cathodes, and electrolytes. Microshperes contained in a liquid are randomly dispersed onto a host structure and dried such that the microsperes remain in position. A thin-film deposition technique is subsequently employed to deposit a metal layer onto the microsperes. After such metal layer deposition, the microspheres are removed leaving voids, i.e. pores, in the metal layer, thus forming a porous electrode. Successive repetitions of the fabrication process result in the formation of a continuous fuel cell stack. Such stacks may produce power outputs ranging from about 0.1 Watt to about 50 Watts.
NASA Astrophysics Data System (ADS)
Zare, Maryam; Shokrollahi, Abbas; Seraji, Faramarz E.
2011-09-01
Porous silicon (PS) layers were fabricated by anodization of low resistive (highly doped) p-type silicon in HF/ethanol solution, by varying current density, etching time and HF concentration. Atomic force microscopy (AFM) and field emission scanning electron microscope (FESEM) analyses were used to investigate the physical properties and reflection spectrum was used to investigate the optical behavior of PS layers in different fabrication conditions. Vertically aligned mesoporous morphology is observed in fabricated films and with HF concentration higher than 20%. The dependence of porosity, layer thickness and rms roughness of the PS layer on current density, etching time and composition of electrolyte is also observed in obtained results. Correlation between reflectivity and fabrication parameters was also explored. Thermal oxidation was performed on some mesoporous layers that resulted in changes of surface roughness, mean height and reflectivity of the layers.
Integration of textile fabric and coconut shell in particleboard
NASA Astrophysics Data System (ADS)
Misnon, M. I.; Bahari, S. A.; Islam, M. M.; Epaarachchi, J. A.
2013-08-01
In this study, cotton fabric and coconut shell were integrated in particleboard to reduce the use of wood. Particleboards containing mixed rubberwood and coconut shell with an equal weight ratio have been integrated with various layers of cotton fabric. These materials were bonded by urea formaldehyde with a content level of 12% by weight. Flexural and water absorption tests were conducted to analyze its mechanical properties and dimensional stability. Results of flexural test showed an increment at least double strength values in fabricated materials as compared to control sample. The existence of fabric in the particleboard system also improved the dimensional stability of the produced material. Enhancement of at least 39% of water absorption could help the dimensional stability of the produced material. Overall, these new particleboards showed better results with the incorporation of cotton fabric layers and this study provided better understanding on mechanical and physical properties of the fabricated particleboard.
Antibacterial property of fabrics coated by magnesium-based brucites
NASA Astrophysics Data System (ADS)
Wang, Ying; Sha, Lin; Zhao, Jiao; Li, Qian; Zhu, Yimin; Wang, Ninghui
2017-04-01
A kind of environmental-friendly magnesium-based antibacterial agent was reported for the first time, which was composited by brucites with different particle sizes. The antibacterial fabrics were produced by coating the magnesium-based antibacterial agents on the 260T polyester pongee fabrics with waterborne polyurethane. The coating process was simple, low-cost, and harmless to human health and environment. Characteristics of the antibacterial agents and fabrics were studied by particulate size distribution analyzer (PSDA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). The results demonstrated that the coating layer was covered tightly on the fabrics and compositing of different particles by a certain proportion made full filling of the coating layer. Meanwhile, compositing did not change the structure of brucites. The antibacterial fabrics presented strong antibacterial properties against Escherichia coli (E. coli) and Staphylococcus aureus (S. aureus), with the reduction percentage of 96.6% and 100%, respectively, and the antibacterial fabrics attained excellent washing durability.
Knight, Toyin; Basu, Joydeep; Rivera, Elias A; Spencer, Thomas; Jain, Deepak; Payne, Richard
2013-01-01
Various methods can be employed to fabricate scaffolds with characteristics that promote cell-to-material interaction. This report examines the use of a novel technique combining compression molding with particulate leaching to create a unique multi-layered scaffold with differential porosities and pore sizes that provides a high level of control to influence cell behavior. These cell behavioral responses were primarily characterized by bridging and penetration of two cell types (epithelial and smooth muscle cells) on the scaffold in vitro. Larger pore sizes corresponded to an increase in pore penetration, and a decrease in pore bridging. In addition, smaller cells (epithelial) penetrated further into the scaffold than larger cells (smooth muscle cells). In vivo evaluation of a multi-layered scaffold was well tolerated for 75 d in a rodent model. This data shows the ability of the components of multi-layered scaffolds to influence cell behavior, and demonstrates the potential for these scaffolds to promote desired tissue outcomes in vivo.
Intumescent all-polymer multilayer nanocoating capable of extinguishing flame on fabric
USDA-ARS?s Scientific Manuscript database
Cotton fabric was treated with flame-retardant coatings composed of poly (sodium phosphate), PSP, which acts as the acid source, and poly (allylamine), PAAm, which is used as the blowing agent, prepared via layer-by-layer (LbL) assembly. By applying these thin coating on fabric, after-glow is elimi...
Fabrication of thin layer beta alumina
NASA Technical Reports Server (NTRS)
Tennenhouse, G. J.
1977-01-01
Beta alumina tubes having walls 700 microns, 300 microns, and 140 microns were processed by extrusion and sintering utilizing Ford proprietary binder and fabrication systems. Tubes prepared by this method have properties similar to tubes prepared by isostatic pressing and sintering, i.e. density greater than 98% of theoretical and a helium leak rate less than 3 x 10 to the -9th power cc/sq cm/sec. Ford ultrasonic bonding techniques were used for bonding beta alumina end caps to open ended beta -alumina tubes prior to sintering. After sintering, the bond was hermetic, and the integrity of the bonded area was comparable to the body of the tube.
Laser polymerization-based novel lift-off technique
NASA Astrophysics Data System (ADS)
Bhuian, B.; Winfield, R. J.; Crean, G. M.
2009-03-01
The fabrication of microstructures by two-photon polymerization has been widely reported as a means of directly writing three-dimensional nanoscale structures. In the majority of cases a single point serial writing technique is used to form a polymer model. Single layer writing can also be used to fabricate two-dimensional patterns and we report an extension of this capability by using two-photon polymerization to form a template that can be used as a sacrificial layer for a novel lift-off process. A Ti:sapphire laser, with wavelength 795 nm, 80 MHz repetition rate, 100 fs pulse duration and an average power of 700 mW, was used to write 2D grid patterns with pitches of 0.8 and 1.0 μm in a urethane acrylate resin that was spun on to a lift-off base layer. This was overcoated with gold and the grid lifted away to leave an array of gold islands. The optical transmission properties of the gold arrays were measured and found to be in agreement with a rigorous coupled-wave analysis simulation.
NASA Astrophysics Data System (ADS)
Schurink, B.; Tiggelaar, R. M.; Gardeniers, J. G. E.; Luttge, R.
2017-01-01
Here the fabrication and characterization of a novel microelectrode array for electrophysiology applications is described, termed a micro sieve electrode array (µSEA). This silicon based µSEA device allows for hydrodynamic parallel positioning of single cells on 3D electrodes realized on the walls of inverted pyramidal shaped pores. To realize the µSEA, a previously realized silicon sieving structure is provided with a patterned boron doped poly-silicon, connecting the contact electrodes with the 3D sensing electrodes in the pores. A LPCVD silicon-rich silicon nitride layer was used as insulation. The selective opening of this insulation layer at the ends of the wiring lines allows to generate well-defined contact and sensing electrodes according to the layout used in commercial microelectrode array readers. The main challenge lays in the simultaneously selective etching of material at both the planar surface (contact electrode) as well as in the sieving structure containing the (3D) pores (sensing electrodes). For the generation of 3D electrodes in the pores a self-aligning technique was developed using the pore geometry to our advantage. This technique, based on sacrificial layer etching, allows for the fine tuning of the sensing electrode surface area and thus supports the positioning and coupling of single cells on the electrode surface in relation to the cell size. Furthermore, a self-aligning silicide is formed on the sensing electrodes to favour the electrical properties. Experiments were performed to demonstrate the working principle of the µSEA using different types of neuronal cells. Capture efficiency in the pores was >70% with a 70% survival rate of the cell maintained for up to 14 DIV. The TiSi2-boron-doped-poly-silicon sensing electrodes of the µSEA were characterized, which indicated noise levels of <15 µV and impedance values of 360 kΩ. These findings potentially allow for future electrophysiological measurements using the µSEA.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ren, Zhifeng; Sun, Tianyi; Guo, Chuanfei
2018-02-20
A transparent flexible nanomesh having at least one conductive element and sheet resistance less than 300.OMEGA./.quadrature. when stretched to a strain of 200% in at least one direction. The nanomesh is formed by depositing a sacrificial film, depositing, etching, and oxidizing a first metal layer on the film, etching the sacrificial film, depositing a second metal layer, and removing the first metal layer to form a nanomesh on the substrate.
Multijunction photovoltaic device and fabrication method
Arya, Rajeewa R.; Catalano, Anthony W.
1993-09-21
A multijunction photovoltaic device includes first and second amorphous silicon PIN photovoltaic cells in a stacked arrangement. An interface layer, composed of a doped silicon compound, is disposed between the two cells and has a lower bandgap than the respective n- and p-type adjacent layers of the first and second cells. The interface layer forms an ohmic contact with the one or the adjacent cell layers of the same conductivity type, and a tunnel junction with the other of the adjacent cell layers. The disclosed device is fabricated by a glow discharge process.
Method to fabricate silicon chromatographic column comprising fluid ports
Manginell, Ronald P.; Frye-Mason, Gregory C.; Heller, Edwin J.; Adkins, Douglas R.
2004-03-02
A new method for fabricating a silicon chromatographic column comprising through-substrate fluid ports has been developed. This new method enables the fabrication of multi-layer interconnected stacks of silicon chromatographic columns.
Fabrication of optical filters using multilayered porous silicon
NASA Astrophysics Data System (ADS)
Gaber, Noha; Khalil, Diaa; Shaarawi, Amr
2011-02-01
In this work we describe a method for fabricating optical filters using multilayered porous silicon 1D photonic structure. An electrochemical cell is constructed to control the porosity of variable layers in p-type Si wafers. Porous silicon multilayered structures are formed of λ/4 (or multiples) thin films that construct optical interference filters. By changing the anodizing current density of the cell during fabrication, different porosities can be obtained as the optical refractive index is a direct function of the layer porosity. To determine the morphology, the wavelength dependent refractive index n and absorption coefficient α, first, porous silicon free standing mono-layers have been fabricated at different conditions and characterized in the near infrared region (from 1000 to 2500nm). Large difference in refractive index (between 1.6 and 2.6) is obtained. Subsequently, multilayer structures have been fabricated and tested. Their spectral response has been measured and it shows good agreement with numerical simulations. A technique based on inserting etching breaks is adopted to ensure the depth homogeneity. The effect of differing etching/break times on the reproducibility of the filters is studied.
Method of fabricating boron containing coatings
Makowiecki, D.M.; Jankowski, A.F.
1999-04-27
Hard coatings are fabricated from boron nitride, cubic boron nitride, and multilayer boron/cubic boron nitride, and the fabrication thereof involves magnetron sputtering in a selected atmosphere. These hard coatings may be applied to tools and engine and other parts, as well to reduce wear on tribological surfaces and electronic devices. These boron coatings contain no morphological growth features. For example, the boron is formed in an inert (e.g. argon) atmosphere, while the cubic boron nitride is formed in a reactive (e.g. nitrogen) atmosphere. The multilayer boron/cubic boron nitride, is produced by depositing alternate layers of boron and cubic boron nitride, with the alternate layers having a thickness of 1 nanometer to 1 micrometer, and at least the interfaces of the layers may be discrete or of a blended or graded composition. 3 figs.
Design, fabrication and characterization of LTCC-based electromagnetic microgenerators
NASA Astrophysics Data System (ADS)
Gierczak, M.; Markowski, P.; Dziedzic, A.
2016-02-01
Design, manufacturing process and properties of electromagnetic microgenerators fabricated in LTCC (Low Temperature Co-fired Ceramics) technology are presented in this paper. Electromagnetic microgenerators consist of planar coils spatially arranged on several layers of LTCC and of a multipole permanent magnet. Two different patterns of coils with 2-, 8-,10- and 12-layers and outer diameter of 50 mm were designed and fabricated. Silver-based pastes ESL 903-A or DuPont 6145 were used. In order to estimate the inductance of a single spatial coil the Greenhouse (self-inductance) and Hoer (mutual inductance) calculation methods were used. To verify the calculation results a single-layer coil was fabricated for each pattern and its inductance was measured using the precision RLC Meter. Fabricated LTCC microgenerators with embedded coils allow to generate voltage higher than ten volts and the electrical output power of approximately 600 mW at the rotor rotation speed of 12 thousands rpm. The self-made system was used for characterization of LTCC-based electromagnetic microgenerators.
Photoinitiator-free 3D scaffolds fabricated by excimer laser photocuring.
Farkas, Balázs; Dante, Silvia; Brandi, Fernando
2017-01-20
Photoinitiator-free fabrication of poly(ethylene glycol) diacrylate (PEGDA) scaffolds is achieved using a novel three-dimensional (3D) printing method called mask projected excimer laser stereolithography (MPExSL). The spatial resolution of photoinitiator-free curing is suitable for 3D layer-by-layer fabrication with a single layer thickness well controllable at tens to hundreds of microns using 248 nm wavelength for the irradiation. The photoinitiator-free scaffolds are superior compared to their counterparts fabricated by using photoinitiator molecules, showing a higher level of biocompatibility. A release of toxic chemicals from the photoinitiator containing scaffolds is proven by cell proliferation tests. In contrast, no toxic release is found from the photoinitiator-free scaffolds, resulting in the very same level of cell proliferation as the control sample. The demonstration of photoinitiator-free PEGDA scaffolds enables the fabrication of 3D scaffolds with the highest level of biocompatibility for both in vitro and in vivo applications.
Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae
2017-11-24
We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.
Encapsulation of high temperature molten salts
Oxley, James D.; Mathur, Anoop Kumar
2017-05-16
The present disclosure relates to a method of encapsulating microcapsules containing relatively high temperature phase change materials and the microcapsules so produced. The microcapsules are coated with an inorganic binder, film former and an inorganic filler. The microcapsules may include a sacrificial layer that is disposed between the particle and the coating. The microcapsules may also include an inner coating layer, sacrificial layer and outer coating layer. The microcapsules are particularly useful for thermal energy storage in connection with, e.g., heat collected from concentrating solar collectors.
Layered chalcogenide glass structures for IR lenses
NASA Astrophysics Data System (ADS)
Gibson, Daniel; Bayya, Shyam; Sanghera, Jas; Nguyen, Vinh; Scribner, Dean; Maksimovic, Velimir; Gill, John; Yi, Allen; Deegan, John; Unger, Blair
2014-07-01
A technique for fabricating novel infrared (IR) lenses can enable a reduction in the size and weight of IR imaging optics through the use of layered glass structures. These structures can range from having a few thick glass layers, mimicking cemented doublets and triplets, to having many thin glass layers approximating graded index (GRIN) lenses. The effectiveness of these structures relies on having materials with diversity in refractive index (large Δn) and dispersion and similar thermo-viscous behavior (common glass transition temperature, ΔTg = 10°C). A library of 13 chalcogenide glasses with broad IR transmission (NIR through LWIR bands) was developed to satisfy these criteria. The lens fabrication methodology, including glass design and synthesis, sheet fabrication, preform making, lens molding and surface finishing are presented.
Black synthetic quartz glass layer for optical fiber cross-talk reduction fabricated by VAD method
NASA Astrophysics Data System (ADS)
Kobayashi, Soichi; Fukuda, Kaoru; Onishi, Gen; Fujii, Yusuke
2016-09-01
In this report the new black-glass fiber-preform fabricated by the vapor-phase axial deposition (VAD) method to realize high-resolution optical bundle fibers is discussed with the Energy Dispersive X-ray (EDX) analysis and the transmittance spectrum measurement. The black glass consists of SiO2, GeO2, Bi2O3 and Al2O3. Firstly, the rod-shaped soot of SiO2 and GeO2 is prepared by blowing SiCl4 and GeCl4 into the oxyhydrogen burner. Then the soot is dipped into the solution of the Bi and Al compounds. After drying the soot with Bi and Al penetrated, the soot is consolidated into the glass preform by heating with the carbon heater at 1650 degrees Celsius. The diameter of the obtained preform is 10.5 mm and the black glass layer thickness is 2.6 mm located at the periphery. The Bi concentration distribution shows the content of several wt% in the black glass layer. The black glass preform is drawn into the black optical fiber being expected to make a clear image because of no light leaking from the neighboring optical fibers as compared to the conventional fiber endoscope.
Li, Zhengping; Han, Fangchun; Li, Cheng; Jiao, Xiuling; Chen, Dairong
2018-05-04
Electrochemically active hollow nanostructured materials hold great promise in diverse energy conversion and storage applications, however, intricate synthesis steps and poor control over compositions and morphologies have limited the realization of delicate hollow structures with advanced functional properties. In this study, we demonstrate a one-step wet-chemical strategy for co-engineering the hollow nanostructure and anion intercalation of nickel cobalt layered double hydroxide (NiCo-LDH) to attain highly electrochemical active energy conversion and storage functionalities. Self-templated pseudomorphic transformation of cobalt acetate hydroxide solid nanoprisms using nickel nitrate leads to the construction of well-defined NiCo-LDH hollow nanoprisms (HNPs) with multi-anion intercalation. The unique hierarchical nanosheet-assembled hollow structure and efficiently expanded interlayer spacing offer an increased surface area and exposure of active sites, reduced mass and charge transfer resistance, and enhanced stability of the materials. This leads to a significant improvement in the pseudocapacitive and electrocatalytic properties of NiCo-LDH HNP with respect to specific capacitance, rate and cycling performance, and OER overpotential, outperforming most of the recently reported NiCo-based materials. This work establishes the potential of manipulating sacrificial template transformation for the design and fabrication of novel classes of functional materials with well-defined nanostructures for electrochemical applications and beyond. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
Single-crystal micromachining using multiple fusion-bonded layers
NASA Astrophysics Data System (ADS)
Brown, Alan; O'Neill, Garry; Blackstone, Scott C.
2000-08-01
Multi-layer structures have been fabricated using Fusion bonding. The paper shows void free layers of between 2 and 100 microns that have been bonded to form multi-layer structures. Silicon layers have been bonded both with and without interfacial oxide layers.
NASA Technical Reports Server (NTRS)
Hofmann, Douglas (Inventor)
2017-01-01
Systems and methods in accordance with embodiments of the invention fabricate objects including amorphous metals using techniques akin to additive manufacturing. In one embodiment, a method of fabricating an object that includes an amorphous metal includes: applying a first layer of molten metallic alloy to a surface; cooling the first layer of molten metallic alloy such that it solidifies and thereby forms a first layer including amorphous metal; subsequently applying at least one layer of molten metallic alloy onto a layer including amorphous metal; cooling each subsequently applied layer of molten metallic alloy such that it solidifies and thereby forms a layer including amorphous metal prior to the application of any adjacent layer of molten metallic alloy; where the aggregate of the solidified layers including amorphous metal forms a desired shape in the object to be fabricated; and removing at least the first layer including amorphous metal from the surface.
Method of freeform fabrication by selective gelation of powder suspensions
Baskaran, S.; Graff, G.L.
1997-12-09
The present invention is a novel method for freeform fabrication. Specifically, the method of solid freeform fabrication has the steps of: (a) preparing a slurry by mixing powder particles with a suspension medium and a gelling polysaccharide; (b) making a layer by depositing an amount of said powder slurry in a confined region; (c) hardening a selected portion of the layer by applying a gelling agent to the selected portion; and (d) repeating steps (b) and (c) to make successive layers and forming a layered object. In many applications, it is desirable to remove unhardened material followed by heating to remove gellable polysaccharide then sintering. 2 figs.
Method of freeform fabrication by selective gelation of powder suspensions
Baskaran, Suresh; Graff, Gordon L.
1997-01-01
The present invention is a novel method for freeform fabrication. Specifically, the method of solid freeform fabrication has the steps of: (a) preparing a slurry by mixing powder particles with a suspension medium and a gelling polysaccharide; (b) making a layer by depositing an amount of said powder slurry in a confined region; (c) hardening a selected portion of the layer by applying a gelling agent to the selected portion; and (d) repeating steps (b) and (c) to make successive layers and forming a layered object. In many applications, it is desirable to remove unhardened material followed by heating to remove gellable polysaccharide then sintering.
Fabrication and characterization of iron oxide dextran composite layers
NASA Astrophysics Data System (ADS)
Iconaru, S. L.; Predoi, S. A.; Beuran, M.; Ciobanu, C. S.; Trusca, R.; Ghita, R.; Negoi, I.; Teleanu, G.; Turculet, S. C.; Matei, M.; Badea, Monica; Prodan, A. M.
2018-02-01
Super paramagnetic iron oxide nanoparticles such as maghemite have been shown to exhibit antimicrobial properties [1-5]. Moreover, the iron oxide nanoparticles have been proposed as a potential magnetically controllable antimicrobial agent which could be directed to a specific infection [3-5]. The present research has focused on studies of the surface and structure of iron oxide dextran (D-IO) composite layers surface and structure. These composite layers were deposited on Si substrates. The structure of iron oxide dextran composite layers was investigated by X-Ray Diffraction (XRD) and Fourier Transform Infrared Spectroscopy (FTIR) while the surface morphology was evaluated by Scanning Electron Microscopy (SEM). The structural characterizations of the iron oxide dextran composite layers revealed the basic constituents of both iron and dextran structure. Furthermore, the in vitro evaluation of the antifungal effect of the complex layers, which have been shown revealed to be active against C. albicans cells at distinct intervals of time, is exhibited. Our research came to confirm the fungicidal effect of iron oxide dextran composite layers. Also, our results suggest that iron oxide dextran surface may be used for medical treatment of biofilm associated Candida infections.
Ahn, Joo-Seob; Kwon, Ji-Hye; Yang, Heesun
2013-06-01
ZnO film was grown on ZnO quantum dot seed layer-coated substrate by a low-temperature chemical bath deposition, where sodium citrate serves as a complexing agent for Zn2+ ion. The ZnO film deposited under the optimal condition exhibited a highly uniform surface morphology with a thickness of approimately 30 nm. For the fabrication of thin-film-transistor with a bottom-gate structure, ZnO film was chemically deposited on the transparent substrate of a seed layer-coated SiN(x)/ITO (indium tin oxide)/glass. As-deposited ZnO channel was baked at low temperatures of 60-200 degrees C to investigate the effect of baking temperature on electrical performances. Compared to the device with 60 degrees C-baked ZnO channel, the TFT performances of one with 200 degrees C-baked channel were substantially improved, exhibiting an on-off current ratio of 3.6 x 10(6) and a saturated field-effect mobility of 0.27 cm2/V x s.
NASA Astrophysics Data System (ADS)
Ji, Chang-Yan; Gu, Zheng-Tian; Kou, Zhi-Qi
2016-10-01
The electrical and optical properties of the blue phosphorescent organic light-emitting diodes (PHOLEDs) can be affected by the various structure of confinement layer in the emitting layer (EML). A series of devices with different electron or hole confinement layer (TCTA or Bphen) are fabricated, it is more effective to balance charge carriers injection for the device with the double electron confinement layers structure, the power efficiency and luminance can reach 17.7 lm/W (at 103 cd/m2) and 3536 cd/m2 (at 8 V). In case of the same double electron confinement layers, another series of devices with different profile of EML are fabricated by changing the confinement layers position, the power efficiency and luminance can be improved to 21.7 lm/W (at 103 cd/m2) and 7674 cd/m2 (at 8 V) when the thickness of EML separated by confinement layers increases gradually from the hole injection side to the electron injection side, the driving voltage can also be reduced.
Periodic oxidation for fabricating titanium oxynitride thin films via atomic layer deposition
DOE Office of Scientific and Technical Information (OSTI.GOV)
Iwashita, Shinya, E-mail: shinya.iwashita@tel.com; Aoyama, Shintaro; Nasu, Masayuki
2016-01-15
This paper demonstrates thermal atomic layer deposition (ALD) combined with periodic oxidation for synthesizing titanium oxynitride (TiON) thin films. The process used a typical ALD reactor for the synthesis of titanium nitride (TiN) films wherein oxygen was supplied periodically between the ALD-TiN cycles. The great advantage of the process proposed here was that it allowed the TiN films to be oxidized efficiently. Also, a uniform depth profile of the oxygen concentration in the films could be obtained by tuning the oxidation conditions, allowing the process to produce a wide variety of TiON films. The resistivity measurement is a convenient methodmore » to confirm the reproducibility of metal film fabrication but may not be applicable for TiON films depending upon the oxidation condition because the films can easily turn into insulators when subjected to periodic oxidation. Therefore, an alternative reproducibility confirmation method was required. In this study, spectroscopic ellipsometry was applied to monitor the variation of TiON films and was able to detect changes in film structures such as conductor–insulator transitions in the TiON films.« less
Use of chemical-mechanical polishing for fabricating photonic bandgap structures
Fleming, James G.; Lin, Shawn-Yu; Hetherington, Dale L.; Smith, Bradley K.
1999-01-01
A method is disclosed for fabricating a two- or three-dimensional photonic bandgap structure (also termed a photonic crystal, photonic lattice, or photonic dielectric structure). The method uses microelectronic integrated circuit (IC) processes to fabricate the photonic bandgap structure directly upon a silicon substrate. One or more layers of arrayed elements used to form the structure are deposited and patterned, with chemical-mechanical polishing being used to planarize each layer for uniformity and a precise vertical tolerancing of the layer. The use of chemical-mechanical planarization allows the photonic bandgap structure to be formed over a large area with a layer uniformity of about two-percent. Air-gap photonic bandgap structures can also be formed by removing a spacer material separating the arrayed elements by selective etching. The method is useful for fabricating photonic bandgap structures including Fabry-Perot resonators and optical filters for use at wavelengths in the range of about 0.2-20 .mu.m.
Fang, Yunnan; Hester, Jimmy G. D.; Su, Wenjing; Chow, Justin H.; Sitaraman, Suresh K.; Tentzeris, Manos M.
2016-01-01
A bio-enabled, environmentally-friendly, and maximally mild layer-by-layer approach has been developed to surface modify inherently hydrophobic Kapton HN substrates to allow for great printability of both water- and organic solvent-based inks thus facilitating the full-inkjet-printing of flexible electronic devices. Different from the traditional Kapton surface modification approaches which are structure-compromising and use harsh conditions to target, and oxidize and/or remove part of, the surface polyimide of Kapton, the present Kapton surface modification approach targeted the surface electric charges borne by its additive particles, and was not only the first to utilize environmentally-friendly clinical biomolecules to build up a thin film of protamine-heparin complex on Kapton, but also the first to be conducted under minimally destructive and maximally mild conditions. Besides, for electrically charged ink particles, the present surface modification method can enhance the uniformity of the inkjet-printed films by reducing the “coffee ring effect”. As a proof-of-concept demonstration, reduced graphene oxide-based gas sensors, which were flexible, ultra-lightweight, and miniature-sized, were fully-inkjet-printed on surface modified Kapton HN films and tested for their sensitivity to dimethyl methylphosphonate (a nerve agent simulant). Such fabricated sensors survived a Scotch-tape peel test and were found insensitive to repeated bending to a small 0.5 cm radius. PMID:28008987
NASA Astrophysics Data System (ADS)
Fang, Yunnan; Hester, Jimmy G. D.; Su, Wenjing; Chow, Justin H.; Sitaraman, Suresh K.; Tentzeris, Manos M.
2016-12-01
A bio-enabled, environmentally-friendly, and maximally mild layer-by-layer approach has been developed to surface modify inherently hydrophobic Kapton HN substrates to allow for great printability of both water- and organic solvent-based inks thus facilitating the full-inkjet-printing of flexible electronic devices. Different from the traditional Kapton surface modification approaches which are structure-compromising and use harsh conditions to target, and oxidize and/or remove part of, the surface polyimide of Kapton, the present Kapton surface modification approach targeted the surface electric charges borne by its additive particles, and was not only the first to utilize environmentally-friendly clinical biomolecules to build up a thin film of protamine-heparin complex on Kapton, but also the first to be conducted under minimally destructive and maximally mild conditions. Besides, for electrically charged ink particles, the present surface modification method can enhance the uniformity of the inkjet-printed films by reducing the “coffee ring effect”. As a proof-of-concept demonstration, reduced graphene oxide-based gas sensors, which were flexible, ultra-lightweight, and miniature-sized, were fully-inkjet-printed on surface modified Kapton HN films and tested for their sensitivity to dimethyl methylphosphonate (a nerve agent simulant). Such fabricated sensors survived a Scotch-tape peel test and were found insensitive to repeated bending to a small 0.5 cm radius.
Design and fabrication of one-dimensional and two- dimensional photonic bandgap devices
NASA Astrophysics Data System (ADS)
Lim, Kuo-Yi
1999-10-01
One-dimensional and two-dimensional photonic bandgap devices have been designed and fabricated using III-V compound semiconductors. The one-dimensional photonic bandgap devices consist of monorail and air-bridge waveguide microcavities, while the two-dimensional photonic bandgap devices consist of light-emitting devices with enhanced extraction efficiency. Fabrication techniques such as gas source molecular beam epitaxy, direct-write electron-beam lithography, reactive ion etching and thermal oxidation of AlxGa1- xAs have been employed. The III-V thermal oxide, in particular, is used as an index confinement material, as a sacrificial material for micromechanical fabrication of the air-bridge microcavity, and in the realization of a wide-bandwidth distributed Bragg reflector. The one-dimensional photonic bandgap waveguide microcavities have been designed to operate in the wavelength regimes of 4.5 m m and 1.55 m m. The devices designed to operate in the 1.55 m m wavelength regime have been optically characterized. The transmission spectra exhibit resonances at around 1.55 m m and cavity quality factors (Q's) ranging from 136 to 334. The resonant modal volume is calculated to be about 0.056 m m3. Tunability in the resonance wavelengths has also been demonstrated by changing the size of the defect in the one-dimensional photonic crystal. The two-dimensional photonic bandgap light-emitting device consists of a In0.51Ga0.49P/In0.2Ga0.8As/In 0.51Ga0.49P quantum well emitting at 980nm with a triangular photonic lattice of holes in the top cladding layer of the quantum well. The photonic crystal prohibits the propagation of guided modes in the semiconductor, thus enhancing the extraction of light vertical to the light-emitting device. A wide-bandwidth GaAs/AlxOy distributed Bragg reflector mirror under the quantum well structure further enhances the extraction of light from the devices. The extraction efficiency of the two-dimensional photonic bandgap light-emitting device
Phosphorene: Fabrication, properties, and applications
Kou, Liangzhi; Chen, Changfeng; Smith, Sean C.
2015-06-24
Phosphorene, the single- or few-layer form of black phosphorus, was recently rediscovered as a two-dimensional layered material holding great promise for applications in electronics and optoelectronics. Research into its fundamental properties and device applications has since seen exponential growth. In this Perspective, we review recent progress in phosphorene research, touching upon topics on fabrication, properties, and applications; we also discuss challenges and future research directions. We highlight the intrinsically anisotropic electronic, transport, optoelectronic, thermoelectric, and mechanical properties of phosphorene resulting from its puckered structure in contrast to those of graphene and transition-metal dichalcogenides. The facile fabrication and novel properties ofmore » phosphorene have inspired design and demonstration of new nanodevices; however, further progress hinges on resolutions to technical obstructions like surface degradation effects and nonscalable fabrication techniques. We also briefly describe the latest developments of more sophisticated design concepts and implementation schemes that address some of the challenges in phosphorene research. As a result, it is expected that this fascinating material will continue to offer tremendous opportunities for research and development for the foreseeable future.« less
Film Fabrication Technologies at NREL
NASA Technical Reports Server (NTRS)
Mcconnell, Robert D.
1993-01-01
The National Renewable Energy Laboratory (NREL) has extensive capabilities for fabricating a variety of high-technology films. Much of the in-house work in NREL's large photovoltaics (PV) program involves the fabrication of multiple thin-film semiconducting layers constituting a thin-film PV device. NREL's smaller program in superconductivity focuses on the fabrication of superconducting films on long, flexible tape substrates. This paper focuses on four of NREL's in-house research groups and their film fabrication techniques, developed for a variety of elements, alloys, and compounds to be deposited on a variety of substrates. As is the case for many national laboratories, NREL's technology transfer efforts are focusing on Cooperative Research and Development Agreements (CRADA's) between NREL researchers and private industry researchers.
Torres-Rendon, Jose Guillermo; Femmer, Tim; De Laporte, Laura; Tigges, Thomas; Rahimi, Khosrow; Gremse, Felix; Zafarnia, Sara; Lederle, Wiltrud; Ifuku, Shinsuke; Wessling, Matthias; Hardy, John G; Walther, Andreas
2015-05-20
A sacrificial templating process using lithographically printed minimal surface structures allows complex de novo geo-metries of delicate hydrogel materials. The hydrogel scaffolds based on cellulose and chitin nanofibrils show differences in terms of attachment of human mesenchymal stem cells, and allow their differentiation into osteogenic outcomes. The approach here serves as a first example toward designer hydrogel scaffolds viable for biomimetic tissue engineering. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Methods for batch fabrication of cold cathode vacuum switch tubes
Walker, Charles A [Albuquerque, NM; Trowbridge, Frank R [Albuquerque, NM
2011-05-10
Methods are disclosed for batch fabrication of vacuum switch tubes that reduce manufacturing costs and improve tube to tube uniformity. The disclosed methods comprise creating a stacked assembly of layers containing a plurality of adjacently spaced switch tube sub-assemblies aligned and registered through common layers. The layers include trigger electrode layer, cathode layer including a metallic support/contact with graphite cathode inserts, trigger probe sub-assembly layer, ceramic (e.g. tube body) insulator layer, and metallic anode sub-assembly layer. Braze alloy layers are incorporated into the stacked assembly of layers, and can include active metal braze alloys or direct braze alloys, to eliminate costs associated with traditional metallization of the ceramic insulator layers. The entire stacked assembly is then heated to braze/join/bond the stack-up into a cohesive body, after which individual switch tubes are singulated by methods such as sawing. The inventive methods provide for simultaneously fabricating a plurality of devices as opposed to traditional methods that rely on skilled craftsman to essentially hand build individual devices.
Layer-by-layer assembly of two-dimensional materials into wafer-scale heterostructures
NASA Astrophysics Data System (ADS)
Kang, Kibum; Lee, Kan-Heng; Han, Yimo; Gao, Hui; Xie, Saien; Muller, David A.; Park, Jiwoong
2017-10-01
High-performance semiconductor films with vertical compositions that are designed to atomic-scale precision provide the foundation for modern integrated circuitry and novel materials discovery. One approach to realizing such films is sequential layer-by-layer assembly, whereby atomically thin two-dimensional building blocks are vertically stacked, and held together by van der Waals interactions. With this approach, graphene and transition-metal dichalcogenides--which represent one- and three-atom-thick two-dimensional building blocks, respectively--have been used to realize previously inaccessible heterostructures with interesting physical properties. However, no large-scale assembly method exists at present that maintains the intrinsic properties of these two-dimensional building blocks while producing pristine interlayer interfaces, thus limiting the layer-by-layer assembly method to small-scale proof-of-concept demonstrations. Here we report the generation of wafer-scale semiconductor films with a very high level of spatial uniformity and pristine interfaces. The vertical composition and properties of these films are designed at the atomic scale using layer-by-layer assembly of two-dimensional building blocks under vacuum. We fabricate several large-scale, high-quality heterostructure films and devices, including superlattice films with vertical compositions designed layer-by-layer, batch-fabricated tunnel device arrays with resistances that can be tuned over four orders of magnitude, band-engineered heterostructure tunnel diodes, and millimetre-scale ultrathin membranes and windows. The stacked films are detachable, suspendable and compatible with water or plastic surfaces, which will enable their integration with advanced optical and mechanical systems.
Layer-by-layer assembly of two-dimensional materials into wafer-scale heterostructures.
Kang, Kibum; Lee, Kan-Heng; Han, Yimo; Gao, Hui; Xie, Saien; Muller, David A; Park, Jiwoong
2017-10-12
High-performance semiconductor films with vertical compositions that are designed to atomic-scale precision provide the foundation for modern integrated circuitry and novel materials discovery. One approach to realizing such films is sequential layer-by-layer assembly, whereby atomically thin two-dimensional building blocks are vertically stacked, and held together by van der Waals interactions. With this approach, graphene and transition-metal dichalcogenides-which represent one- and three-atom-thick two-dimensional building blocks, respectively-have been used to realize previously inaccessible heterostructures with interesting physical properties. However, no large-scale assembly method exists at present that maintains the intrinsic properties of these two-dimensional building blocks while producing pristine interlayer interfaces, thus limiting the layer-by-layer assembly method to small-scale proof-of-concept demonstrations. Here we report the generation of wafer-scale semiconductor films with a very high level of spatial uniformity and pristine interfaces. The vertical composition and properties of these films are designed at the atomic scale using layer-by-layer assembly of two-dimensional building blocks under vacuum. We fabricate several large-scale, high-quality heterostructure films and devices, including superlattice films with vertical compositions designed layer-by-layer, batch-fabricated tunnel device arrays with resistances that can be tuned over four orders of magnitude, band-engineered heterostructure tunnel diodes, and millimetre-scale ultrathin membranes and windows. The stacked films are detachable, suspendable and compatible with water or plastic surfaces, which will enable their integration with advanced optical and mechanical systems.
Bi-layer Channel AZO/ZnO Thin Film Transistors Fabricated by Atomic Layer Deposition Technique
NASA Astrophysics Data System (ADS)
Li, Huijin; Han, Dedong; Liu, Liqiao; Dong, Junchen; Cui, Guodong; Zhang, Shengdong; Zhang, Xing; Wang, Yi
2017-03-01
This letter demonstrates bi-layer channel Al-doped ZnO/ZnO thin film transistors (AZO/ZnO TFTs) via atomic layer deposition process at a relatively low temperature. The effects of annealing in oxygen atmosphere at different temperatures have also been investigated. The ALD bi-layer channel AZO/ZnO TFTs annealed in dry O2 at 300 °C exhibit a low leakage current of 2.5 × 10-13A, I on/ I off ratio of 1.4 × 107, subthreshold swing (SS) of 0.23 V/decade, and high transmittance. The enhanced performance obtained from the bi-layer channel AZO/ZnO TFT devices is explained by the inserted AZO front channel layer playing the role of the mobility booster.
Fabrication of overlaid nanopattern arrays for plasmon memory
NASA Astrophysics Data System (ADS)
Okabe, Takao; Wadayama, Hisahiro; Taniguchi, Jun
2018-01-01
Stacking technique of nanopattern array is gathering attention to fabricate next generation data storage such as plasmon memory. This technique provides multi- overlaid nanopatterns which made by nanoimprint lithography. In the structure, several metal nanopatterned layer and resin layer as a spacer are overlaid alternately. The horizontal position of nanopatterns to under nanopatterns and thickness of resin layer as spacer should be controlled accurately, because these parameters affect reading performance and capacity of plasmon memory. In this study, we developed new alignment mark to fabricate multi- overlaid nanopatterns. The alignment accuracy with the order of 300 nm was demonstrated for Ag nanopatterns in 2 layers. The alignment mark can measure the thickness of spacer. The relationship of spacer thickness and position of scale bar on the alignment mark was measured. The usefulness of the alignment mark for highdensity plasmon memory is shown.
Wang, Zheng; Sun, Yan; Wang, Dongzhou; Liu, Hong; Boughton, Robert I
2013-01-01
A silver nanoparticle (AgNP)-filled hydrogen titanate nanotube layer was synthesized in situ on a metallic titanium substrate. In the synthesis approach, a layer of sodium titanate nanotubes is first prepared on the titanium surface by using a hydrothermal method. Silver nitrate solution is absorbed into the nanotube channels by immersing a dried nanotube layer in silver nitrate solution. Finally, silver ions are reduced by glucose, leading to the in situ growth of AgNPs in the hydrogen titanate nanotube channels. Long-term silver release and bactericidal experiments demonstrated that the effective silver release and effective antibacterial period of the titanium foil with a AgNP-filled hydrogen titanate nanotube layer on the surface can extend to more than 15 days. This steady and prolonged release characteristic is helpful to promote a long-lasting antibacterial capability for the prevention of severe infection after surgery. A series of antimicrobial and biocompatible tests have shown that the sandwich nanostructure with a low level of silver loading exhibits a bacteriostatic rate as high as 99.99%, while retaining low toxicity for cells and possessing high osteogenic potential. Titanium foil with a AgNP-filled hydrogen titanate nanotube layer on the surface that is fabricated with low-cost surface modification methods is a promising implantable material that will find applications in artificial bones, joints, and dental implants. PMID:23966780
Chen, Hong-Yan; Lu, Hong-Liang; Sun, Long; Ren, Qing-Hua; Zhang, Hao; Ji, Xin-Ming; Liu, Wen-Jun; Ding, Shi-Jin; Yang, Xiao-Feng; Zhang, David Wei
2016-01-01
Nowadays, the multi-crystalline silicon (mc-Si) solar cells dominate the photovoltaic industry. However, the current acid etching method on mc-Si surface used by firms can hardly suppress the average reflectance value below 25% in the visible light spectrum. Meanwhile, the nitric acid and the hydrofluoric contained in the etching solution is both environmental unfriendly and highly toxic to human. Here, a mc-Si solar cell based on ZnO nanostructures and an Al2O3 spacer layer is demonstrated. The eco-friendly fabrication is realized by low temperature atomic layer deposition of Al2O3 layer as well as ZnO seed layer. Moreover, the ZnO nanostructures are prepared by nontoxic and low cost hydro-thermal growth process. Results show that the best passivation quality of the n+ -type mc-Si surface can be achieved by balancing the Si dangling bond saturation level and the negative charge concentration in the Al2O3 film. Moreover, the average reflectance on cell surface can be suppressed to 8.2% in 400–900 nm range by controlling the thickness of ZnO seed layer. With these two combined refinements, a maximum solar cell efficiency of 15.8% is obtained eventually. This work offer a facile way to realize the environmental friendly fabrication of high performance mc-Si solar cells. PMID:27924911
NASA Astrophysics Data System (ADS)
Chen, Hong-Yan; Lu, Hong-Liang; Sun, Long; Ren, Qing-Hua; Zhang, Hao; Ji, Xin-Ming; Liu, Wen-Jun; Ding, Shi-Jin; Yang, Xiao-Feng; Zhang, David Wei
2016-12-01
Nowadays, the multi-crystalline silicon (mc-Si) solar cells dominate the photovoltaic industry. However, the current acid etching method on mc-Si surface used by firms can hardly suppress the average reflectance value below 25% in the visible light spectrum. Meanwhile, the nitric acid and the hydrofluoric contained in the etching solution is both environmental unfriendly and highly toxic to human. Here, a mc-Si solar cell based on ZnO nanostructures and an Al2O3 spacer layer is demonstrated. The eco-friendly fabrication is realized by low temperature atomic layer deposition of Al2O3 layer as well as ZnO seed layer. Moreover, the ZnO nanostructures are prepared by nontoxic and low cost hydro-thermal growth process. Results show that the best passivation quality of the n+ -type mc-Si surface can be achieved by balancing the Si dangling bond saturation level and the negative charge concentration in the Al2O3 film. Moreover, the average reflectance on cell surface can be suppressed to 8.2% in 400-900 nm range by controlling the thickness of ZnO seed layer. With these two combined refinements, a maximum solar cell efficiency of 15.8% is obtained eventually. This work offer a facile way to realize the environmental friendly fabrication of high performance mc-Si solar cells.
NASA Astrophysics Data System (ADS)
Chin, Kwang-Geun; Kang, Chung-Yun; Park, Jaeyeong; Lee, Sunghak
2018-03-01
An austenitic Hadfield steel was roll-bonded with a 1.8-GPa-strength-grade martensitic hot-press-forming (HPF) steel to fabricate a multi-layer steel (MLS) sheet. Near the Hadfield/HPF interface, the carburized and decarburized layers were formed by the carbon diffusion from the Hadfield (1.2%C) to HPF (0.35%C) layers, and could be regarded as kinds of very thin multi-layers of 35 μm in thickness. The tensile test and fractographic data indicated that the MLS sheet was fractured abruptly within the elastic range by the intergranular fracture occurred in the carburized layer. This was because C was mainly segregated at prior austenite grain boundaries in the carburized layer, which weakened grain boundaries to induce the intergranular fracture. In order to solve the intergranular facture problem, the MLS sheet was tempered at 200 °C. The stress-strain curve of the tempered MLS sheet lay between those of the HPF and Hadfield sheets, and a rule of mixtures was roughly satisfied. Tensile properties of the MLS sheet were dramatically improved after the tempering, and the intergranular fracture was erased completely. In particular, the yield strength up to 1073 MPa along with the high strain hardening and excellent ductility of 32.4% were outstanding because the yield strength over 1 GPa was hardly achieved in conventional austenitic steels.
NASA Astrophysics Data System (ADS)
Chin, Kwang-Geun; Kang, Chung-Yun; Park, Jaeyeong; Lee, Sunghak
2018-05-01
An austenitic Hadfield steel was roll-bonded with a 1.8-GPa-strength-grade martensitic hot-press-forming (HPF) steel to fabricate a multi-layer steel (MLS) sheet. Near the Hadfield/HPF interface, the carburized and decarburized layers were formed by the carbon diffusion from the Hadfield (1.2%C) to HPF (0.35%C) layers, and could be regarded as kinds of very thin multi-layers of 35 μm in thickness. The tensile test and fractographic data indicated that the MLS sheet was fractured abruptly within the elastic range by the intergranular fracture occurred in the carburized layer. This was because C was mainly segregated at prior austenite grain boundaries in the carburized layer, which weakened grain boundaries to induce the intergranular fracture. In order to solve the intergranular facture problem, the MLS sheet was tempered at 200 °C. The stress-strain curve of the tempered MLS sheet lay between those of the HPF and Hadfield sheets, and a rule of mixtures was roughly satisfied. Tensile properties of the MLS sheet were dramatically improved after the tempering, and the intergranular fracture was erased completely. In particular, the yield strength up to 1073 MPa along with the high strain hardening and excellent ductility of 32.4% were outstanding because the yield strength over 1 GPa was hardly achieved in conventional austenitic steels.
Layered Metals Fabrication Technology Development for Support of Lunar Exploration at NASA/MSFC
NASA Technical Reports Server (NTRS)
Cooper, Kenneth G.; Good, James E.; Gilley, Scott D.
2007-01-01
NASA's human exploration initiative poses great opportunity and risk for missions to the Moon and beyond. In support of these missions, engineers and scientists at the Marshall Space Flight Center are developing technologies for ground-based and in-situ fabrication capabilities utilizing provisioned and locally-refined materials. Development efforts are pushing state-of-the art fabrication technologies to support habitat structure development, tools and mechanical part fabrication, as well as repair and replacement of ground support and space mission hardware such as life support items, launch vehicle components and crew exercise equipment. This paper addresses current fabrication technologies relative to meeting targeted capabilities, near term advancement goals, and process certification of fabrication methods.
Method of fabricating germanium and gallium arsenide devices
NASA Technical Reports Server (NTRS)
Jhabvala, Murzban (Inventor)
1990-01-01
A method of semiconductor diode fabrication is disclosed which relies on the epitaxial growth of a precisely doped thickness layer of gallium arsenide or germanium on a semi-insulating or intrinsic substrate, respectively, of gallium arsenide or germanium by either molecular beam epitaxy (MBE) or by metal-organic chemical vapor deposition (MOCVD). The method involves: depositing a layer of doped or undoped silicon dioxide on a germanium or gallium arsenide wafer or substrate, selectively removing the silicon dioxide layer to define one or more surface regions for a device to be fabricated thereon, growing a matched epitaxial layer of doped germanium or gallium arsenide of an appropriate thickness using MBE or MOCVD techniques on both the silicon dioxide layer and the defined one or more regions; and etching the silicon dioxide and the epitaxial material on top of the silicon dioxide to leave a matched epitaxial layer of germanium or gallium arsenide on the germanium or gallium arsenide substrate, respectively, and upon which a field effect device can thereafter be formed.