Sample records for selected energy epitaxial

  1. Low energy dislocation structures in epitaxy

    NASA Technical Reports Server (NTRS)

    Van Der Merwe, Jan H.; Woltersdorf, J.; Jesser, W. A.

    1986-01-01

    The principle of minimum energy was applied to epitaxial interfaces to show the interrelationship beteen misfit, overgrowth thickness and misfit dislocation spacing. The low energy dislocation configurations were presented for selected interfacial geometries. A review of the interfacial energy calculations was made and a critical assessment of the agreement between theory and experiment was presented. Modes of misfit accommodation were presented with emphasis on the distinction between kinetic effects and equilibrium conditions. Two-dimensional and three-dimensional overgrowths were treated together with interdiffusion-modified interfaces, and several models of interfacial structure were treated including the classical and the current models. The paper is concluded by indicating areas of needed investigation into interfacial structure.

  2. Selective epitaxy using the gild process

    DOEpatents

    Weiner, Kurt H.

    1992-01-01

    The present invention comprises a method of selective epitaxy on a semiconductor substrate. The present invention provides a method of selectively forming high quality, thin GeSi layers in a silicon circuit, and a method for fabricating smaller semiconductor chips with a greater yield (more error free chips) at a lower cost. The method comprises forming an upper layer over a substrate, and depositing a reflectivity mask which is then removed over selected sections. Using a laser to melt the unmasked sections of the upper layer, the semiconductor material in the upper layer is heated and diffused into the substrate semiconductor material. By varying the amount of laser radiation, the epitaxial layer is formed to a controlled depth which may be very thin. When cooled, a single crystal epitaxial layer is formed over the patterned substrate. The present invention provides the ability to selectively grow layers of mixed semiconductors over patterned substrates such as a layer of Ge.sub.x Si.sub.1-x grown over silicon. Such a process may be used to manufacture small transistors that have a narrow base, heavy doping, and high gain. The narrowness allows a faster transistor, and the heavy doping reduces the resistance of the narrow layer. The process does not require high temperature annealing; therefore materials such as aluminum can be used. Furthermore, the process may be used to fabricate diodes that have a high reverse breakdown voltage and a low reverse leakage current.

  3. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection.

    PubMed

    Ding, Hong; Dwaraknath, Shyam S; Garten, Lauren; Ndione, Paul; Ginley, David; Persson, Kristin A

    2016-05-25

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structures favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.

  4. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    DOE PAGES

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren; ...

    2016-05-04

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO 2 compounds which provides a rich chemical and structural polymorph space. Here, we find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO 2 substrates, where the VO 2 brookite phase would be preferentially grown on the a-c TiO 2 brookite plane whilemore » the columbite and anatase structures favor the a-b plane on the respective TiO 2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO 2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. Our criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.« less

  5. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structuresmore » favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.« less

  6. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO 2 compounds which provides a rich chemical and structural polymorph space. Here, we find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO 2 substrates, where the VO 2 brookite phase would be preferentially grown on the a-c TiO 2 brookite plane whilemore » the columbite and anatase structures favor the a-b plane on the respective TiO 2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO 2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. Our criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.« less

  7. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  8. Exposing high-energy surfaces by rapid-anneal solid phase epitaxy

    DOE PAGES

    Wang, Y.; Song, Y.; Peng, R.; ...

    2017-08-08

    The functional design of nanoscale transition metal oxide heterostructures depends critically on the growth of atomically flat epitaxial thin films. Much of the time, improved functionality is expected for heterostructures and surfaces with orientations that do not have the lowest surface free energy. For example, crystal faces with a high surface free energy, such as rutile (001) planes, frequently exhibit higher catalytic activities but are correspondingly harder to synthesize due to energy-lowering faceting transitions. We propose a broadly applicable rapid-anneal solid phase epitaxial synthesis approach for the creation of atomically flat, high surface free energy oxide heterostructures. We also demonstratemore » its efficacy via the synthesis of atomically flat, epitaxial RuO 2(001) films with a superior oxygen evolution activity, quantified by their lower onset potential and higher current density, relative to that of more common RuO 2(110) films.« less

  9. Selective Epitaxial Graphene Growth on SiC via AlN Capping

    NASA Astrophysics Data System (ADS)

    Zaman, Farhana; Rubio-Roy, Miguel; Moseley, Michael; Lowder, Jonathan; Doolittle, William; Berger, Claire; Dong, Rui; Meindl, James; de Heer, Walt; Georgia Institute of Technology Team

    2011-03-01

    Electronic-quality graphene is epitaxially grown by graphitization of carbon-face silicon carbide (SiC) by the sublimation of silicon atoms from selected regions uncapped by aluminum nitride (AlN). AlN (deposited by molecular beam epitaxy) withstands high graphitization temperatures of 1420o C, hence acting as an effective capping layer preventing the growth of graphene under it. The AlN is patterned and etched to open up windows onto the SiC surface for subsequent graphitization. Such selective epitaxial growth leads to the formation of high-quality graphene in desired patterns without the need for etching and lithographic patterning of graphene itself. No detrimental contact of the graphene with external chemicals occurs throughout the fabrication-process. The impact of process-conditions on the mobility of graphene is investigated. Graphene hall-bars were fabricated and characterized by scanning Raman spectroscopy, ellipsometry, and transport measurements. This controlled growth of graphene in selected regions represents a viable approach to fabrication of high-mobility graphene as the channel material for fast-switching field-effect transistors.

  10. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  11. A New Selective Area Lateral Epitaxy Approach for Depositing a-Plane GaN over r-Plane Sapphire

    NASA Astrophysics Data System (ADS)

    Chen, Changqing; Zhang, Jianping; Yang, Jinwei; Adivarahan, Vinod; Rai, Shiva; Wu, Shuai; Wang, Hongmei; Sun, Wenhong; Su, Ming; Gong, Zheng; Kuokstis, Edmundas; Gaevski, Mikhail; Khan, Muhammad Asif

    2003-07-01

    We report a new epitaxy procedure for growing extremely low defect density a-plane GaN films over r-plane sapphire. By combining selective area growth through a SiO2 mask opening to produce high height to width aspect ratio a-plane GaN pillars and lateral epitaxy from their c-plane facets, we obtained fully coalesced a-plane GaN films. The excellent structural, optical and electrical characteristics of these selective area lateral epitaxy (SALE) deposited films make them ideal for high efficiency III-N electronic and optoelectronic devices.

  12. Selective epitaxial growth of monolithically integrated GaN-based light emitting diodes with AlGaN/GaN driving transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Zhaojun; Ma, Jun; Huang, Tongde

    2014-03-03

    In this Letter, we report selective epitaxial growth of monolithically integrated GaN-based light emitting diodes (LEDs) with AlGaN/GaN high-electron-mobility transistor (HEMT) drivers. A comparison of two integration schemes, selective epitaxial removal (SER), and selective epitaxial growth (SEG) was made. We found the SER resulted in serious degradation of the underlying LEDs in a HEMT-on-LED structure due to damage of the p-GaN surface. The problem was circumvented using the SEG that avoided plasma etching and minimized device degradation. The integrated HEMT-LEDs by SEG exhibited comparable characteristics as unintegrated devices and emitted modulated blue light by gate biasing.

  13. The Selective Epitaxy of Silicon at Low Temperatures.

    NASA Astrophysics Data System (ADS)

    Lou, Jen-Chung

    1991-01-01

    This dissertation has developed a process for the selective epitaxial growth (SEG) of silicon at low temperatures using a dichlorosilane-hydrogen mixture in a hot-wall low pressure chemical vapor deposition (LPCVD) reactor. Some basic issues concerning the quality of epilayers --substrate preparation, ex-situ and in-situ cleaning, and deposition cycle, have been studied. We find it necessary to use a plasma etch to open epitaxial windows for the SEG of Si. A cycled plasma etch, a thin sacrificial oxide growth, and an oxide etching step can completely remove plasma-etch-induced surface damage and contaminants, which result in high quality epilayers. A practical wafer cleaning step is developed for low temperature Si epitaxial growth. An ex-situ HF vapor treatment can completely remove chemical oxide from the silicon surface and retard the reoxidation of the silicon surface. An in-situ low-concentration DCS cycle can aid in decomposition of surface oxide during a 900 ^circC H_2 prebake step. An HF vapor treatment combined with a low-concentration of DCS cycle consistently achieves defect-free epilayers at 850^circC and lower temperatures. We also show that a BF_sp{2}{+ } or F^+ ion implantation is a potential ex-situ wafer cleaning process for SEG of Si at low temperatures. The mechanism for the formation of surface features on Si epilayers is also discussed. Based on O ^+ ion implantation, we showed that the oxygen incorporation in silicon epilayers suppresses the Si growth rate. Therefore, we attribute the formation of surface features to the local reduction of the Si growth rate due to the dissolution of oxide islands at the epi/substrate interface. Finally, with this developed process for the SEG of silicon, defect-free overgrown epilayers are also obtained. This achievement demonstrates the feasibility for the future silicon-on-oxide (SOI) manufacturing technology.

  14. Process for selectively patterning epitaxial film growth on a semiconductor substrate

    DOEpatents

    Sheldon, P.; Hayes, R.E.

    1984-12-04

    Disclosed is a process for selectively patterning epitaxial film growth on a semiconductor substrate. The process includes forming a masking member on the surface of the substrate, the masking member having at least two layers including a first layer disposed on the substrate and the second layer covering the first layer. A window is then opened in a selected portion of the second layer by removing that portion to expose the first layer thereunder. The first layer is then subjected to an etchant introduced through the window to dissolve the first layer a sufficient amount to expose the substrate surface directly beneath the window, the first layer being adapted to preferentially dissolve at a substantially greater rate than the second layer so as to create an overhanging ledge portion with the second layer by undercutting the edges thereof adjacent the window. The epitaxial film is then deposited on the exposed substrate surface directly beneath the window. Finally, an etchant is introduced through the window to dissolve the remainder of the first layer so as to lift-off the second layer and materials deposited thereon to fully expose the balance of the substrate surface.

  15. Process for selectively patterning epitaxial film growth on a semiconductor substrate

    DOEpatents

    Sheldon, Peter; Hayes, Russell E.

    1986-01-01

    A process is disclosed for selectively patterning epitaxial film growth on a semiconductor substrate. The process includes forming a masking member on the surface of the substrate, the masking member having at least two layers including a first layer disposed on the substrate and the second layer covering the first layer. A window is then opened in a selected portion of the second layer by removing that portion to expose the first layer thereunder. The first layer is then subjected to an etchant introduced through the window to dissolve a sufficient amount of the first layer to expose the substrate surface directly beneath the window, the first layer being adapted to preferentially dissolve at a substantially greater rate than the second layer so as to create an overhanging ledge portion with the second layer by undercutting the edges thereof adjacent to the window. The epitaxial film is then deposited on the exposed substrate surface directly beneath the window. Finally, an etchant is introduced through the window to dissolve the remainder of the first layer so as to lift-off the second layer and materials deposited thereon to fully expose the balance of the substrate surface.

  16. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  17. Epitaxial graphene

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  18. The impact of substrate selection for the controlled growth of graphene by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Schumann, T.; Lopes, J. M. J.; Wofford, J. M.; Oliveira, M. H.; Dubslaff, M.; Hanke, M.; Jahn, U.; Geelhaar, L.; Riechert, H.

    2015-09-01

    We examine how substrate selection impacts the resulting film properties in graphene growth by molecular beam epitaxy (MBE). Graphene growth on metallic as well as dielectric templates was investigated. We find that MBE offers control over the number of atomic graphene layers regardless of the substrate used. High structural quality could be achieved for graphene prepared on Ni (111) films which were epitaxially grown on MgO (111). For growth either on Al2O3 (0001) or on (6√3×6√3)R30°-reconstructed SiC (0001) surfaces, graphene with a higher density of defects is obtained. Interestingly, despite their defective nature, the layers possess a well defined epitaxial relation to the underlying substrate. These results demonstrate the feasibility of MBE as a technique for realizing the scalable synthesis of this two-dimensional crystal on a variety of substrates.

  19. Epitaxial growth of CZT(S,Se) on silicon

    DOEpatents

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  20. The role of Energy Deposition in the Epitaxial Layer in Triggering SEGR in Power MOSFETs

    NASA Technical Reports Server (NTRS)

    Selva, L.; Swift, G.; Taylor, W.; Edmonds, L.

    1999-01-01

    In these SEGR experiments, three identical-oxide MOSFET types were irradiated with six ions of significantly different ranges. Results show the prime importance of the total energy deposited in the epitaxial layer.

  1. Surface stability and the selection rules of substrate orientation for optimal growth of epitaxial II-VI semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yin, Wan-Jian; Department of Physics & Astronomy, and Wright Center for Photovoltaics Innovation and Commercialization, The University of Toledo, Toledo, Ohio 43606; Yang, Ji-Hui

    2015-10-05

    The surface structures of ionic zinc-blende CdTe (001), (110), (111), and (211) surfaces are systematically studied by first-principles density functional calculations. Based on the surface structures and surface energies, we identify the detrimental twinning appearing in molecular beam epitaxy (MBE) growth of II-VI compounds as the (111) lamellar twin boundaries. To avoid the appearance of twinning in MBE growth, we propose the following selection rules for choosing optimal substrate orientations: (1) the surface should be nonpolar so that there is no large surface reconstructions that could act as a nucleation center and promote the formation of twins; (2) the surfacemore » structure should have low symmetry so that there are no multiple equivalent directions for growth. These straightforward rules, in consistent with experimental observations, provide guidelines for selecting proper substrates for high-quality MBE growth of II-VI compounds.« less

  2. Aqueous solution epitaxy of CdS layers on CuInSe 2

    NASA Astrophysics Data System (ADS)

    Furlong, M. J.; Froment, M.; Bernard, M. C.; Cortès, R.; Tiwari, A. N.; Krejci, M.; Zogg, H.; Lincot, D.

    1998-09-01

    Epitaxial CdS thin films have been deposited from an aqueous ammonia solution containing cadmium ions and thiourea as precursors on single crystalline CuInSe 2 films prepared by MBE on Si(1 1 1) and GaAs(1 0 0) substrates. The structure and quality of the films were investigated by RHEED, glancing angle XRD and HRTEM in cross-section. The films are cubic on (1 0 0) substrates, and mixed cubic and hexagonal on (1 1 1) substrates due to the presence of stacking faults parallel to the substrate. The growth is under surface kinetic control with an activation energy of 85 kJ mol -1. Epitaxy improves with increasing temperature and an epitaxial transition temperature at approx. 60°C is demonstrated in the selected experimental conditions. The epitaxy is very sensitive to the preparation of the surface. Beneficial effects of in situ or ex situ chemical etching are found. Similarities between aqueous solution and vapor-phase chemical depositions are pointed out.

  3. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    DOEpatents

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  4. Strained-layer epitaxy of germanium-silicon alloys

    NASA Astrophysics Data System (ADS)

    Bean, J. C.

    1985-10-01

    Strained-layer epitaxy is presented as a developing technique for combining Si with other materials in order to obtain semiconductors with enhanced electronic properties. The method involves applying layers sufficiently thin so that the atoms deposited match the bonding configurations of the substrate crystal. When deposited on Si, a four-fold bonding pattern is retained, with a lowered interfacial energy and augmented stored strain energy in the epitaxial layer. The main problem which remains is building an epitaxial layer thick enough to yield desired epitaxial properties while avoiding a reversion to an unstrained structure. The application of a Ge layer to Si using MBE is described, along with the formation of heterojunction multi-layer superlattices, which can reduce the dislocation effects in some homojunctions. The technique shows promise for developing materials of use as bipolar transistors, optical detectors and fiber optic transmission devices.

  5. Segregation of Sb in Ge epitaxial layers and its usage for the selective doping of Ge-based structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antonov, A. V.; Drozdov, M. N.; Novikov, A. V., E-mail: anov@ipmras.ru

    2015-11-15

    The segregation of Sb in Ge epitaxial layers grown by the method of molecular beam epitaxy on Ge (001) substrates is investigated. For a growth temperature range of 180–325°C, the temperature dependence is determined for the segregation ratio of Sb in Ge, which shows a sharp increase (by more than three orders of magnitude) with increasing temperature. The strong dependence of the segregation properties of Sb on the growth temperature makes it possible to adapt a method based on the controlled use of segregation developed previously for the doping of Si structures for the selective doping of Ge structures withmore » a donor impurity. Using this method selectively doped Ge:Sb structures, in which the bulk impurity concentration varies by an order of magnitude at distances of 3–5 nm, are obtained.« less

  6. Controllable surface-plasmon resonance in engineered nanometer epitaxial silicide particles embedded in silicon

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; Ksendzov, A.; Iannelli, J. M.; George, T.

    1991-01-01

    Epitaxial CoSi2 particles in a single-crystal silicon matrix are grown by molecular-beam epitaxy using a technique that allows nanometer control over particle size in three dimensions. These composite layers exhibit resonant absorption predicted by effective-medium theory. Selection of the height and diameter of disklike particles through a choice of growth conditions allows tailoring of the depolarization factor and hence of the surface-plasmon resonance energy. Resonant absorption from 0.49 to 1.04 eV (2.5 to 1.2 micron) is demonstrated and shown to agree well with values predicted by the Garnett (1904, 1906) theory using the bulk dielectric constants for CoSi2 and Si.

  7. Low temperature laser molecular beam epitaxy and characterization of AlGaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Tyagi, Prashant; Ch., Ramesh; Kushvaha, S. S.; Kumar, M. Senthil

    2017-05-01

    We have grown AlGaN (0001) epitaxial layers on sapphire (0001) by using laser molecular beam epitaxy (LMBE) technique. The growth was carried out using laser ablation of AlxGa1-x liquid metal alloy under r.f. nitrogen plasma ambient. Before epilayer growth, the sapphire nitradation was performed at 700 °C using r.f nitrogen plasma followed by AlGaN layer growth. The in-situ reflection high energy electron diffraction (RHEED) was employed to monitor the substrate nitridation and AlGaN epitaxial growth. High resolution x-ray diffraction showed wurtzite hexagonal growth of AlGaN layer along c-axis. An absorption bandgap of 3.97 eV is obtained for the grown AlGaN layer indicating an Al composition of more than 20 %. Using ellipsometry, a refractive index (n) value of about 2.19 is obtained in the visible region.

  8. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  9. Vacancies in epitaxial graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Davydov, S. Yu., E-mail: Sergei-Davydov@mail.ru

    The coherent-potential method is used to consider the problem of the influence of a finite concentration of randomly arranged vacancies on the density of states of epitaxial graphene. To describe the density of states of the substrate, simple models (the Anderson model, Haldane-Anderson model, and parabolic model) are used. The electronic spectrum of free single-sheet graphene is considered in the low-energy approximation. Charge transfer in the graphene-substrate system is discussed. It is shown that, in all cases, the density of states of epitaxial graphene decreases proportionally to the vacancy concentration. At the same time, the average charge transferred from graphenemore » to the substrate increases.« less

  10. Electromigration in epitaxial Cu(001) lines

    NASA Astrophysics Data System (ADS)

    Ramanath, G.; Kim, H.; Goindi, H. S.; Frederick, M. J.; Shin, C.-S.; Goswami, R.; Petrov, I.; Greene, J. E.

    2002-04-01

    We report the electromigration (EM) response of single-domain epitaxial Cu(001) lines on layers of Ta, TaN, and TiN. Epitaxial Cu(001) lines on nitride layers exhibit nearly two orders of magnitude higher mean-time-to-failure (MTTF) values than those on Ta, indicating the strong influence of the underlayer. The activation energy of EM for Cu on the nitrides is ˜0.8-1.2 eV, and that of Cu on Ta is ˜0.2 eV, for 200-300 °C. Our results also indicate that the MTTF values correlate inversely to the crystal quality of the Cu layers measured by X-ray diffraction. The EM resistance of epitaxial Cu lines with different crystal quality on TaN were measured to separate the effects of interface chemistry and crystal quality. While higher quality epitaxial films reveal a higher EM resistance, the magnitude of the change is smaller than that obtained by changing the interface chemistry. Epitaxial lines exhibit more than 3-4 orders of magnitude higher MTTF than polycrystalline lines on the same underlayer. Based upon our results, we propose that the Cu/underlayer interface chemistry and presence of grain boundary diffusion play important roles in unpassivated Cu films.

  11. Near-Infrared Photoluminescence Enhancement in Ge/CdS and Ge/ZnS Core/Shell Nanocrystals: Utilizing IV/II-VI Semiconductor Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Yijun; Rowland, Clare E; Schaller, Richard D

    2014-08-26

    Ge nanocrystals have a large Bohr radius and a small, size-tunable band gap that may engender direct character via strain or doping. Colloidal Ge nanocrystals are particularly interesting in the development of near-infrared materials for applications in bioimaging, telecommunications and energy conversion. Epitaxial growth of a passivating shell is a common strategy employed in the synthesis of highly luminescent II–VI, III–V and IV–VI semiconductor quantum dots. Here, we use relatively unexplored IV/II–VI epitaxy as a way to enhance the photoluminescence and improve the optical stability of colloidal Ge nanocrystals. Selected on the basis of their relatively small lattice mismatch comparedmore » with crystalline Ge, we explore the growth of epitaxial CdS and ZnS shells using the successive ion layer adsorption and reaction method. Powder X-ray diffraction and electron microscopy techniques, including energy dispersive X-ray spectroscopy and selected area electron diffraction, clearly show the controllable growth of as many as 20 epitaxial monolayers of CdS atop Ge cores. In contrast, Ge etching and/or replacement by ZnS result in relatively small Ge/ZnS nanocrystals. The presence of an epitaxial II–VI shell greatly enhances the near-infrared photoluminescence and improves the photoluminescence stability of Ge. Ge/II–VI nanocrystals are reproducibly 1–3 orders of magnitude brighter than the brightest Ge cores. Ge/4.9CdS core/shells show the highest photoluminescence quantum yield and longest radiative recombination lifetime. Thiol ligand exchange easily results in near-infrared active, water-soluble Ge/II–VI nanocrystals. We expect this synthetic IV/II–VI epitaxial approach will lead to further studies into the optoelectronic behavior and practical applications of Si and Ge-based nanomaterials.« less

  12. Growth of InN on Ge substrate by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Trybus, Elaissa; Namkoong, Gon; Henderson, Walter; Doolittle, W. Alan; Liu, Rong; Mei, Jin; Ponce, Fernando; Cheung, Maurice; Chen, Fei; Furis, Madalina; Cartwright, Alexander

    2005-06-01

    InN epitaxial growth on a (1 1 1)-oriented, Ga-doped germanium substrate using molecular beam epitaxy is described. X-ray diffraction and transmission electron microscopy investigations have shown that the InN epitaxial layer consists of a wurtzite structure, which has the epitaxial relationship of (0 0 0 1) InN∥(1 1 1) Ge. Transmission electron microscopy shows an intermediate layer at the interface between the InN/Ge substrate. Consistent with recent reports implying a narrow bandgap of InN [Phys. Stat Sol. B 229 (2002) R1, Appl. Phys. Lett. 80 (2002) 3967], a strong photoluminescence with peak energy of 0.69 eV at 15 K was observed for this InN epilayer, in contrast to the peak energy of 0.71 eV for Ga-doped Ge under the same measurement conditions.

  13. Epitaxial Graphene: A New Material for Electronics

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  14. Epitaxial stabilization and phase instability of VO2 polymorphs

    NASA Astrophysics Data System (ADS)

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; Lee, Ho Nyung

    2016-01-01

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices.

  15. Epitaxial stabilization and phase instability of VO2 polymorphs.

    PubMed

    Lee, Shinbuhm; Ivanov, Ilia N; Keum, Jong K; Lee, Ho Nyung

    2016-01-20

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices.

  16. Epitaxial stabilization and phase instability of VO2 polymorphs

    PubMed Central

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; Lee, Ho Nyung

    2016-01-01

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices. PMID:26787259

  17. Epitaxial stabilization and phase instability of VO 2 polymorphs

    DOE PAGES

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; ...

    2016-01-20

    The VO 2 polymorphs, i.e., VO 2(A), VO 2(B), VO 2(M1) and VO 2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO 2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO 2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on variousmore » perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO 2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. In conclusion, our successful epitaxy of both VO 2(A) and VO 2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO 2 polymorphs for potential applications in advanced electronic and energy devices.« less

  18. Selective Epitaxy of InP on Si and Rectification in Graphene/InP/Si Hybrid Structure.

    PubMed

    Niu, Gang; Capellini, Giovanni; Hatami, Fariba; Di Bartolomeo, Antonio; Niermann, Tore; Hussein, Emad Hameed; Schubert, Markus Andreas; Krause, Hans-Michael; Zaumseil, Peter; Skibitzki, Oliver; Lupina, Grzegorz; Masselink, William Ted; Lehmann, Michael; Xie, Ya-Hong; Schroeder, Thomas

    2016-10-12

    The epitaxial integration of highly heterogeneous material systems with silicon (Si) is a central topic in (opto-)electronics owing to device applications. InP could open new avenues for the realization of novel devices such as high-mobility transistors in next-generation CMOS or efficient lasers in Si photonics circuitry. However, the InP/Si heteroepitaxy is highly challenging due to the lattice (∼8%), thermal expansion mismatch (∼84%), and the different lattice symmetries. Here, we demonstrate the growth of InP nanocrystals showing high structural quality and excellent optoelectronic properties on Si. Our CMOS-compatible innovative approach exploits the selective epitaxy of InP nanocrystals on Si nanometric seeds obtained by the opening of lattice-arranged Si nanotips embedded in a SiO 2 matrix. A graphene/InP/Si-tip heterostructure was realized on obtained materials, revealing rectifying behavior and promising photodetection. This work presents a significant advance toward the monolithic integration of graphene/III-V based hybrid devices onto the mainstream Si technology platform.

  19. Efficient Interlayer Relaxation and Transition of Excitons in Epitaxial and Non-epitaxial MoS2/WS2 Heterostructures

    DOE PAGES

    Yu, Yifei; Hu, Shi; Su, Liqin; ...

    2014-12-03

    Semiconductor heterostructurs provide a powerful platform for the engineering of excitons. Here we report on the excitonic properties of two-dimensional (2D) heterostructures that consist of monolayer MoS2 and WS2 stacked epitaxially or non-epitaxially in the vertical direction. We find similarly efficient interlayer relaxation and transition of excitons in both the epitaxial and non-epitaxial heterostructures. This is manifested by a two orders of magnitude decrease in the photoluminescence and an extra absorption peak at low energy region of both heterostructures. The MoS2/WS2 heterostructures show weak interlayer coupling and essentially act as an atomic-scale heterojunction with the intrinsic band structures of themore » two monolayers largely preserved. They are particularly promising for the applications that request efficient dissociation of excitons and strong light absorption, including photovoltaics, solar fuels, photodetectors, and optical modulators. Our results also indicate that 2D heterostructures promise to provide capabilities to engineer excitons from the atomic level without concerns of interfacial imperfection.« less

  20. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  1. Ordered structure of FeGe2 formed during solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Jenichen, B.; Hanke, M.; Gaucher, S.; Trampert, A.; Herfort, J.; Kirmse, H.; Haas, B.; Willinger, E.; Huang, X.; Erwin, S. C.

    2018-05-01

    Fe3Si /Ge (Fe ,Si ) /Fe3Si thin-film stacks were grown by a combination of molecular beam epitaxy and solid-phase epitaxy (Ge on Fe3Si ). The stacks were analyzed using electron microscopy, electron diffraction, and synchrotron x-ray diffraction. The Ge(Fe,Si) films crystallize in the well-oriented, layered tetragonal structure FeGe2 with space group P 4 m m . This kind of structure does not exist as a bulk material and is stabilized by the solid-phase epitaxy of Ge on Fe3Si . We interpret this as an ordering phenomenon induced by minimization of the elastic energy of the epitaxial film.

  2. Epitaxial Growth of Oriented Metalloporphyrin Network Thin Film for Improved Selectivity of Volatile Organic Compounds.

    PubMed

    Li, De-Jing; Gu, Zhi-Gang; Vohra, Ismail; Kang, Yao; Zhu, Yong-Sheng; Zhang, Jian

    2017-05-01

    This study reports an oriented and homogenous cobalt-metalloporphyrin network (PIZA-1) thin film prepared by liquid phase epitaxial (LPE) method. The thickness of the obtained thin films can be well controlled, and their photocurrent properties can also be tuned by LPE cycles or the introduction of conductive guest molecules (tetracyanoquinodimethane and C 60 ) into the PIZA-1 pores. The study of quartz crystal microbalance adsorption confirms that the PIZA-1 thin film with [110]-orientation presents much higher selectivity of benzene over toluene and p-xylene than that of the PIZA-1 powder with mixed orientations. These results reveal that the selective adsorption of volatile organic compounds highly depends on the growth orientations of porphyrin-based metal-organic framework thin films. Furthermore, the work will provide a new perspective for developing important semiconductive sensing materials with improved selectivity of guest compounds. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Three-dimensional lattice matching of epitaxially embedded nanoparticles

    NASA Astrophysics Data System (ADS)

    May, Brelon J.; Anderson, Peter M.; Myers, Roberto C.

    2017-02-01

    For a given degree of in-plane lattice mismatch between a two-dimensional (2D) epitaxial layer and a substrate (ɛIP*), there is a critical thickness above which interfacial defects form to relax the elastic strain energy. Here, we extend the 2D lattice-matching conditions to three-dimensions in order to predict the critical size beyond which epitaxially encased nanoparticles, characterized by both ɛIP* and out-of-plane lattice mismatch (ɛOP*), relax by dislocation formation. The critical particle length (Lc) at which defect formation proceeds is determined by balancing the reduction in elastic energy associated with dislocation introduction with the corresponding increase in defect energy. Our results, which use a modified Eshelby inclusion technique for an embedded, arbitrarily-faceted nanoparticle, provide new insight to the nanoepitaxy of low dimensional structures, especially quantum dots and nanoprecipitates. By engineering ɛIP* and ɛOP* , the predicted Lc for nanoparticles can be increased to well beyond the case of encapsulation in a homogenous matrix. For the case of truncated pyramidal shaped InAs, Lc 10.8 nm when fully embedded in GaAs (ɛIP* = ɛOP* = - 0.072); 16.4 nm when the particle is grown on GaAs, but capped with InSb (ɛIP* = - 0.072 and ɛOP* =+0.065); and a maximum of 18.4 nm if capped with an alloy corresponding to ɛOP* =+0.037. The effect, which we term "3D Poisson-stabilization" provides a means to increase the epitaxial strain tolerance in epitaxial heterostructures by tailoring ɛOP*.

  4. Laser energy tuning of carrier effective mass and thermopower in epitaxial oxide thin films

    NASA Astrophysics Data System (ADS)

    Abutaha, A. I.; Sarath Kumar, S. R.; Alshareef, H. N.

    2012-04-01

    The effect of the laser fluence on high temperature thermoelectric properties of the La doped SrTiO3 (SLTO) thin films epitaxially grown on LaAlO3 <100> substrates by pulsed laser deposition is clarified. It is shown that oxygen vacancies that influence the effective mass of carriers in SLTO films can be tuned by varying the laser energy. The highest power factor of 0.433 W K-1 m-1 has been achieved at 636 K for a film deposited using the highest laser fluence of 7 J cm-2 pulse-1.

  5. Magnetism of epitaxial Tb films on W(110) studied by spin-polarized low-energy electron microscopy

    NASA Astrophysics Data System (ADS)

    Prieto, J. E.; Chen, Gong; Schmid, A. K.; de la Figuera, J.

    2016-11-01

    Thin epitaxial films of Tb metal were grown on a clean W(110) substrate in ultrahigh vacuum and studied in situ by low-energy electron microscopy. Annealed films present magnetic contrast in spin-polarized low-energy electron microscopy. The energy dependence of the electron reflectivity was determined and a maximum value of its spin asymmetry of about 1% was measured. The magnetization direction of the Tb films is in-plane. Upon raising the temperature, no change in the domain distribution is observed, while the asymmetry in the electron reflectivity decreases when approaching the critical temperature, following a power law ˜(1-T /TC) β with a critical exponent β of 0.39.

  6. Fabrication of selective-area growth InGaN LED by mixed-source hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Bae, Sung Geun; Jeon, Injun; Jeon, Hunsoo; Kim, Kyoung Hwa; Yang, Min; Yi, Sam Nyung; Lee, Jae Hak; Ahn, Hyung Soo; Yu, Young Moon; Sawaki, Nobuhiko; Kim, Suck-Whan

    2018-01-01

    We prepared InGaN light-emitting diodes (LEDs) with the active layers grown from a mixed source of Ga-In-N materials on an n-type GaN substrate by a selective-area growth method and three fabrication steps: photolithography, epitaxial layer growth, and metallization. The preparation followed a previously developed experimental process using apparatus for mixed-source hydride vapor-phase epitaxy (HVPE), which consisted of a multi-graphite boat, for insulating against the high temperature and to control the growth rate of epilayers, filled with the mixed source on the inside and a radio-frequency (RF) heating coil for heating to a high temperature (T > 900 °C) and for easy control of temperature outside the source zone. Two types of LEDs were prepared, with In compositions of 11.0 and 6.0% in the InGaN active layer, and room-temperature electroluminescence measurements exhibited a main peak corresponding to the In composition at either 420 or 390 nm. The consecutive growth of InGaN LEDs by the mixed-source HVPE method provides a technique for the production of LEDs with a wide range of In compositions in the active layer.

  7. Template-assisted selective epitaxy of III–V nanoscale devices for co-planar heterogeneous integration with Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmid, H., E-mail: sih@zurich.ibm.com; Borg, M.; Moselund, K.

    2015-06-08

    III–V nanoscale devices were monolithically integrated on silicon-on-insulator (SOI) substrates by template-assisted selective epitaxy (TASE) using metal organic chemical vapor deposition. Single crystal III–V (InAs, InGaAs, GaAs) nanostructures, such as nanowires, nanostructures containing constrictions, and cross junctions, as well as 3D stacked nanowires were directly obtained by epitaxial filling of lithographically defined oxide templates. The benefit of TASE is exemplified by the straightforward fabrication of nanoscale Hall structures as well as multiple gate field effect transistors (MuG-FETs) grown co-planar to the SOI layer. Hall measurements on InAs nanowire cross junctions revealed an electron mobility of 5400 cm{sup 2}/V s, while the alongsidemore » fabricated InAs MuG-FETs with ten 55 nm wide, 23 nm thick, and 390 nm long channels exhibit an on current of 660 μA/μm and a peak transconductance of 1.0 mS/μm at V{sub DS} = 0.5 V. These results demonstrate TASE as a promising fabrication approach for heterogeneous material integration on Si.« less

  8. Interfacing epitaxial oxides to gallium nitride

    NASA Astrophysics Data System (ADS)

    Losego, Mark Daniel

    Molecular beam epitaxy (MBE) is lauded for its ability to control thin film material structures at the atomic level. This precision of control can improve performance of microelectronic devices and cultivate the development of novel device structures. This thesis explores the utility of MBE for designing interfaces between oxide epilayers and the wide band gap semiconductor gallium nitride (GaN). The allure of wide gap semiconductor microelectronics (like GaN, 3.4 eV) is their ability to operate at higher frequencies, higher powers, and higher temperatures than current semiconductor platforms. Heterostructures between ferroelectric oxides and GaN are also of interest for studying the interaction between GaN's fixed polarization and the ferroelectric's switchable polarization. Two major obstacles to successful integration of oxides with GaN are: (1) interfacial trap states; and (2) small electronic band offsets across the oxide/nitride interface due to the semiconductor's large band gap. For this thesis, epitaxial rocksalt oxide interfacial layers (˜8 eV band gap) are investigated as possible solutions to overcoming the challenges facing oxide integration with GaN. The cubic close-packed structure of rocksalt oxides forms a suitable epitaxial interface with the hexagonal close-packed wurtzite lattice of GaN. Three rocksalt oxide compounds are investigated in this thesis: MgO, CaO, and YbO. All are found to have a (111) MO || (0001) GaN; <1 10> MO || <11 20> GaN epitaxial relationship. Development of the epilayer microstructure is dominated by the high-energy polar growth surface (drives 3D nucleation) and the interfacial symmetry, which permits the formation of twin boundaries. Using STEM, strain relief for these ionicly bonded epilayers is observed to occur through disorder within the initial monolayer of growth. All rocksalt oxides demonstrate chemical stability with GaN to >1000°C. Concurrent MBE deposition of MgO and CaO is known to form complete solid

  9. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  10. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  11. Selective epitaxial growth of zinc blende-derivative on wurtzite-derivative: the case of polytypic Cu2CdSn(S1-xSex)4 nanocrystals

    NASA Astrophysics Data System (ADS)

    Wu, Liang; Fan, Feng-Jia; Gong, Ming; Ge, Jin; Yu, Shu-Hong

    2014-02-01

    Polytypic nanocrystals with zinc blende (ZB) cores and wurtzite (WZ) arms, such as tetrapod and octopod nanocrystals, have been widely reported. However, polytypic nanocrystals with WZ cores and ZB arms or ends have been rarely reported. Here, we report a facile, solution-based approach to the synthesis of polytypic Cu2CdSn(S1-xSex)4 (CCTSSe) nanocrystals with ZB-derivative selectively engineered on (000+/-2)WZ facets of WZ-derived cores. Accordingly, two typical morphologies, i.e., bullet-like nanocrystals with a WZ-derivative core and one ZB-derivative end, and rugby ball-like nanocrystals with a WZ-derivative core and two ZB-derivative ends, can be selectively prepared. The epitaxial growth mechanism is confirmed by the time-dependent experiments. The ratio of rugby ball-like and bullet-like polytypic CCTSSe nanocrystals can be tuned through changing the amount of Cd precursor to adjust the reactivity difference between (0002)WZ and (000-2)WZ facets. These unique polytypic CCTSSe nanocrystals may find applications in energetic semiconducting materials for energy conversion in the future.Polytypic nanocrystals with zinc blende (ZB) cores and wurtzite (WZ) arms, such as tetrapod and octopod nanocrystals, have been widely reported. However, polytypic nanocrystals with WZ cores and ZB arms or ends have been rarely reported. Here, we report a facile, solution-based approach to the synthesis of polytypic Cu2CdSn(S1-xSex)4 (CCTSSe) nanocrystals with ZB-derivative selectively engineered on (000+/-2)WZ facets of WZ-derived cores. Accordingly, two typical morphologies, i.e., bullet-like nanocrystals with a WZ-derivative core and one ZB-derivative end, and rugby ball-like nanocrystals with a WZ-derivative core and two ZB-derivative ends, can be selectively prepared. The epitaxial growth mechanism is confirmed by the time-dependent experiments. The ratio of rugby ball-like and bullet-like polytypic CCTSSe nanocrystals can be tuned through changing the amount of Cd precursor

  12. Method of forming silicon structures with selectable optical characteristics

    NASA Technical Reports Server (NTRS)

    Fathauer, Robert W. (Inventor); Schowalter, Leo (Inventor)

    1993-01-01

    Silicon and metal are coevaporated onto a silicon substrate in a molecular beam epitaxy system with a larger than stoichiometric amount of silicon so as to epitaxially grow particles of metal silicide embedded in a matrix of single crystal epitaxially grown silicon. The particles interact with incident photons by resonant optical absorption at the surface plasmon resonance frequency. Controlling the substrate temperature and deposition rate and time allows the aspect ratio of the particles to be tailored to desired wavelength photons and polarizations. The plasmon energy may decay as excited charge carriers or phonons, either of which can be monitored to indicate the amount of incident radiation at the selected frequency and polarization.

  13. Adhesion Measurements of Epitaxially Lifted MBE-Grown ZnSe

    NASA Astrophysics Data System (ADS)

    Mavridi, N.; Zhu, J.; Eldose, N. M.; Prior, K. A.; Moug, R. T.

    2018-05-01

    ZnSe layers grown by molecular beam epitaxy (MBE), after processing by epitaxial lift-off, have been analyzed using fracture mechanics and thin-film interference to determine their adhesion properties on two different substrates, viz. ZnSe and glass, yielding adhesion energy of 270 ± 60 mJ m-2 and 34 ± 4 mJ m-2, respectively. These values are considerably larger than if only van der Waals forces were present and imply that adhesion arises from chemical bonding.

  14. Growth of strontium ruthenate films by hybrid molecular beam epitaxy

    DOE PAGES

    Marshall, Patrick B.; Kim, Honggyu; Ahadi, Kaveh; ...

    2017-09-01

    We report on the growth of epitaxial Sr 2RuO 4 films using a hybrid molecular beam epitaxy approach in which a volatile precursor containing RuO 4 is used to supply ruthenium and oxygen. The use of the precursor overcomes a number of issues encountered in traditional molecular beam epitaxy that uses elemental metal sources. Phase-pure, epitaxial thin films of Sr 2RuO 4 are obtained. At high substrate temperatures, growth proceeds in a layer-by-layer mode with intensity oscillations observed in reflection high-energy electron diffraction. Films are of high structural quality, as documented by x-ray diffraction, atomic force microscopy, and transmission electronmore » microscopy. In conclusion, the method should be suitable for the growth of other complex oxides containing ruthenium, opening up opportunities to investigate thin films that host rich exotic ground states.« less

  15. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  16. Interface magnetic anisotropy for monatomic layer-controlled Co/Ni epitaxial multilayers

    NASA Astrophysics Data System (ADS)

    Shioda, A.; Seki, T.; Shimada, J.; Takanashi, K.

    2015-05-01

    The magnetic properties for monatomic layer (ML)-controlled Co/Ni epitaxial multilayers were investigated in order to evaluate the interface magnetic anisotropy energy (Ks) between Ni and Co layers. The Co/Ni epitaxial multilayers were prepared on an Al2O3 (11-20) substrate with V/Au buffer layers. The value of Ks was definitely larger than that for the textured Co/Ni grown on a thermally oxidized Si substrate. We consider that the sharp interface for the epitaxial Co/Ni played a role to increase the value of Ks, which also enabled us to obtain perpendicular magnetization even for the 1 ML-Co/1 ML-Ni multilayer.

  17. Effect of gamma ray and high-energy oxygen ion radiation on electrical and optical properties of MCT epitaxial layers

    NASA Astrophysics Data System (ADS)

    Sitharaman, S.; Kanjilal, D.; Arora, S. K.; Ganguly, S. K.; Nagpal, Anjana; Gautam, Madhukar; Raman, R.; Kumar, Shiv; Prakash, V. R.; Gupta, S. C.

    1999-11-01

    Hg1-xCdxTe epitaxial layers grown from Te-rich solution have been exposed to Gamma ray radiation up to 650 Grey using Co60 and high energy oxygen radiation at 100Mev. The electrical resistivity, carrier density and Hall mobility values at 77K and IR transmission at 300K have been measured in n,p and compensated epilayers both before and after irradiation. These properties are very much affected by these radiations. In the uncompensated p-type epitaxial layers both types of radiation produced an increase in extrinsic carrier density and a corresponding decrease in Hall mobility. It is observed that both types of radiation have significant effect on the compensated layers and the degree of compensation is greatly reduced by the oxygen irradiation. The 100 Mev oxygen irradiation produced an apparent shift in the bandgap towards shorter wavelength and the absorption below the energy gap is reduced as shown by FTIR measurements, whereas Gamma ray radiation up to the dose 650 Grey did not have any effect on optical properties. These results show the ability of oxygen radiation to passivate the activity of residual impurities or defects.

  18. Gradual tilting of crystallographic orientation and configuration of dislocations in GaN selectively grown by vapour phase epitaxy methods

    PubMed

    Kuwan; Tsukamoto; Taki; Horibuchi; Oki; Kawaguchi; Shibata; Sawaki; Hiramatsu

    2000-01-01

    Cross-sectional transmission electron microscope (TEM) observation was performed for selectively grown gallium nitride (GaN) in order to examine the dependence of GaN microstructure on the growth conditions. The GaN films were grown by hydride vapour phase epitaxy (HVPE) or metalorganic vapour phase epitaxy (MOVPE) on GaN covered with a patterned mask. Thin foil specimens for TEM observation were prepared with focused ion beam (FIB) machining apparatus. It was demonstrated that the c-axis of GaN grown over the terrace of the mask tilts towards the centre of the terrace when the GaN is grown in a carrier gas of N2. The wider terrace results in a larger tilting angle if other growth conditions are identical. The tilting is attributed to 'horizontal dislocations' (HDs) generated during the overgrowth of GaN on the mask terrace. The HDs in HVPE-GaN have a semi-loop shape and are tangled with one another, while those in MOVPE-GaN are straight and lined up to form low-angle grain boundaries.

  19. Epitaxial solar cells fabrication

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1975-01-01

    Silicon epitaxy has been studied for the fabrication of solar cell structures, with the intent of optimizing efficiency while maintaining suitability for space applications. SiH2CL2 yielded good quality layers and junctions with reproducible impurity profiles. Diode characteristics and lifetimes in the epitaxial layers were investigated as a function of epitaxial growth conditions and doping profile, as was the effect of substrates and epitaxial post-gettering on lifetime. The pyrolytic decomposition of SiH4 was also used in the epitaxial formation of highly doped junction layers on bulk Si wafers. The effects of junction layer thickness and bulk background doping level on cell performance, in particular, open-circuit voltage, were investigated. The most successful solar cells were fabricated with SiH2 CL2 to grow p/n layers on n(+) substrates. The best performance was obtained from a p(+)/p/n/n(+) structure grown with an exponential grade in the n-base layer.

  20. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  1. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE PAGES

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.; ...

    2017-07-12

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  2. Epitaxial growth of hybrid nanostructures

    NASA Astrophysics Data System (ADS)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  3. Phase-field simulations of GaN growth by selective area epitaxy on complex mask geometries

    DOE PAGES

    Aagesen, Larry K.; Coltrin, Michael Elliott; Han, Jung; ...

    2015-05-15

    Three-dimensional phase-field simulations of GaN growth by selective area epitaxy were performed. Furthermore, this model includes a crystallographic-orientation-dependent deposition rate and arbitrarily complex mask geometries. The orientation-dependent deposition rate can be determined from experimental measurements of the relative growth rates of low-index crystallographic facets. Growth on various complex mask geometries was simulated on both c-plane and a-plane template layers. Agreement was observed between simulations and experiment, including complex phenomena occurring at the intersections between facets. The sources of the discrepancies between simulated and experimental morphologies were also investigated. We found that the model provides a route to optimize masks andmore » processing conditions during materials synthesis for solar cells, light-emitting diodes, and other electronic and opto-electronic applications.« less

  4. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    NASA Astrophysics Data System (ADS)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  5. Low Energy X-Ray and γ-Ray Detectors Fabricated on n-Type 4H-SiC Epitaxial Layer

    NASA Astrophysics Data System (ADS)

    Mandal, Krishna C.; Muzykov, Peter G.; Chaudhuri, Sandeep K.; Terry, J. Russell

    2013-08-01

    Schottky barrier diode (SBD) radiation detectors have been fabricated on n-type 4H-SiC epitaxial layers and evaluated for low energy x- and γ-rays detection. The detectors were found to be highly sensitive to soft x-rays in the 50 eV to few keV range and showed 2.1 % energy resolution for 59.6 keV gamma rays. The response to soft x-rays for these detectors was significantly higher than that of commercial off-the-shelf (COTS) SiC UV photodiodes. The devices have been characterized by current-voltage (I-V) measurements in the 94-700 K range, thermally stimulated current (TSC) spectroscopy, x-ray diffraction (XRD) rocking curve measurements, and defect delineating chemical etching. I-V characteristics of the detectors at 500 K showed low leakage current ( nA at 200 V) revealing a possibility of high temperature operation. The XRD rocking curve measurements revealed high quality of the epitaxial layer exhibiting a full width at half maximum (FWHM) of the rocking curve 3.6 arc sec. TSC studies in a wide range of temperature (94-550 K) revealed presence of relatively shallow levels ( 0.25 eV) in the epi bulk with a density 7×1013 cm-3 related to Al and B impurities and deeper levels located near the metal-semiconductor interface.

  6. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  7. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  8. Epitaxial growth of VO2 by periodic annealing

    NASA Astrophysics Data System (ADS)

    Tashman, J. W.; Lee, J. H.; Paik, H.; Moyer, J. A.; Misra, R.; Mundy, J. A.; Spila, T.; Merz, T. A.; Schubert, J.; Muller, D. A.; Schiffer, P.; Schlom, D. G.

    2014-02-01

    We report the growth of ultrathin VO2 films on rutile TiO2 (001) substrates via reactive molecular-beam epitaxy. The films were formed by the cyclical deposition of amorphous vanadium and its subsequent oxidation and transformation to VO2 via solid-phase epitaxy. Significant metal-insulator transitions were observed in films as thin as 2.3 nm, where a resistance change ΔR/R of 25 was measured. Low angle annular dark field scanning transmission electron microscopy was used in conjunction with electron energy loss spectroscopy to study the film/substrate interface and revealed the vanadium to be tetravalent and the titanium interdiffusion to be limited to 1.6 nm.

  9. Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth

    DOEpatents

    Forrest, Stephen R; Zimmerman, Jeramy; Lee, Kyusang; Shiu, Kuen-Ting

    2015-01-06

    There is disclosed methods of making photosensitive devices, such as flexible photovoltaic (PV) devices, through the use of epitaxial liftoff. Also described herein are methods of preparing flexible PV devices comprising a structure having a growth substrate, wherein the selective etching of protective layers yields a smooth growth substrate that us suitable for reuse.

  10. Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth

    DOEpatents

    Forrest, Stephen R; Zimmerman, Jeramy; Lee, Kyusang; Shiu, Kuen-Ting

    2013-02-19

    There is disclosed methods of making photosensitive devices, such as flexible photovoltaic (PV) devices, through the use of epitaxial liftoff. Also described herein are methods of preparing flexible PV devices comprising a structure having a growth substrate, wherein the selective etching of protective layers yields a smooth growth substrate that us suitable for reuse.

  11. Development of a hybrid molecular beam epitaxy deposition system for in situ surface x-ray studies

    NASA Astrophysics Data System (ADS)

    Andersen, Tassie K.; Cook, Seyoung; Benda, Erika; Hong, Hawoong; Marks, Laurence D.; Fong, Dillon D.

    2018-03-01

    A portable metalorganic gas delivery system designed and constructed to interface with an existing molecular beam epitaxy chamber at beamline 33-ID-E of the Advanced Photon Source is described. This system offers the ability to perform in situ X-ray measurements of complex oxide growth via hybrid molecular beam epitaxy. The performance of the hybrid molecular beam epitaxy system while delivering metalorganic source materials is described. The high-energy X-ray scattering capabilities of the hybrid molecular beam epitaxy system are demonstrated both on oxide films grown solely from the metalorganic source and ABO3 oxide perovskites containing elements from both the metalorganic source and a traditional effusion cell.

  12. Selected Growth of Cubic and Hexagonal GaN Epitaxial Films on Polar MgO(111)

    NASA Astrophysics Data System (ADS)

    Lazarov, V. K.; Zimmerman, J.; Cheung, S. H.; Li, L.; Weinert, M.; Gajdardziska-Josifovska, M.

    2005-06-01

    Selected molecular beam epitaxy of zinc blende (111) or wurtzite (0001) GaN films on polar MgO(111) is achieved depending on whether N or Ga is deposited first. The cubic stacking is enabled by nitrogen-induced polar surface stabilization, which yields a metallic MgO(111)-(1×1)-ON surface. High-resolution transmission electron microscopy and density functional theory studies indicate that the atomically abrupt semiconducting GaN(111)/MgO(111) interface has a Mg-O-N-Ga stacking, where the N atom is bonded to O at a top site. This specific atomic arrangement at the interface allows the cubic stacking to more effectively screen the substrate and film electric dipole moment than the hexagonal stacking, thus stabilizing the zinc blende phase even though the wurtzite phase is the ground state in the bulk.

  13. Chemical beam epitaxy for high efficiency photovoltaic devices

    NASA Technical Reports Server (NTRS)

    Bensaoula, A.; Freundlich, A.; Vilela, M. F.; Medelci, N.; Renaud, P.

    1994-01-01

    InP-based multijunction tandem solar cells show great promise for the conversion efficiency (eta) and high radiation resistance. InP and its related ternary and quanternary compound semiconductors such as InGaAs and InGaAsP offer desirable combinations for energy bandgap values which are very suitable for multijunction tandem solar cell applications. The monolithically integrated InP/In(0.53)Ga(0.47)As tandem solar cells are expected to reach efficiencies above 30 percent. Wanlass, et.al., have reported AMO efficiencies as high as 20.1% for two terminal cells fabricated using atmospheric-pressure metalorganic vapor phase epitaxy (APMOVPE). The main limitations in their technique are first related to the degradation of the intercell ohmic contact (IOC), in this case the In(0.53)Ga(0.47)As tunnel junction during the growth of the top InP subcell structure, and second to the current matching, often limited by the In(0.53)Ga(0.47)As bottom subcell. Chemical beam epitaxy (CBE) has been shown to allow the growth of high quality materials with reproducible complex compositional and doping profiles. The main advantage of CBE compared to metalorganic chemical vapor deposition (MOCVD), the most popular technique for InP-based photovoltaic device fabrication, is the ability to grow high purity epilayers at much lower temperatures (450 C - 530 C). In a recent report it was shown that cost-wise CBE is a breakthrough technology for photovoltaic (PV) solar energy progress in the energy conversion efficiency of InP-based solar cells fabricated using chemical beam epitaxy. This communication summarizes our recent results on PV devices and demonstrates the strength of this new technology.

  14. Pure wurtzite GaP nanowires grown on zincblende GaP substrates by selective area vapor liquid solid epitaxy

    NASA Astrophysics Data System (ADS)

    Halder, Nripendra N.; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-11-01

    We report on the growth of single phase wurtzite (WZ) GaP nanowires (NWs) on GaP (111) B substrates by metal organic molecular beam epitaxy following the selective area vapor-liquid-solid (SA-VLS) approach. During the SA-VLS process, precursors are supplied directly to the NW sidewalls, and the short diffusion length of gallium (or its precursors) does not significantly limit axial growth. Transmission electron microscopy (TEM) images reveal that no stacking faults are present along a 600 nm long NW. The lattice constants of the pure WZ GaP obtained from the TEM images agree with values determined previously by x-ray diffraction from non-pure NW ensembles.

  15. Pure wurtzite GaP nanowires grown on zincblende GaP substrates by selective area vapor liquid solid epitaxy.

    PubMed

    Halder, Nripendra N; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-11-17

    We report on the growth of single phase wurtzite (WZ) GaP nanowires (NWs) on GaP (111) B substrates by metal organic molecular beam epitaxy following the selective area vapor-liquid-solid (SA-VLS) approach. During the SA-VLS process, precursors are supplied directly to the NW sidewalls, and the short diffusion length of gallium (or its precursors) does not significantly limit axial growth. Transmission electron microscopy (TEM) images reveal that no stacking faults are present along a 600 nm long NW. The lattice constants of the pure WZ GaP obtained from the TEM images agree with values determined previously by x-ray diffraction from non-pure NW ensembles.

  16. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  17. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  18. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1982-04-20

    goenv.o -,y la)ers were YIG (yttrium iron garnet ) films grown by liquid phase epitaxy w:* ( LPE ) on gadolinium gallium garnet (GGG) substrates. Magnetic...containing three epitaxial layers. In addition to the MSW work oil garnets , LPE of lithium ferrite and hexagonal fertites was studied. A substituted lead...of a stripline. The other layers are epitaxial films , generally YIG (yttrium iron garnet ) with magnetic properties adjusted by suitable modifications

  19. Big-data reflection high energy electron diffraction analysis for understanding epitaxial film growth processes.

    PubMed

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P; Kalinin, Sergei V

    2014-10-28

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED images, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the data set are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of a RHEED image sequence. This approach is illustrated for growth of La(x)Ca(1-x)MnO(3) films grown on etched (001) SrTiO(3) substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the asymmetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.

  20. Facet-selective nucleation and conformal epitaxy of Ge shells on Si nanowires

    DOE PAGES

    Nguyen, Binh -Minh; Swartzentruber, Brian; Ro, Yun Goo; ...

    2015-10-08

    Knowledge of nanoscale heteroepitaxy is continually evolving as advances in material synthesis reveal new mechanisms that have not been theoretically predicted and are different than what is known about planar structures. In addition to a wide range of potential applications, core/shell nanowire structures offer a useful template to investigate heteroepitaxy at the atomistic scale. We show that the growth of a Ge shell on a Si core can be tuned from the theoretically predicted island growth mode to a conformal, crystalline, and smooth shell by careful adjustment of growth parameters in a narrow growth window that has not been exploredmore » before. In the latter growth mode, Ge adatoms preferentially nucleate islands on the {113} facets of the Si core, which outgrow over the {220} facets. Islands on the low-energy {111} facets appear to have a nucleation delay compared to the {113} islands; however, they eventually coalesce to form a crystalline conformal shell. As a result, synthesis of epitaxial and conformal Si/Ge/Si core/multishell structures enables us to fabricate unique cylindrical ring nanowire field-effect transistors, which we demonstrate to have steeper on/off characteristics than conventional core/shell nanowire transistors.« less

  1. Single-Crystal Antimonene Films Prepared by Molecular Beam Epitaxy: Selective Growth and Contact Resistance Reduction of the 2D Material Heterostructure.

    PubMed

    Chen, Hsuan-An; Sun, Hsu; Wu, Chong-Rong; Wang, Yu-Xuan; Lee, Po-Hsiang; Pao, Chun-Wei; Lin, Shih-Yen

    2018-05-02

    Single-crystal antimonene flakes are observed on sapphire substrates after the postgrowth annealing procedure of amorphous antimony (Sb) droplets prepared by using molecular beam epitaxy at room temperature. The large wetting angles of the antimonene flakes to the sapphire substrate suggest that an alternate substrate should be adopted to obtain a continuous antimonene film. By using a bilayer MoS 2 /sapphire sample as the new substrate, a continuous and single-crystal antimonene film is obtained at a low growth temperature of 200 °C. The results are consistent with the theoretical prediction of the lower interface energy between antimonene and MoS 2 . The different interface energies of antimonene between sapphire and MoS 2 surfaces lead to the selective growth of antimonene only atop MoS 2 surfaces on a prepatterned MoS 2 /sapphire substrate. With similar sheet resistance to graphene, it is possible to use antimonene as the contact metal of 2D material devices. Compared with Au/Ti electrodes, a specific contact resistance reduction up to 3 orders of magnitude is observed by using the multilayer antimonene as the contact metal to MoS 2 . The lower contact resistance, the lower growth temperature, and the preferential growth to other 2D materials have made antimonene a promising candidate as the contact metal for 2D material devices.

  2. Method of depositing epitaxial layers on a substrate

    DOEpatents

    Goyal, Amit

    2003-12-30

    An epitaxial article and method for forming the same includes a substrate having a textured surface, and an electrochemically deposited substantially single orientation epitaxial layer disposed on and in contact with the textured surface. The epitaxial article can include an electromagnetically active layer and an epitaxial buffer layer. The electromagnetically active layer and epitaxial buffer layer can also be deposited electrochemically.

  3. Development of a hybrid molecular beam epitaxy deposition system for in situ surface x-ray studies

    DOE PAGES

    Andersen, Tassie K.; Cook, Seyoung; Benda, Erika; ...

    2018-03-08

    A portable metalorganic gas delivery system designed and constructed to interface with an existing molecular beam epitaxy chamber at beamline 33-ID-E of the Advanced Photon Source is described. This system offers the ability to perform in situ X-ray measurements of complex oxide growth via hybrid molecular beam epitaxy. The performance of the hybrid molecular beam epitaxy system while delivering metalorganic source materials is described. In conclusion, the high-energy X-ray scattering capabilities of the hybrid molecular beam epitaxy system are demonstrated both on oxide films grown solely from the metalorganic source and ABO 3 oxide perovskites containing elements from both themore » metalorganic source and a traditional effusion cell.« less

  4. Development of a hybrid molecular beam epitaxy deposition system for in situ surface x-ray studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Andersen, Tassie K.; Cook, Seyoung; Benda, Erika

    A portable metalorganic gas delivery system designed and constructed to interface with an existing molecular beam epitaxy chamber at beamline 33-ID-E of the Advanced Photon Source is described. This system offers the ability to perform in situ X-ray measurements of complex oxide growth via hybrid molecular beam epitaxy. The performance of the hybrid molecular beam epitaxy system while delivering metalorganic source materials is described. In conclusion, the high-energy X-ray scattering capabilities of the hybrid molecular beam epitaxy system are demonstrated both on oxide films grown solely from the metalorganic source and ABO 3 oxide perovskites containing elements from both themore » metalorganic source and a traditional effusion cell.« less

  5. The effect of Ga pre-deposition on Si (111) surface for InAs nanowire selective area hetero-epitaxy

    NASA Astrophysics Data System (ADS)

    Liu, Ziyang; Merckling, Clement; Rooyackers, Rita; Franquet, Alexis; Richard, Olivier; Bender, Hugo; Vila, María; Rubio-Zuazo, Juan; Castro, Germán R.; Collaert, Nadine; Thean, Aaron; Vandervorst, Wilfried; Heyns, Marc

    2018-04-01

    Vertical InAs nanowires (NWs) grown on a Si substrate are promising building-blocks for next generation vertical gate-all-around transistor fabrication. We investigate the initial stage of InAs NW selective area epitaxy (SAE) on a patterned Si (111) substrate with a focus on the interfacial structures. The direct epitaxy of InAs NWs on a clean Si (111) surface is found to be challenging. The yield of vertical InAs NWs is low, as the SAE is accompanied by high proportions of empty holes, inclined NWs, and irregular blocks. In contrast, it is improved when the NW contains gallium, and the yield of vertical InxGa1-xAs NWs increased with higher Ga content. Meanwhile, unintentional Ga surface contamination on a patterned Si substrate induces high yield vertical InAs NW SAE, which is attributed to a GaAs-like seeding layer formed at the InAs/Si interface. The role of Ga played in the III-V NW nucleation on Si is further discussed. It stabilizes the B-polarity on a non-polar Si (111) surface and enhances the nucleation. Therefore, gallium incorporation on a Si surface is identified as an important enabler for vertical InAs NW growth. A new method for high yield (>99%) vertical InAs NW SAE on Si using an InGaAs nucleation layer is proposed based on this study.

  6. Epitaxial thin films

    DOEpatents

    Hunt, Andrew Tye; Deshpande, Girish; Lin, Wen-Yi; Jan, Tzyy-Jiuan

    2006-04-25

    Epitatial thin films for use as buffer layers for high temperature superconductors, electrolytes in solid oxide fuel cells (SOFC), gas separation membranes or dielectric material in electronic devices, are disclosed. By using CCVD, CACVD or any other suitable deposition process, epitaxial films having pore-free, ideal grain boundaries, and dense structure can be formed. Several different types of materials are disclosed for use as buffer layers in high temperature superconductors. In addition, the use of epitaxial thin films for electrolytes and electrode formation in SOFCs results in densification for pore-free and ideal gain boundary/interface microstructure. Gas separation membranes for the production of oxygen and hydrogen are also disclosed. These semipermeable membranes are formed by high-quality, dense, gas-tight, pinhole free sub-micro scale layers of mixed-conducting oxides on porous ceramic substrates. Epitaxial thin films as dielectric material in capacitors are also taught herein. Capacitors are utilized according to their capacitance values which are dependent on their physical structure and dielectric permittivity. The epitaxial thin films of the current invention form low-loss dielectric layers with extremely high permittivity. This high permittivity allows for the formation of capacitors that can have their capacitance adjusted by applying a DC bias between their electrodes.

  7. Dynamics of reflection high-energy electron diffraction intensity oscillations during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, M. Y.; Haas, T. W.

    1990-06-01

    We have observed intensity oscillations in reflection high-energy electron diffraction during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates. These oscillations only exist over a narrow range of growth conditions and their behavior is strongly dependent on the migration kinetics of group III and the molecular dissociative reaction of group V elements.

  8. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    NASA Astrophysics Data System (ADS)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  9. Epitaxial bain paths and metastable phases of tetragonal iron and manganese

    NASA Astrophysics Data System (ADS)

    Ma, Hong

    2002-04-01

    Epitaxial Bain paths and metastable states of tetragonal Fe and Mn have been studied by first-principles total-energy calculations using the full-potential linearized-augmented-plane-wave method. The main accomplishments are as follows. (1) We have performed the first ever EBP calculation of tetragonal antiferromagnetic (AF) Mn showing that when grown epitaxially on Pd(001), the AF Mn film is strained gamma-Mn, but grown on V(001) the film is strained delta-Mn, which could not be determined using the available crystallographic and elastic data because they were obtained from unstrained states. (2) We have calculated the EBP's of Fe at zero pressure in four magnetic phases, i.e., ferromagnetic (FM), nonmagnetic (NM), type-I antiferromagnetic (AF1), and type-II antiferromagnetic (AF2), which show that the AF2 is the phase of the bulk of epitaxial Fe films on Cu(001) and it is unstable for [110] and [010] shears in the (001) plane, but it can be stabilized by epitaxy on Cu(001). (3)We have unified and simplified the theory of elasticity under hydrostatic pressure p at zero temperature using the Gibbs free energy G, rather than the energy E. The minima of G, but not E, with respect to strains at the equilibrium structure give the zero temperature elastic constants; the stability of a phase at p is then determined by the same Born stability conditions used at p = 0 when applied to the elastic constants from G. The EBP's of FM Fe under hydrostatic pressure show that the bcc phase exists up to 1500 kbar. A bct phase is shown to come into existence at 1300 kbar and becomes stable at 1825 kbar and above. (4) Based on this dissertation research five papers have been published in refereed journals.

  10. Impact of P/In flux ratio and epilayer thickness on faceting for nanoscale selective area growth of InP by molecular beam epitaxy.

    PubMed

    Fahed, M; Desplanque, L; Coinon, C; Troadec, D; Wallart, X

    2015-07-24

    The impact of the P/In flux ratio and the deposited thickness on the faceting of InP nanostructures selectively grown by molecular beam epitaxy (MBE) is reported. Homoepitaxial growth of InP is performed inside 200 nm wide stripe openings oriented either along a [110] or [1-10] azimuth in a 10 nm thick SiO2 film deposited on an InP(001) substrate. When varying the P/In flux ratio, no major shape differences are observed for [1-10]-oriented apertures. On the other hand, the InP nanostructure cross sections strongly evolve for [110]-oriented apertures for which (111)B facets are more prominent and (001) ones shrink for large P/In flux ratio values. These results show that the growth conditions allow tailoring the nanocrystal shape. They are discussed in the framework of the equilibrium crystal shape model using existing theoretical calculations of the surface energies of different low-index InP surfaces as a function of the phosphorus chemical potential, directly related to the P/In ratio. Experimental observations strongly suggest that the relative (111)A surface energy is probably smaller than the calculated value. We also discuss the evolution of the nanostructure shape with the InP-deposited thickness.

  11. Selective p-i-n photodetector with resonant tunneling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mil'shtein, S.; Wilson, S.; Pillai, A.

    2014-05-15

    There are different fundamental approaches to designing selective photodetectors, where the selectivity of optical spectra is produced by a filtering aperture. However, manufacturing of multilayered filters is cumbersome for epitaxial technology. In the current study, we offer a novel approach in design of selective photodetectors. A p-i-n photodetector with superlattices in top n-layer becomes transparent for photons where hν<>E{sub ng}+E{sub n1}, the light will be absorbed, simultaneously producing high energy (hot) electrons. The designed thickness of the structure does prevent thermal relaxation of high energy electrons by thus enhancing the selectivity of the photodetector. However the most important selectivity elementmore » is the resonant tunneling which does happen only for electrons occupying E{sub n1} energy levels as they transfer to levels E{sub i1}aligned under reverse biasing.« less

  12. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  13. Correlation between surface reconstruction and polytypism in InAs nanowire selective area epitaxy

    NASA Astrophysics Data System (ADS)

    Liu, Ziyang; Merckling, Clement; Rooyackers, Rita; Richard, Olivier; Bender, Hugo; Mols, Yves; Vila, María; Rubio-Zuazo, Juan; Castro, Germán R.; Collaert, Nadine; Thean, Aaron; Vandervorst, Wilfried; Heyns, Marc

    2017-12-01

    The mechanism of widely observed intermixing of wurtzite and zinc-blende crystal structures in InAs nanowire (NW) grown by selective area epitaxy (SAE) is studied. We demonstrate that the crystal structure in InAs NW grown by SAE can be controlled using basic growth parameters, and wurtzitelike InAs NWs are achieved. We link the polytypic InAs NWs SAE to the reconstruction of the growth front (111)B surface. Surface reconstruction study of InAs (111) substrate and the following homoepitaxy experiment suggest that (111) planar defect nucleation is related to the (1 × 1) reconstruction of InAs (111)B surface. In order to reveal it more clearly, a model is presented to correlate growth temperature and arsenic partial pressure with InAs NW crystal structure. This model considers the transition between (1 × 1) and (2 × 2) surface reconstructions in the frame of adatom atoms adsorption/desorption, and the polytypism is thus linked to reconstruction quantitatively. The experimental data fit well with the model, which highly suggests that surface reconstruction plays an important role in the polytypism phenomenon in InAs NWs SAE.

  14. Self-assembly of vertically aligned quantum ring-dot structure by Multiple Droplet Epitaxy

    NASA Astrophysics Data System (ADS)

    Elborg, Martin; Noda, Takeshi; Mano, Takaaki; Kuroda, Takashi; Yao, Yuanzhao; Sakuma, Yoshiki; Sakoda, Kazuaki

    2017-11-01

    We successfully grow vertically aligned quantum ring-dot structures by Multiple Droplet Epitaxy technique. The growth is achieved by depositing GaAs quantum rings in a first droplet epitaxy process which are subsequently covered by a thin AlGaAs barrier. In a second droplet epitaxy process, Ga droplets preferentially position in the center indentation of the ring as well as attached to the edge of the ring in [ 1 1 bar 0 ] direction. By designing the ring geometry, full selectivity for the center position of the ring is achieved where we crystallize the droplets into quantum dots. The geometry of the ring and dot as well as barrier layer can be controlled in separate growth steps. This technique offers great potential for creating complex quantum molecules for novel quantum information technologies.

  15. Deposition of Cubic AlN Films on MgO (100) Substrates by Laser Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Mo, Z. K.; Yang, W. J.; Weng, Y.; Fu, Y. C.; He, H.; Shen, X. M.

    2017-12-01

    Cubic AlN (c-AlN) films were deposited on MgO (100) substrates by laser molecular beam epitaxy (LMBE) technique. The crystal structure and surface morphology of deposited films with various laser pulse energy and substrate temperature were investigated. The results indicate that c-AlN films exhibit the (200) preferred orientation, showing a good epitaxial relationship with the substrate. The surface roughness of c-AlN films increases when the laser pulse energy and substrate temperature increase. The film grown at laser pulse energy of 150 mJ and substrate temperature of 700 °C shows the best crystalline quality and relatively smooth surface.

  16. Temperature stability of Al(x)Ga(1-x)As (x = 0-1) thermal oxide masks for selective-area epitaxy

    NASA Technical Reports Server (NTRS)

    Jones, Stephen H.; Lau, Kei May; Pouch, John J.

    1988-01-01

    The use of thermal oxides of Al(x)Ga(1-x)As (x = 0-1) as masking materials for selective-area epitaxy by a organometallic chemical-vapor deposition has been investigated. It was found that the thermal oxide of GaAs is only applicable for low growth temperatures (less than or equal to 600 C), and the addition of aluminum significantly improves the thermal stability of the oxide. The oxide of Al(0.4)Ga(0.6)As is suitable for high-temperature deposition, but there are criteria for the thickness and oxidation temperature. Thin layers of AlAs oxidized at 475 C are excellent masks and allow precise thickness control. Promising results of selective-area deposition using these aluminum oxide masks have been obtained. High-quality single crystal grew in mask openings uniformly surrounded by dense and fine-grain polycrystalline deposits, producing a planar duplication of the original pattern.

  17. Growth optimization toward low angle incidence microchannel epitaxy of GaN using ammonia-based metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Abe, Ryota; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-08-01

    Growth optimization toward low angle incidence microchannel epitaxy (LAIMCE) of GaN was accomplished using ammonia-based metal-organic molecular beam epitaxy (NH3-based MOMBE). Firstly, the [NH3]/[trimethylgallium (TMG)] ratio (R) dependence of selective GaN growth was studied. The growth temperature was set at 860 °C while R was varied from 5 to 200 with precursors being supplied parallel to the openings cut in the SiO2 mask. The selectivity of the growth was superior for all R, because TMG and NH3 preferably decompose on the GaN film. The formation of {112¯0}GaN or {112¯2}GaN sidewalls and (0001)GaN surface were observed by the change in R. The intersurface diffusion of Ga adatoms was also changed by a change in R. Ga adatoms migrate from the sidewalls to the top at R lower than 50, whereas the migration weakened with R greater than 100. Secondly, LAIMCE was optimized by changing the growth temperature. Consequently, 6 μm wide lateral overgrowth in the direction of precursor incidence was achieved with no pit after etching by H3PO4, which was six times wider than that in the opposite direction.

  18. van der Waals epitaxy of CdTe thin film on graphene

    NASA Astrophysics Data System (ADS)

    Mohanty, Dibyajyoti; Xie, Weiyu; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Zhang, Shengbai; Wang, Gwo-Ching; Lu, Toh-Ming; Bhat, Ishwara B.

    2016-10-01

    van der Waals epitaxy (vdWE) facilitates the epitaxial growth of materials having a large lattice mismatch with the substrate. Although vdWE of two-dimensional (2D) materials on 2D materials have been extensively studied, the vdWE for three-dimensional (3D) materials on 2D substrates remains a challenge. It is perceived that a 2D substrate passes little information to dictate the 3D growth. In this article, we demonstrated the vdWE growth of the CdTe(111) thin film on a graphene buffered SiO2/Si substrate using metalorganic chemical vapor deposition technique, despite a 46% large lattice mismatch between CdTe and graphene and a symmetry change from cubic to hexagonal. Our CdTe films produce a very narrow X-ray rocking curve, and the X-ray pole figure analysis showed 12 CdTe (111) peaks at a chi angle of 70°. This was attributed to two sets of parallel epitaxy of CdTe on graphene with a 30° relative orientation giving rise to a 12-fold symmetry in the pole figure. First-principles calculations reveal that, despite the relatively small energy differences, the graphene buffer layer does pass epitaxial information to CdTe as the parallel epitaxy, obtained in the experiment, is energetically favored. The work paves a way for the growth of high quality CdTe film on a large area as well as on the amorphous substrates.

  19. Optimal doping control of magnetic semiconductors via subsurfactant epitaxy.

    PubMed

    Zeng, Changgan; Zhang, Zhenyu; van Benthem, Klaus; Chisholm, Matthew F; Weitering, Hanno H

    2008-02-15

    "Subsurfactant epitaxy" is established as a conceptually new approach for introducing manganese as a magnetic dopant into germanium. A kinetic pathway is devised in which the subsurface interstitial sites on Ge(100) are first selectively populated with Mn, while lateral diffusion and clustering on or underneath the surface are effectively suppressed. Subsequent Ge deposition as a capping layer produces a novel surfactantlike phenomenon as the interstitial Mn atoms float towards newly defined subsurface sites at the growth front. Furthermore, the Mn atoms that failed to float upwards are uniformly distributed within the Ge capping layer. The resulting doping levels of order 0.25 at. % would normally be considered too low for ferromagnetic ordering, but the Curie temperature exceeds room temperature by a comfortable margin. Subsurfactant epitaxy thus enables superior dopant control in magnetic semiconductors.

  20. Functional Epitaxial Oxide Devices

    DTIC Science & Technology

    2010-04-12

    complex oxides , epitaxial growth, antennas, varactors 16. SECURITY CLASSIFICATION OF: REPORT U b. ABSTRACT u c. THIS PAGE u 17. LIMITATION OF...Technical Report DATES COVERED (From - To) 17-06-2008-31-12-2009 4. TITLE AND SUBTITLE Functional Epitaxial Oxide Devices 5a. CONTRACT NUMBER NA...This research effort addresses the need for high performance radio frequency (RF) components, specifically varactors and miniaturized, high gain

  1. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  2. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  3. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  4. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  5. Tunneling Spectroscopy Studies of Epitaxial Graphene on Silicon Carbide(0001) and Its Interfaces

    NASA Astrophysics Data System (ADS)

    Sandin, Andreas Axel Tomas

    graphene. STS, STM along with DFT calculations are used to determine the interface location of Sodium, SiC-bufferlayer or bufferlayer-graphene intercalation. In this thesis, STM, and STS are used to study the interactions of paramagnetic FePc molecules with epitaxial graphene. The molecules, FePc, is found to interact with the graphene substrate where STM images show substrate induced orientation of FePc densely packed square lattice structure. At sub-monolayer coverages, FePc form a molecular gas at room temperature suggesting a low diffusion barrier on the graphene lattice. The substrate interaction is probed by STS and show an abnormally low LUMO energy that suggest strong electronic coupling between graphene and FePc. DFT calculations support the experimental observations and predict a spin-dependent molecule-graphene hybridization close to the Fermi energy in unoccupied states. For majority spins, DFT demonstrates the Dirac cone splits and a delocalized hybrid state is found in the band gap. For minority spin the Dirac cone is intact with energy of Dirac point empty. In addition, a novel method of improving UHV graphene growth on SiC(0001) is presented. During growth the SiC surface is exposed to atomic hydrogen which allows selective etching of Si over Carbon. This result in more uniform non-thermal formation of the buffer layer with many fewer defects and thus leads to nearly pit-free and defect-free thermal graphene layers.

  6. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  7. Decoupling of epitaxial graphene via gold intercalation probed by dispersive Raman spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pillai, P. B., E-mail: p.pillai@sheffield.ac.uk, E-mail: m.desouza@sheffield.ac.uk; DeSouza, M., E-mail: p.pillai@sheffield.ac.uk, E-mail: m.desouza@sheffield.ac.uk; Narula, R.

    Signatures of a superlattice structure composed of a quasi periodic arrangement of atomic gold clusters below an epitaxied graphene (EG) layer are examined using dispersive Raman spectroscopy. The gold-graphene system exhibits a laser excitation energy dependant red shift of the 2D mode as compared to pristine epitaxial graphene. The phonon dispersions in both the systems are mapped using the experimentally observed Raman signatures and a third-nearest neighbour tight binding electronic band structure model. Our results reveal that the observed excitation dependent Raman red shift in gold EG primarily arise from the modifications of the phonon dispersion in gold-graphene and showsmore » that the extent of decoupling of graphene from the underlying SiC substrate can be monitored from the dispersive nature of the Raman 2D modes. The intercalated gold atoms restore the phonon band structure of epitaxial graphene towards free standing graphene.« less

  8. Phase transformation of molecular beam epitaxy-grown nanometer-thick Gd₂O₃ and Y₂O₃ on GaN.

    PubMed

    Chang, Wen-Hsin; Wu, Shao-Yun; Lee, Chih-Hsun; Lai, Te-Yang; Lee, Yi-Jun; Chang, Pen; Hsu, Chia-Hung; Huang, Tsung-Shiew; Kwo, J Raynien; Hong, Minghwei

    2013-02-01

    High quality nanometer-thick Gd₂O₃ and Y₂O₃ (rare-earth oxide, R₂O₃) films have been epitaxially grown on GaN (0001) substrate by molecular beam epitaxy (MBE). The R₂O₃ epi-layers exhibit remarkable thermal stability at 1100 °C, uniformity, and highly structural perfection. Structural investigation was carried out by in situ reflection high energy electron diffraction (RHEED) and ex-situ X-ray diffraction (XRD) with synchrotron radiation. In the initial stage of epitaxial growth, the R₂O₃ layers have a hexagonal phase with the epitaxial relationship of R₂O₃ (0001)(H)<1120>(H)//GaN(0001)(H)<1120>(H). With the increase in R₂O₃ film thickness, the structure of the R₂O₃ films changes from single domain hexagonal phase to monoclinic phase with six different rotational domains, following the R₂O₃ (201)(M)[020](M)//GaN(0001)(H)<1120>(H) orientational relationship. The structural details and fingerprints of hexagonal and monoclinic phase Gd₂O₃ films have also been examined by using electron energy loss spectroscopy (EELS). Approximate 3-4 nm is the critical thickness for the structural phase transition depending on the composing rare earth element.

  9. Epitaxial Electrodeposition of Methylammonium Lead Iodide Perovskites

    DOE PAGES

    Koza, Jakub A.; Hill, James C.; Demster, Ashley C.; ...

    2015-12-16

    Here, an electrochemical/chemical route is introduced to deposit both textured and epitaxial films of methylammonium lead iodide (MAPbI 3) perovskites. The perovskite films are produced by chemical conversion of lead dioxide films that have been electrodeposited as either textured or epitaxial films onto [111]-textured Au and [100] and [111] single-crystal Au substrates. The epitaxial relationships for the MAPbI 3 films are MAPbI 3(001)[010]∥PbO 2(100)<001> and MAPbI 3(110)[111]∥PbO 2(100)<001> regardless of the Au substrate orientation, because the in-plane order of the converted film is controlled by the epitaxial PbO 2 precursor film. The textured and epitaxial MAPbI 3 films both havemore » trap densities lower than and photoluminescence intensities higher than those of polycrystalline films produced by spin coating.« less

  10. In-plane InSb nanowires grown by selective area molecular beam epitaxy on semi-insulating substrate.

    PubMed

    Desplanque, L; Bucamp, A; Troadec, D; Patriarche, G; Wallart, X

    2018-07-27

    In-plane InSb nanostructures are grown on a semi-insulating GaAs substrate using an AlGaSb buffer layer covered with a patterned SiO 2 mask and selective area molecular beam epitaxy. The shape of these nanostructures is defined by the aperture in the silicon dioxide layer used as a selective mask thanks to the use of an atomic hydrogen flux during the growth. Transmission electron microscopy reveals that the mismatch accommodation between InSb and GaAs is obtained in two steps via the formation of an array of misfit dislocations both at the AlGaSb buffer layer/GaAs and at the InSb nanostructures/AlGaSb interfaces. Several micron long in-plane nanowires (NWs) can be achieved as well as more complex nanostructures such as branched NWs. The electrical properties of the material are investigated by the characterization of an InSb NW MOSFET down to 77 K. The resulting room temperature field effect mobility values are comparable with those reported on back-gated MOSFETs based on InSb NWs obtained by vapor liquid solid growth or electrodeposition. This growth method paves the way to the fabrication of complex InSb-based nanostructures.

  11. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  12. Ultrathin IBAD MgO films for epitaxial growth on amorphous substrates and sub-50 nm membranes

    DOE PAGES

    Wang, Siming; Antonakos, C.; Bordel, C.; ...

    2016-11-07

    Here, a fabrication process has been developed for high energy ion beam assisted deposition (IBAD) biaxial texturing of ultrathin (~1 nm) MgO films, using a high ion-to-atom ratio and post-deposition annealing instead of a homoepitaxial MgO layer. These films serve as the seed layer for epitaxial growth of materials on amorphous substrates such as electron/X-ray transparent membranes or nanocalorimetry devices. Stress measurements and atomic force microscopy of the MgO films reveal decreased stress and surface roughness, while X-ray diffraction of epitaxial overlayers demonstrates the improved crystal quality of films grown epitaxially on IBAD MgO. The process simplifies the synthesis ofmore » IBAD MgO, fundamentally solves the “wrinkle” issue induced by the homoepitaxial layer on sub-50 nm membranes, and enables studies of epitaxial materials in electron/X-ray transmission and nanocalorimetry.« less

  13. Influence of nanoscale faceting on the tunneling properties of near broken gap InAs/AlGaSb heterojunctions grown by selective area epitaxy.

    PubMed

    Desplanque, L; Fahed, M; Han, X; Chinni, V K; Troadec, D; Chauvat, M-P; Ruterana, P; Wallart, X

    2014-11-21

    We report on the selective area molecular beam epitaxy of InAs/AlGaSb heterostructures on a GaSb (001) substrate. This method is used to realize Esaki tunnel diodes with a tunneling area down to 50 nm × 50 nm. The impact of the size reduction on the peak current density of the diode is investigated, and we show how the formation of the InAs facets can deeply affect the band-to-band tunneling properties of the heterostructure. This phenomenon is explained by the surface-dependent incorporation of Si dopant during growth.

  14. Effect of the energy of bombarding electrons on the conductivity of n-4H-SiC (CVD) epitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovski, V. V., E-mail: kozlovski@physics.spbstu.ru; Lebedev, A. A.; Strel’chuk, A. M.

    The electrical characteristics of epitaxial layers of n-4H-SiC (CVD) irradiated with 0.9 and 3.5MeV electrons are studied. It is shown that the donor removal rate becomes nearly four times higher as the energy of impinging electrons increases by a factor of 4, although the formation cross section of primary radiation defects (Frenkel pairs in the carbon sublattice) responsible for conductivity compensation of the material is almost energy independent in this range. It is assumed that the reason for the observed differences is the influence exerted by primary knocked-out atoms. First, cascade processes start to manifest themselves with increasing energy ofmore » primary knocked-out atoms. Second, the average distance between genetically related Frenkel pairs grows, and, as a consequence, the fraction of defects that do not recombine under irradiation becomes larger. The recombination radius of Frenkel pairs in the carbon sublattice is estimated and the possible charge state of the recombining components is assessed.« less

  15. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    NASA Astrophysics Data System (ADS)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  16. Suppression of planar defects in the molecular beam epitaxy of GaAs/ErAs/GaAs heterostructures

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Ferrer, Domingo A.; Bank, Seth R.

    2011-08-01

    We present a growth method that overcomes the mismatch in rotational symmetry of ErAs and conventional III-V semiconductors, allowing for epitaxially integrated semimetal/semiconductor heterostructures. Transmission electron microscopy and reflection high-energy electron diffraction reveal defect-free overgrowth of ErAs layers, consisting of >2× the total amount of ErAs that can be embedded with conventional layer-by-layer growth methods. We utilize epitaxial ErAs nanoparticles, overgrown with GaAs, as a seed to grow full films of ErAs. Growth proceeds by diffusion of erbium atoms through the GaAs spacer, which remains registered to the underlying substrate, preventing planar defect formation during subsequent GaAs growth. This growth method is promising for metal/semiconductor heterostructures that serve as embedded Ohmic contacts to epitaxial layers and epitaxially integrated active plasmonic devices.

  17. Epitaxial growth of silicon for layer transfer

    DOEpatents

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  18. Epitaxial CoSi2 on MOS devices

    DOEpatents

    Lim, Chong Wee; Shin, Chan Soo; Petrov, Ivan Georgiev; Greene, Joseph E.

    2005-01-25

    An Si.sub.x N.sub.y or SiO.sub.x N.sub.y liner is formed on a MOS device. Cobalt is then deposited and reacts to form an epitaxial CoSi.sub.2 layer underneath the liner. The CoSi.sub.2 layer may be formed through a solid phase epitaxy or reactive deposition epitaxy salicide process. In addition to high quality epitaxial CoSi.sub.2 layers, the liner formed during the invention can protect device portions during etching processes used to form device contacts. The liner can act as an etch stop layer to prevent excessive removal of the shallow trench isolation, and protect against excessive loss of the CoSi.sub.2 layer.

  19. Epitaxial titanium diboride films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Zhai, H. Y.; Christen, H. M.; Cantoni, C.; Goyal, A.; Lowndes, D. H.

    2002-03-01

    Epitaxial, smooth, and low-resistivity titanium diboride (TiB2) films have been grown on SiC substrates using pulsed-laser deposition. Combined studies from ex situ x-ray diffraction and in situ reflection high-energy electron diffraction indicate the crystallographic alignment between TiB2 and SiC both parallel and normal to the substrate. Atomic force microscopy and scanning electron microscopy studies show that these epitaxial films have a smooth surface, and the resistivity of these films is comparable to that of single-crystal TiB2. Growth of these films is motivated by this material's structural and chemical similarity and lattice match to the newly discovered superconductor MgB2, both to gain further insight into the physical mechanisms of diborides in general and, more specifically, as a component of MgB2-based thin-film heterostructures.

  20. Resistivity analysis of epitaxially grown, doped semiconductors using energy dependent secondary ion mass spectroscopy

    NASA Astrophysics Data System (ADS)

    Burnham, Shawn D.; Thomas, Edward W.; Doolittle, W. Alan

    2006-12-01

    A characterization technique is discussed that allows quantitative optimization of doping in epitaxially grown semiconductors. This technique uses relative changes in the host atom secondary ion (HASI) energy distribution from secondary ion mass spectroscopy (SIMS) to indicate relative changes in conductivity of the material. Since SIMS is a destructive process due to sputtering through a film, a depth profile of the energy distribution of sputtered HASIs in a matrix will contain information on the conductivity of the layers of the film as a function of depth. This process is demonstrated with Mg-doped GaN, with the Mg flux slowly increased through the film. Three distinct regions of conductivity were observed: one with Mg concentration high enough to cause compensation and thus high resistivity, a second with moderate Mg concentration and low resistivity, and a third with little to no Mg doping, causing high resistivity due to the lack of free carriers. During SIMS analysis of the first region, the energy distributions of sputtered Ga HASIs were fairly uniform and unchanging for a Mg flux above the saturation, or compensation, limit. For the second region, the Ga HASI energy distributions shifted and went through a region of inconsistent energy distributions for Mg flux slightly below the critical flux for saturation, or compensation. Finally, for the third region, the Ga HASI energy distributions then settled back into another fairly unchanging, uniform pattern. These three distinct regions were analyzed further through growth of Mg-doped step profiles and bulk growth of material at representative Mg fluxes. The materials grown at the two unchanging, uniform regions of the energy distributions yielded highly resistive material due to too high of Mg concentration and low to no Mg concentration, respectively. However, material grown in the transient energy distribution region with Mg concentration between that of the two highly resistive regions yielded low

  1. Sharp chemical interface in epitaxial Fe{sub 3}O{sub 4} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gálvez, S.; Rubio-Zuazo, J., E-mail: rubio@esrf.fr; Salas-Colera, E.

    Chemically sharp interface was obtained on single phase single oriented Fe{sub 3}O{sub 4} (001) thin film (7 nm) grown on NiO (001) substrate using oxygen assisted molecular beam epitaxy. Refinement of the atomic structure, stoichiometry, and oxygen vacancies were determined by soft and hard x-ray photoelectron spectroscopy, low energy electron diffraction and synchrotron based X-ray reflectivity, and X-ray diffraction. Our results demonstrate an epitaxial growth of the magnetite layer, perfect iron stoichiometry, absence of oxygen vacancies, and the existence of an intermixing free interface. Consistent magnetic and electrical characterizations are also shown.

  2. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  3. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  4. Band engineered epitaxial 3D GaN-InGaN core-shell rod arrays as an advanced photoanode for visible-light-driven water splitting.

    PubMed

    Caccamo, Lorenzo; Hartmann, Jana; Fàbrega, Cristian; Estradé, Sonia; Lilienkamp, Gerhard; Prades, Joan Daniel; Hoffmann, Martin W G; Ledig, Johannes; Wagner, Alexander; Wang, Xue; Lopez-Conesa, Lluis; Peiró, Francesca; Rebled, José Manuel; Wehmann, Hergo-Heinrich; Daum, Winfried; Shen, Hao; Waag, Andreas

    2014-02-26

    3D single-crystalline, well-aligned GaN-InGaN rod arrays are fabricated by selective area growth (SAG) metal-organic vapor phase epitaxy (MOVPE) for visible-light water splitting. Epitaxial InGaN layer grows successfully on 3D GaN rods to minimize defects within the GaN-InGaN heterojunctions. The indium concentration (In ∼ 0.30 ± 0.04) is rather homogeneous in InGaN shells along the radial and longitudinal directions. The growing strategy allows us to tune the band gap of the InGaN layer in order to match the visible absorption with the solar spectrum as well as to align the semiconductor bands close to the water redox potentials to achieve high efficiency. The relation between structure, surface, and photoelectrochemical property of GaN-InGaN is explored by transmission electron microscopy (TEM), electron energy loss spectroscopy (EELS), Auger electron spectroscopy (AES), current-voltage, and open circuit potential (OCP) measurements. The epitaxial GaN-InGaN interface, pseudomorphic InGaN thin films, homogeneous and suitable indium concentration and defined surface orientation are properties demanded for systematic study and efficient photoanodes based on III-nitride heterojunctions.

  5. Growth and characterization of epitaxial silver indium diselenide

    NASA Astrophysics Data System (ADS)

    Pena Martin, Pamela

    Photovoltaics (solar cells) are a key player in the renewable energy frontier, and will become increasingly important as their cost per watt continues to drop, especially if fossil fuel costs increase. One particularly promising photovoltaic technology is based on chalcopyrite-structure semiconductors. Within the chalcopyrite compounds the highest efficiency thin film solar cell absorber material to date is Cu(In,Ga)Se2 (CIGS). While current efficiency records are over 21% for single-junction cells, there is still room for improvement. Replacing some of the Cu with Ag has been shown to be beneficial in CIGS devices. However, the Ag- containing chalcopyrites are still relatively unknown in terms of their growth mechanism, energetics, and surface atomic and electronic properties. These are best inferred through study of epitaxial films, yet they have little mention in literature and have not been the subject of a detailed study. This work describes the growth of epitaxial AgInSe2 (AIS) on GaAs substrates, studying the morphology, structure, and surface properties to understand how growth takes place. It also seeks to experimentally determine the surface electronic and atomic structure at the atomic scale to gain insight into the part of the material that forms the heterojunction that collects photon energy in the device. Finally, this work seeks to compare and contrast these findings with what is known about CIGS to determine where similarities and, more importantly, the differences may lie. This study has found that single phase tetragonal AIS can be epitaxially grown on GaAs, as illustrated by x-ray diffraction (XRD), transmission electron microscope (TEM), and surface morphology data. Like CIGS, the close packed polar (112) planes have the lowest energy. The morphology points to a difference in step dynamics, leading to less faceted, straight edged island shapes compared to CIGS. Epitaxial temperature as a function of growth direction shows a different trend in

  6. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    PubMed

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  7. Epitaxial Garnet Investigation; Technical Report, Foreign Travel

    DTIC Science & Technology

    1988-10-25

    Pure yttrium iron garnet (YIG) films are grown on GGG substrates by * liquid phase epitaxy ( LPE ) in production lots. In addition, one or two...epitaxial garnet films for Philips Dr. Krumme * Dr. Doormann 3-6-87 Thomson - CSF Research Center, Orsay, France Dr. J. P. Castera Dr. P. L. Meunier all...research physicists who grow, characterize, Dr. J. Y. Beguin or use epitaxial garnet films for Thomson CSF. Dr. J. L. Rolland Dr. P. Friez The

  8. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  9. Selective area growth of Bernal bilayer epitaxial graphene on 4H-SiC (0001) substrate by electron-beam irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dharmaraj, P.; Jeganathan, K., E-mail: kjeganathan@yahoo.com; Parthiban, S.

    We report selective area growth of large area homogeneous Bernal stacked bilayer epitaxial graphene (BLEG) on 4H-SiC (0001) substrate by electron-beam irradiation. Sublimation of Si occurs by energetic electron irradiations on SiC surface via breaking of Si–C bonds in the localized region, which allows the selective growth of graphene. Raman measurements ensure the formation of homogeneous BLEG with weak compressive strain of −0.08%. The carrier mobility of large area BLEG is ∼5100 cm{sup 2} V{sup −1} s{sup −1} with a sheet carrier density of 2.2 × 10{sup 13} cm{sup −2}. Current-voltage measurements reveal that BLEG on 4H-SiC forms a Schottky junction with an operation at mAmore » level. Our study reveals that the barrier height at the Schottky junction is low (∼0.58 eV) due to the Fermi-level pinning above the Dirac point.« less

  10. Infrared Photodiodes Made by Low Energy Ion Etching of Molecular Beam Epitaxy Grown Mercury-Cadmium Alloy

    NASA Astrophysics Data System (ADS)

    Yoo, Sung-Shik

    Ion etching was used to form junctions on the p-type (111)B Hg_{1-x}Cd_ {x}Te grown by Molecular Beam Epitaxy(MBE). When Hg_{1-x}Cd_{x}Te layers are etched by Ar ions at energies ranging between 300 and 450eV, the top Hg_{1 -x}Cd_{x}Te layer is converted to n-type. The converted region is electrically characterized as a defective n^+-region near the surface, and a low doped n^--region exist below the damaged region. The total thickness of the converted n-type layer was found to be considerable. These results suggest that the creation of the n-type layer is due to the filling of mercury vacancies by mercury atoms displaced by the Ar ion irradiation on the surface. For the performance of the resulting photodiodes on MBE grown (111)B Hg_{1-x}Cd _{x}Te using this technique, the dynamic resistances at 80K are one order of magnitude less than those of junctions made on Liquid Phase Epitaxially and Bulk grown Hg_{1 -x}Cd_{x}Te. The ion etching technique was compared with ion implantation technique by fabricating diodes on the same MBE grown (111)B Hg _{1-x}Cd_{x}Te layers. The result of the comparison illustrates that ion etching technique is as good as ion implantation technique for the fabrication of Hg_{1-x}Cd _{x}Te photodiodes. Also it is believed that the performance of the diodes is limited by a relatively large density of twin defects usually found in MBE grown (111)B Hg_{1-x}Cd _{x}Te.

  11. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  12. Epitaxial thinning process

    NASA Technical Reports Server (NTRS)

    Siegel, C. M. (Inventor)

    1984-01-01

    A method is described for thinning an epitaxial layer of a wafer that is to be used in producing diodes having a specified breakdown voltage and which also facilitates the thinning process. Current is passed through the epitaxial layer, by connecting a current source between the substrate of the wafer and an electrolyte in which the wafer is immersed. When the wafer is initially immersed, the voltage across the wafer initially drops and then rises at a steep rate. When light is applied to the wafer the voltage drops, and when the light is interrupted the voltage rises again. These changes in voltage, each indicate the breakdown voltage of a Schottky diode that could be prepared from the wafer at that time. The epitaxial layer is thinned by continuing to apply current through the wafer while it is immersed and light is applied, to form an oxide film and when the oxide film is thick the wafer can then be cleaned of oxide and the testing and thinning continued. Uninterrupted thinning can be achieved by first forming an oxide film, and then using an electrolyte that dissolves the oxide about as fast as it is being formed, to limit the thickness of the oxide layer.

  13. Hetero-Orientation Epitaxial Growth of TiO2 Splats on Polycrystalline TiO2 Substrate

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-Jun

    2018-05-01

    In the present study, the effect of titania (TiO2) substrate grain size and orientation on the epitaxial growth of TiO2 splat was investigated. Interestingly, the splat presented comparable grain size with that of substrate, indicating the hereditary feature of grain size. In addition, hetero- and homo-orientation epitaxial growth was observed at deposition temperatures below 400 °C and above 500 °C, respectively. The preferential growth of high-energy (001) face was also observed at low deposition temperatures (≤ 400 °C), which was found to result from dynamic nonequilibrium effect during the thermal spray deposition. Moreover, thermal spray deposition paves the way for a new approach to prepare high-energy (001) facets of TiO2 crystals.

  14. Molecular Beam Epitaxial Growth of Iron Nitrides on Zinc-Blende Gallium Nitride(001)

    NASA Astrophysics Data System (ADS)

    Pak, Jeongihm; Lin, Wenzhi; Chinchore, Abhijit; Wang, Kangkang; Smith, Arthur R.

    2008-03-01

    Iron nitrides are attractive materials for their high magnetic moments, corrosion, and oxidation resistance. We present the successful epitaxial growth of iron nitride on zinc-blende gallium nitride (c-GaN) in order to develop a novel magnetic transition metal nitride/semiconductor system. First, GaN is grown on magnesium oxide (MgO) substrates having (001) orientation using rf N2-plasma molecular beam epitaxy. Then we grow FeN at substrate temperature of ˜ 210 ^oC up to a thickness of ˜ 10.5 nm. In-situ reflection high-energy electron diffraction (RHEED) is used to monitor the surface during growth. Initial results suggest that the epitaxial relationship is FeN[001] || GaN[001] and FeN[100] || GaN[100]. Work in progress is to investigate the surface using in-situ scanning tunneling microscopy (STM) to reveal the surface structure at atomic scale, as well as to explore more Fe-rich magnetic phases.

  15. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    PubMed

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  16. Ordered arrays of multiferroic epitaxial nanostructures.

    PubMed

    Vrejoiu, Ionela; Morelli, Alessio; Biggemann, Daniel; Pippel, Eckhard

    2011-01-01

    Epitaxial heterostructures combining ferroelectric (FE) and ferromagnetic (FiM) oxides are a possible route to explore coupling mechanisms between the two independent order parameters, polarization and magnetization of the component phases. We report on the fabrication and properties of arrays of hybrid epitaxial nanostructures of FiM NiFe(2)O(4) (NFO) and FE PbZr(0.52)Ti(0.48)O(3) or PbZr(0.2)Ti(0.8)O(3), with large range order and lateral dimensions from 200 nm to 1 micron. The structures were fabricated by pulsed-laser deposition. High resolution transmission electron microscopy and high angle annular dark-field scanning transmission electron microscopy were employed to investigate the microstructure and the epitaxial growth of the structures. Room temperature ferroelectric and ferrimagnetic domains of the heterostructures were imaged by piezoresponse force microscopy (PFM) and magnetic force microscopy (MFM), respectively. PFM and MFM investigations proved that the hybrid epitaxial nanostructures show ferroelectric and magnetic order at room temperature. Dielectric effects occurring after repeated switching of the polarization in large planar capacitors, comprising ferrimagnetic NiFe2O4 dots embedded in ferroelectric PbZr0.52Ti0.48O3 matrix, were studied. These hybrid multiferroic structures with clean and well defined epitaxial interfaces hold promise for reliable investigations of magnetoelectric coupling between the ferrimagnetic / magnetostrictive and ferroelectric / piezoelectric phases.

  17. Growth and characterization of III-V epitaxial films

    NASA Astrophysics Data System (ADS)

    Tripathi, A.; Adamski, J.

    1991-11-01

    Investigations were conducted on the growth of epitaxial layers using an Organo Metallic Chemical Vapor Deposition technique of selected III-V materials which are potentially useful for photonics and microwave devices. RL/ERX's MOCVD machine was leak checked for safety. The whole gas handling plumbing system has been leak checked and the problems were reported to the manufacturer, CVD Equipment Corporation of Dear Park, NY. CVD Equipment Corporation is making an effort to correct these problems and also supply the part according to our redesign specifications. One of the main emphasis during this contract period was understanding the operating procedure and writing an operating manual for this MOCVD machine. To study the dynamic fluid flow in the vertical reactor of this MOCVD machine, an experimental apparatus was designed, tested, and put together. This study gave very important information on the turbulent gas flow patterns in this vertical reactor. The turbulent flow affects the epitaxial growth adversely. This study will also help in redesigning a vertical reactor so that the turbulent gas flow can be eliminated.

  18. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    PubMed

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  19. Epitaxial Al{sub x}Ga{sub 1–x}As:Mg alloys with different conductivity types

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Lenshin, A. S.; Arsentiev, I. N., E-mail: arsentyev@mail.ioffe.ru

    The structural, optical, and energy properties of epitaxial Al{sub x}Ga{sub 1–x}As:Mg/GaAs(100) heterostructures at different levels of doping with Mg are studied by high-resolution X-ray diffraction analysis and Raman and photoluminescence spectroscopies. It is shown that, by choosing the technological conditions of Al{sub x}Ga{sub 1–x}As:Mg alloy production, it is possible to achieve not only different conductivity types, but also substantially different charge-carrier concentrations in an epitaxial film.

  20. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  1. GaN/NbN epitaxial semiconductor/superconductor heterostructures.

    PubMed

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D Scott; Nepal, Neeraj; Downey, Brian P; Muller, David A; Xing, Huili G; Meyer, David J; Jena, Debdeep

    2018-03-07

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors-silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor-an electronic gain element-to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance-a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  2. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1983-12-01

    operating at frequencies between 1 GHz and 25 GHz. 2. Investigate LPE growth of lithium ferrite with the objective of preparing low-loss, large area films ...and hexagonal ferrites when the series of contracts began in 1975. At that time the liquid phase epitaxy method for growth of magnetic garnet films ...principal interest in epitaxial garnets was for magnetic bubble memories. For this Uapplication the films had to be about 3pm thick with low defect density

  3. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1980-02-28

    shaped LPE garnet samples with 31.5um film thickness. We were informed that initial evalu- ation showed acceptably low insertion loss and that the material...frequencies above 25 GHz. c. Furnish up to eight (8) liquid phase epitaxy yttrium iron garnet films to RADC/EEA for testing and evaluation. These tasks...a "Method for Controlling Resonance Frequency of Yttrium Iron Garnet Films ." A patent, "Epitaxial Growth of M-type Hexagonal Ferrite Films on Spinel

  4. Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors

    DTIC Science & Technology

    2011-01-01

    Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors MATTHEW REASON,1 BRIAN R. BENNETT,1,2 RICHARD MAGNO,1 and J. BRAD BOOS1 1...2010 to 00-00-2010 4. TITLE AND SUBTITLE Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors 5a. CONTRACT NUMBER 5b. GRANT...Prescribed by ANSI Std Z39-18 EXPERIMENTAL PROCEDURES The samples reported in this work were grown by solid-source molecular - beam epitaxy (MBE) with

  5. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  6. Epitaxial solar-cell fabrication, phase 2

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1977-01-01

    Dichlorosilane (SiH2Cl2) was used as the silicon source material in all of the epitaxial growths. Both n/p/p(+) and p/n/n(+) structures were studied. Correlations were made between the measured profiles and the solar cell parameters, especially cell open-circuit voltage. It was found that in order to obtain consistently high open-circuit voltage, the epitaxial techniques used to grow the surface layer must be altered to obtain very abrupt doping profiles in the vicinity of the junction. With these techniques, it was possible to grow reproducibly both p/n/n(+) and n/p/p(+) solar cell structures having open-circuit voltages in the 610- to 630-mV range, with fill-factors in excess of 0.80 and AM-1 efficiencies of about 13%. Combinations and comparisons of epitaxial and diffused surface layers were also made. Using such surface layers, we found that the blue response of epitaxial cells could be improved, resulting in AM-1 short-circuit current densities of about 30 mA/cm sq. The best cells fabricated in this manner had AM-1 efficiency of 14.1%.

  7. The influence of selective chemical doping on clean, low-carrier density SiC epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Chuang, Chiashain; Yang, Yanfei; Huang, Lung-I.; Liang, Chi-Te; Elmquist, Randolph E.; National Institute of of Standards; Technology Collaboration; National Taiwan University, Department of Physics Collaboration

    2015-03-01

    The charge-transfer effect of ambient air on magneto-transport in polymer-free SiC graphene was investigated. Interestingly, adsorption of atmospheric gas molecules on clean epitaxial graphene can reduce the carrier density to near charge neutrality, allowing observation of highly precise v = 2 quantum Hall plateaus. The atmospheric adsorbates were reproducibly removed and pure gases (N2, O2, CO2, H2O) were used to form new individual adsorbates on SiC graphene. Our experimental results (τt/τq ~ 2) support the theoretical predictions for the ratio of transport relaxation time τt to quantum lifetime τq in clean graphene. The analysis of Shubnikov-de Haas oscillations at intermediate doping levels indicates that the carrier scattering is reduced by water and oxygen so as to increase both the classical and quantum mobility. This study points to the key dopant gases in ambient air and also paves the way towards extremely precise quantized Hall resistance standards in epitaxial graphene systems with carrier density tuned by exposure to highly pure gases and vacuum annealing treatment. National Institute of Standard and Technology.

  8. Photoelectrochemistry of III-V epitaxial layers and nanowires for solar energy conversion

    NASA Astrophysics Data System (ADS)

    Parameshwaran, Vijay; Enck, Ryan; Chung, Roy; Kelley, Stephen; Sampath, Anand; Reed, Meredith; Xu, Xiaoqing; Clemens, Bruce

    2017-05-01

    III-V materials, which exhibit high absorption coefficients and charge carrier mobility, are ideal templates for solar energy conversion applications. This work describes the photoelectrochemistry research in several IIIV/electrolyte junctions as an enabler for device design for solar chemical reactions. By designing lattice-matched epitaxial growth of InGaP and GaP on GaAs and Si, respectively, extended depletion region electrodes achieve photovoltages which provide an additional boost to the underlying substrate photovoltage. The InGaP/GaAs and GaP/Si electrodes drive hydrogen evolution currents under aqueous conditions. By using nanowires of InN and InP under carefully controlled growth conditions, current and capacitance measurements are obtained to reveal the nature of the nanowire-electrolyte interface and how light is translated into photocurrent for InP and a photovoltage in InN. The materials system is expanded into the III-V nitride semiconductors, in which it is shown that varying the morphology of GaN on silicon yields insights to how the interface and light conversion is modulated as a basis for future designs. Current extensions of this work address growth and tuning of the III-V nitride electrodes with doping and polarization engineering for efficient coupling to solar-driven chemical reactions, and rapid-throughput methods for III-V nanomaterials synthesis in this materials space.

  9. Electrical properties of solid-solution SrZrxTi1-xO3 grown epitaxially on Ge by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Moghadam, Reza; Ahmadi, Kamyar; Xiao, Z.-Y.; Hong, Xia; Ngai, Joseph

    The epitaxial growth of crystalline oxides on semiconductors enables new functionalities to be introduced to semiconductor devices. In particular, dielectric and ferroelectric oxides grown epitaxially on semiconductors provide a pathway to realize ultra-low power logic and memory devices. Here we present electrical characterization of solid-solution SrZrxTi1-xO3 grown epitaxially on Ge through oxide molecular beam epitaxy. SrZrxTi1-xO3 is of particular interest since the band offset with respect to the semiconductor can be tuned through Zr content x. We will present current-voltage, capacitance-voltage and piezoforce microscopy characterization of SrZrxTi1-xO3 -Ge heterojunctions. In particular, we will discuss how the electrical characteristics of SrZrxTi1-xO3 -Ge heterojunctions evolve with respect to composition, annealing and film thickness.

  10. Method utilizing laser-processing for the growth of epitaxial p-n junctions

    DOEpatents

    Young, R.T.; Narayan, J.; Wood, R.F.

    1979-11-23

    This invention is a new method for the formation of epitaxial p-n junctions in silicon. The method is relatively simple, rapid, and reliable. It produces doped epitaxial layers which are of well-controlled thickness and whose electrical properties are satisfactory. An illustrative form of the method comprises co-depositing a selected dopant and amorphous silicon on a crystalline silicon substrate to form a doped layer of amorphous silicon thereon. This layer then is irradiated with at least one laser pulse to generate a melt front which moves through the layer, into the silicon body to a depth effecting melting of virginal silicon, and back to the surface of the layer. The method may be conducted with dopants (e.g., boron and phosphorus) whose distribution coefficients approximate unity.

  11. In situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) at temperatures below 150 C

    NASA Technical Reports Server (NTRS)

    Nieh, C. W.; Lin, T. L.

    1989-01-01

    This paper reports an in situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) from a 10-nm-thick amorphous mixture of Co and Si in the ratio 1:2, which was formed by codeposition of Co and Si near room temperature. Nuclei of CoSi2 are observed in the as-deposited film. These nuclei are epitaxial and extend through the whole film thickness. Upon annealing, these columnar epitaxial CoSi2 grains grow laterally at temperatures as low as 50 C. The kinetics of this lateral epitaxial growth was studied at temperatures between 50 and 150 C. The activation energy of the growth process is 0.8 + or - 0.1 eV.

  12. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    NASA Astrophysics Data System (ADS)

    Yang, Y.-B.; Seewald, L.; Mohanty, Dibyajyoti; Wang, Y.; Zhang, L. H.; Kisslinger, K.; Xie, Weiyu; Shi, J.; Bhat, I.; Zhang, Shengbai; Lu, T.-M.; Wang, G.-C.

    2017-08-01

    Single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (∼21-55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [1 bar2 1 bar]CdTe//[ 1 bar100]CdS//[010]mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. The use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.

  13. Modeling and characterization of double resonant tunneling diodes for application as energy selective contacts in hot carrier solar cells

    NASA Astrophysics Data System (ADS)

    Jehl, Zacharie; Suchet, Daniel; Julian, Anatole; Bernard, Cyril; Miyashita, Naoya; Gibelli, Francois; Okada, Yoshitaka; Guillemolles, Jean-Francois

    2017-02-01

    Double resonant tunneling barriers are considered for an application as energy selective contacts in hot carrier solar cells. Experimental symmetric and asymmetric double resonant tunneling barriers are realized by molecular beam epitaxy and characterized by temperature dependent current-voltage measurements. The negative differential resistance signal is enhanced for asymmetric heterostructures, and remains unchanged between low- and room-temperatures. Within Tsu-Esaki description of the tunnel current, this observation can be explained by the voltage dependence of the tunnel transmission amplitude, which presents a resonance under finite bias for asymmetric structures. This effect is notably discussed with respect to series resistance. Different parameters related to the electronic transmission of the structure and the influence of these parameters on the current voltage characteristic are investigated, bringing insights on critical processes to optimize in double resonant tunneling barriers applied to hot carrier solar cells.

  14. Epitaxial corundum-VTiO 3 thin films grown on c-cut sapphire

    DOE PAGES

    Kramer, Alan; Sutter, Eli; Su, Dong; ...

    2017-04-12

    Corundum structured VTiO 3 has been grown as epitaxial films on c-cut sapphire by laser molecular beam epitaxy. The properties of the film were characterized by reflection high energy electron diffraction, x-ray diffraction, transmission electron microscopy, and photoemission spectroscopy. All the structural probes clearly indicate the corundum structure of the film. X-ray photoemission spectroscopy (XPS) indicates that V is in a 3+ charge state implying that Ti also needs to adopt a 3+ charge state in order for the corundum structure to form. However, the Ti-2p XPS, while clearly broadened to the lower binding energy side compared to TiO 2,more » also exhibits a pronounced Ti 4+ component. This is tentatively assigned to a final state effect in XPS measurements and not as the true cation state. In conclusion, the valence band spectra show occupation of 3d metal states that resemble more closely those of Ti 2O 3 than for V 2O 3, suggesting that only the a1g molecular states are occupied.« less

  15. Structural Properties of Alternate Monatomic Layered [Fe/Co]n Epitaxial Films on MgO Substrate

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Saki, Yoshinobu; Kawasaki, Shohei; Doi, Masaaki; Sahashi, Masashi

    2008-06-01

    Body-centered-cubic (bcc) Fe50Co50 material is reported to show a high bulk spin scattering coefficient on current perpendicular to plane-giant magneto-resistance (CPP-GMR) system. But the origin of that phenomenon does not make sure yet. We prepared artificially alternate monatomic layered (AML) [Fe/Co] 41 MLs epitaxial films (Ts: 75, 250 °C) by monatomic deposition method and investigated the topology of AML [Fe/Co]n epitaxial films on MgO substrate with different orientation (001), (011) by the scanning tunnel microscopy (STM) and reflection high energy electron diffraction (RHEED), which we could confirm Frank-van der Merwe (FM) growth mode for AML [Fe/Co]n on MgO(001) and Volmer-Weber (VW) growth mode for that on Mg(011). The roughness of surface, Ra (0.20 nm) of AML [Fe/Co] 41 MLs epitaxial film grown at 75 °C on MgO(001) is smaller than that (0.46 nm) of AML [Fe/Co] grown at 250 °C on MgO(001), which has the large terraces of over 50 nm (Ra: 0.17 nm), even though there are some valleys between large terraces. Moreover we confirmed the structural properties of trilayered epitaxial films with AML [Fe/Co]n (Ra: 0.18 nm) and Fe50Co50 alloy epitaxial film on Au electrode by RHEED before confirming the characteristics of CPP-GMR devices.

  16. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    NASA Astrophysics Data System (ADS)

    Pergolesi, Daniele; Roddatis, Vladimir; Fabbri, Emiliana; Schneider, Christof W.; Lippert, Thomas; Traversa, Enrico; Kilner, John A.

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  17. Energy dissipation channels affecting photoluminescence from resonantly excited Er{sup 3+} ions doped in epitaxial ZnO host films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akazawa, Housei, E-mail: akazawa.housei@lab.ntt.co.jp; Shinojima, Hiroyuki

    2015-04-21

    We identified prerequisite conditions to obtain intense photoluminescence at 1.54 μm from Er{sup 3+} ions doped in ZnO host crystals. The epitaxial ZnO:Er films were grown on sapphire C-plane substrates by sputtering, and Er{sup 3+} ions were resonantly excited at a wavelength of 532 nm between energy levels of {sup 4}I{sub 15/2} and {sup 2}H{sub 11/2}. There is a threshold deposition temperature between 500 and 550 °C, above which epitaxial ZnO films become free of miss-oriented domains. In this case, Er{sup 3+} ions are outside ZnO crystallites, having the same c-axis lattice parameters as those of undoped ZnO crystals. The improved crystallinity wasmore » correlated with enhanced emissions peaking at 1538 nm. Further elevating the deposition temperature up to 650 °C generated cracks in ZnO crystals to relax the lattice mismatch strains, and the emission intensities from cracked regions were three times as large as those from smooth regions. These results can be consistently explained if we assume that emission-active Er{sup 3+} ions are those existing at grain boundaries and bonded to single-crystalline ZnO crystallites. In contrast, ZnO:Er films deposited on a ZnO buffer layer exhibited very weak emissions because of their degraded crystallinity when most Er{sup 3+} ions were accommodated into ZnO crystals. Optimizing the degree of oxidization of ZnO crystals is another important factor because reduced films suffer from non-radiative decay of excited states. The optimum Er content to obtain intense emissions was between 2 and 4 at. %. When 4 at. % was exceeded, the emission intensity was severely attenuated because of concentration quenching as well as the degradation in crystallinity. Precipitation of Er{sub 2}O{sub 3} crystals was clearly observed at 22 at. % for films deposited above 650 °C. Minimizing the number of defects and impurities in ZnO crystals prevents energy dissipation, thus exclusively utilizing the excitation energy to

  18. Delayed Shutters For Dual-Beam Molecular Epitaxy

    NASA Technical Reports Server (NTRS)

    Grunthaner, Frank J.; Liu, John L.; Hancock, Bruce

    1989-01-01

    System of shutters for dual-molecular-beam epitaxy apparatus delays start of one beam with respect to another. Used in pulsed-beam equipment for deposition of low-dislocation layers of InAs on GaAs substrates, system delays application of arsenic beam with respect to indium beam to assure proper stoichiometric proportions on newly forming InAs surface. Reflectance high-energy electron diffraction (RHEED) instrument used to monitor condition of evolving surface of deposit. RHEED signal used to time pulsing of molecular beams in way that minimizes density of defects and holds lattice constant of InAs to that of GaAs substrate.

  19. Applying CLIPS to control of molecular beam epitaxy processing

    NASA Technical Reports Server (NTRS)

    Rabeau, Arthur A.; Bensaoula, Abdelhak; Jamison, Keith D.; Horton, Charles; Ignatiev, Alex; Glover, John R.

    1990-01-01

    A key element of U.S. industrial competitiveness in the 1990's will be the exploitation of advanced technologies which involve low-volume, high-profit manufacturing. The demands of such manufacture limit participation to a few major entities in the U.S. and elsewhere, and offset the lower manufacturing costs of other countries which have, for example, captured much of the consumer electronics market. One such technology is thin-film epitaxy, a technology which encompasses several techniques such as Molecular Beam Epitaxy (MBE), Chemical Beam Epitaxy (CBE), and Vapor-Phase Epitaxy (VPE). Molecular Beam Epitaxy (MBE) is a technology for creating a variety of electronic and electro-optical materials. Compared to standard microelectronic production techniques (including gaseous diffusion, ion implantation, and chemical vapor deposition), MBE is much more exact, though much slower. Although newer than the standard technologies, MBE is the technology of choice for fabrication of ultraprecise materials for cutting-edge microelectronic devices and for research into the properties of new materials.

  20. Optical effects induced by epitaxial tension in lead titanate

    NASA Astrophysics Data System (ADS)

    Dejneka, A.; Chvostova, D.; Pacherova, O.; Kocourek, T.; Jelinek, M.; Tyunina, M.

    2018-01-01

    Single-crystal-type epitaxial films of perovskite oxide ferroelectrics are attractive for integrated photonic applications because of the remarkable optical properties and effects in ferroelectrics. The properties of the films may be influenced by epitaxial strain arising from the film-substrate mismatch. Here, dramatic strain-induced changes of the absorption and refraction are experimentally detected by spectroscopic ellipsometry in epitaxial films of archetypical ferroelectric PbTiO3. Comparison of the properties of a tensile-strained film with those of reference films and crystals reveals that epitaxial tension produces blueshifts of the primary above-bandgap absorption peaks by 1 eV and a decrease in the refractive index by 0.5 in the transparent spectral range. The obtained quadratic electrooptic and effective elastooptic coefficients exceed the bulk values by orders of magnitude. The experimental observations prove that epitaxy is a powerful tool for engineering unprecedented optical properties that may enable future photonics innovations.

  1. Deposition of hydrogenated silicon clusters for efficient epitaxial growth.

    PubMed

    Le, Ha-Linh Thi; Jardali, Fatme; Vach, Holger

    2018-06-13

    Epitaxial silicon thin films grown from the deposition of plasma-born hydrogenated silicon nanoparticles using plasma-enhanced chemical vapor deposition have widely been investigated due to their potential applications in photovoltaic and nanoelectronic device technologies. However, the optimal experimental conditions and the underlying growth mechanisms leading to the high-speed epitaxial growth of thin silicon films from hydrogenated silicon nanoparticles remain far from being understood. In the present work, extensive molecular dynamics simulations were performed to study the epitaxial growth of silicon thin films resulting from the deposition of plasma-born hydrogenated silicon clusters at low substrate temperatures under realistic reactor conditions. There is strong evidence that a temporary phase transition of the substrate area around the cluster impact site to the liquid state is necessary for the epitaxial growth to take place. We predict further that a non-normal incidence angle for the cluster impact significantly facilitates the epitaxial growth of thin crystalline silicon films.

  2. Epitaxy of mercury-based high temperature superconducting films on oxide and metal substrates

    NASA Astrophysics Data System (ADS)

    Xie, Yi-Yuan

    High-Tc superconducting (HTS) cuprates are highly anisotropic thus epitaxy along certain crystalline directions is essential to realize high-current-carrying capability at temperatures above 77 K. Hg-based HTS (Hg-HTS) cuprates have the record-high Tc up to 135 K, therefore are of great interest for fundamental research and practical applications. However, growth Of epitaxial Hg-HTS films is extremely difficult in conventional thermal-reaction process since Hg is highly volatile. Motivated by this, we first developed a cation-exchange process for growing epitaxial Hg-HTS films, which involves two steps: selection of precursor matrices with predesigned structure and composition followed by cation-exchange processing. New materials are formed via "atomic surgery" on an existing structure rather than thermal reaction among amorphous oxides in conventional process, thus the structural features of the precursor are inherited by the new material. Using epitaxial Tl-based HTS films as precursor and annealing them in Hg-vapor, epitaxial Hg-HTS films with superior quality have been obtained. This success encouraged us to develop epitaxy on metal tapes for coated conductors and On large-area wafers for electronic devices. For coated conductors, we addressed three critical issues: epitaxy on metal substrates, enhancement of in-field Jcs and scale-up in thickness and length. First, using a fabrication scheme that combines two processes: cation-exchange and fast-temperature-ramping-annealing, epitaxial HgBa2CaCu2O6+delta films were grown on rolling-assisted-biaxially-textured Ni substrates buffered with CeO 2/YSZ/CeO2 for the first time. We fabricated HgBa2CaCu 2O6+delta coated conductors with Tc = 122--124 K and self-field Jc > 1 x 106A/cm2 at 92 K which are record-high for HTS coated conductors. Second, we demonstrated improved in-field J cs via overdoping HgBa2CaCu 2O6+delta films (by means Of charge "overdoped"), heavy-ion-irradiation and substrate engineering. Finally

  3. Interpreting plasmonic response of epitaxial Ag/Si(100) island ensembles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kong, Dexin; Jiang, Liying; Drucker, Jeff

    Associating features in the experimentally measured optical response of epitaxial Ag islands grown on Si(100) with the localized surface plasmon resonances (LSPRs) hosted by the Ag islands is challenging due to the variation of the Si dielectric function over the energy range under consideration. However, it is possible to conclusively identify features in the experimental spectra with LSPR modes oscillating both parallel and perpendicular to the epitaxial interface by simulating the optical response. The Abeles matrix method is used to describe the composite layered system and the Ag islands are modeled using the thin island film model developed by Bedeauxmore » and Vlieger. By incorporating island morphology parameters determined by quantitative analysis of electron micrographs, the simulation faithfully reproduces the main features of the experimental spectra. Individually zeroing the dipoles associated with the LSPR modes enables conclusive identification of their contribution to the optical response of the composite system.« less

  4. Modeling of Gallium Nitride Hydride Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A reactor model for the hydride vapor phase epitaxy of GaN is presented. The governing flow, energy, and species conservation equations are solved in two dimensions to examine the growth characteristics as a function of process variables and reactor geometry. The growth rate varies with GaCl composition but independent of NH3 and H2 flow rates. A change in carrier gas for Ga source from H2 to N2 affects the growth rate and uniformity for a fixed reactor configuration. The model predictions are in general agreement with observed experimental behavior.

  5. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE PAGES

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti; ...

    2017-03-31

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  6. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  7. Columnar and subsurface silicide growth with novel molecular beam epitaxy techniques

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; George, T.; Pike, W. T.

    1992-01-01

    We have found novel growth modes for epitaxial CoSi2 at high temperatures coupled with Si-rich flux ratios or low deposition rates. In the first of these modes, codeposition of metal and Si at 600-800 C with excess Si leads to the formation of epitaxial silicide columns surrounded by single-crystal Si. During the initial stages of the deposition, the excess Si grows homoepitaxially in between the silicide, which forms islands, so that the lateral growth of the islands is confined. Once a template layer is established by this process, columns of silicide form as a result of selective epitaxy of silicide on silicide and Si on Si. This growth process allows nanometer control over silicide particles in three dimensions. In the second of these modes, a columnar silicide seed layer is used as a template to nucleate subsurface growth of CoSi2. With a 100 nm Si layer covering CoSi2 seeds, Co deposited at 800C and 0.01 nm/s diffuses down to grow on the buried seeds rather than nucleating surface silicide islands. For thicker Si caps or higher deposition rates, the surface concentration of Co exceeds the critical concentration for nucleation of islands, preventing this subsurface growth mode from occurring. Using this technique, single-crystal layers of CoSi2 buried under single-crystal Si caps have been grown.

  8. Asymmetric shape transitions of epitaxial quantum dots

    PubMed Central

    2016-01-01

    We construct a two-dimensional continuum model to describe the energetics of shape transitions in fully faceted epitaxial quantum dots (strained islands) via minimization of elastic energy and surface energy at fixed volume. The elastic energy of the island is based on a third-order approximation, enabling us to consider shape transitions between pyramids, domes, multifaceted domes and asymmetric intermediate states. The energetics of the shape transitions are determined by numerically calculating the facet lengths that minimize the energy of a given island type of prescribed island volume. By comparing the energy of different island types with the same volume and analysing the energy surface as a function of the island shape parameters, we determine the bifurcation diagram of equilibrium solutions and their stability, as well as the lowest barrier transition pathway for the island shape as a function of increasing volume. The main result is that the shape transition from pyramid to dome to multifaceted dome occurs through sequential nucleation of facets and involves asymmetric metastable transition shapes. We also explicitly determine the effect of corner energy (facet edge energy) on shape transitions and interpret the results in terms of the relative stability of asymmetric island shapes as observed in experiment. PMID:27436989

  9. A benchmark of co-flow and cyclic deposition/etch approaches for the selective epitaxial growth of tensile-strained Si:P

    NASA Astrophysics Data System (ADS)

    Hartmann, J. M.; Veillerot, M.; Prévitali, B.

    2017-10-01

    We have compared co-flow and cyclic deposition/etch processes for the selective epitaxial growth of Si:P layers. High growth rates, relatively low resistivities and significant amounts of tensile strain (up to 10 nm min-1, 0.55 mOhm cm and a strain equivalent to 1.06% of substitutional C in Si:C layers) were obtained at 700 °C, 760 Torr with a co-flow approach and a SiH2Cl2 + PH3 + HCl chemistry. This approach was successfully used to thicken the sources and drains regions of n-type fin-shaped Field Effect Transistors. Meanwhile, the (Si2H6 + PH3/HCl + GeH4) CDE process evaluated yielded at 600 °C, 80 Torr even lower resistivities (0.4 mOhm cm, typically), at the cost however of the tensile strain which was lost due to (i) the incorporation of Ge atoms (1.5%, typically) into the lattice during the selective etch steps and (ii) a reduction by a factor of two of the P atomic concentration in CDE layers compared to that in layers grown in a single step (5 × 1020 cm-3 compared to 1021 cm-3).

  10. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  11. Performance of epitaxial back surface field cells

    NASA Technical Reports Server (NTRS)

    Brandhorst, H. W., Jr.; Baraona, C. R.; Swartz, C. K.

    1973-01-01

    Epitaxial back surface field structures were formed by depositing a 10 micron thick 10 Omega-cm epitaxial silicon layer onto substrates with resistivities of 0.01, 0.1, 1.0 and 10 Omega-cm. A correlation between cell open-circuit voltage and substrate resistivity was observed and was compared to theory. The cells were also irradiated with 1 MeV electrons to a fluence of 5 X 10 to the 15th power e/cm2. The decrease of cell open-circuit voltage was in excellent agreement with theoretical predictions and the measured short circuit currents were within 2% of the prediction. Calculations are presented of optimum cell performance as functions of epitaxial layer thickness, radiation fluence and substrate diffusion length.

  12. Angle Resolved Photoelectron and Auger Electron Diffraction as a Structural Probe for Surfaces, Interfaces, and Epitaxial Films.

    NASA Astrophysics Data System (ADS)

    Li, Hong

    The recently developed techniques of angle-resolved photoelectron and Auger electron diffraction (ARXPD/AED) have shown promise in identifying the structures of epitaxial films. This is due to the realization that electrons scattered by other atoms are enhanced along the forward direction. In this dissertation research, we have further investigated the capabilities of the ARXPD/AED technique. First, the complete polar angle distribution of the Auger electron intensity from Cu(001) was measured from the (100) to the (110) azimuth. The presentation of the ARAED in the form of a contour map clearly shows the relationship of the constructive and destructive interference of electron scattering to the crystallographic index of the crystal. Secondly, the angular distributions of electron emissions with initial states of 3p, 3d, 4d, and the Auger emission with electron kinetic energies ranging from 348 eV to 1477 eV were measured for single crystal Ag(001). The results show that all of these electron emissions have similar electron forward scattering enhancements along the directions of nearest and next nearest neighbour atoms in the crystal. The forward scattering enhancements do not shift as the electron kinectic energy changes. The ARXPD/AED combined with low energy electron diffraction (LEED) has been demonstrated to be a very powerful technique in probing both the long range order and the short range order of the epitaxial films. The epitaxial films studied include Co on Cu(001), Fe on Ag(001), Co on Ag(001), and Co on an ultra-thin film of Fe(001), which was epitaxially grown on Ag(001). We find that up to 20 ML thickness of high quality metastable fcc Co can be stabilized on Cu(001) at room temperature. We have directly verified that the Fe on Ag(001) is bcc. The Co on Ag(001) is neither bcc nor fcc for coverages of less than 3 ML. Thick films of Co on Ag(001) are disordered, of which a very small portion has a local structure of bcc. The bcc Co phases has been

  13. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  14. Ge nanopillar solar cells epitaxially grown by metalorganic chemical vapor deposition

    PubMed Central

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Park, Won-Kyu; Lee, Jaejin

    2017-01-01

    Radial junction solar cells with vertically aligned wire arrays have been widely studied to improve the power conversion efficiency. In this work, we report the first Ge nanopillar solar cell. Nanopillar arrays are selectively patterned on p-type Ge (100) substrates using nanosphere lithography and deep reactive ion etching processes. Nanoscale radial and planar junctions are realized by an n-type Ge emitter layer which is epitaxially grown by MOCVD using isobutylgermane. In situ epitaxial surface passivation is employed using an InGaP layer to avoid high surface recombination rates and Fermi level pinning. High quality n-ohmic contact is realized by protecting the top contact area during the nanopillar patterning. The short circuit current density and the power conversion efficiency of the Ge nanopillar solar cell are demonstrated to be improved up to 18 and 30%, respectively, compared to those of the Ge solar cell with a planar surface. PMID:28209964

  15. Structural evolution of epitaxial SrCoO x films near topotactic phase transition

    DOE PAGES

    Jeen, Hyoung Jeen; Lee, Ho Nyung

    2015-12-18

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoO x) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO 2.5thin films and performed post-annealing at various temperaturesmore » for topotactic conversion into the perovskite phase (SrCoO 3-δ). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Furthermore, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.« less

  16. Metallic atomically-thin layered silicon epitaxially grown on silicene/ZrB 2

    DOE PAGES

    Gill, Tobias G.; Fleurence, Antoine; Warner, Ben; ...

    2017-02-17

    We observe a new two-dimensional (2D) silicon crystal, using low energy electron diffraction (LEED) and scanning tunnelling microscopy (STM) and it's formed by depositing additional Si atoms onto spontaneously-formed epitaxial silicene on a ZrB 2 thin film. From scanning tunnelling spectroscopy (STS) studies, we find that this atomically-thin layered silicon has distinctly different electronic properties. Angle resolved photoelectron spectroscopy (ARPES) reveals that, in sharp contrast to epitaxial silicene, the layered silicon exhibits significantly enhanced density of states at the Fermi level resulting from newly formed metallic bands. Furthermore, the 2D growth of this material could allow for direct contacting tomore » the silicene surface and demonstrates the dramatic changes in electronic structure that can occur by the addition of even a single monolayer amount of material in 2D systems.« less

  17. Fabrication of precision high quality facets on molecular beam epitaxy material

    DOEpatents

    Petersen, Holly E.; Goward, William D.; Dijaili, Sol P.

    2001-01-01

    Fabricating mirrored vertical surfaces on semiconductor layered material grown by molecular beam epitaxy (MBE). Low energy chemically assisted ion beam etching (CAIBE) is employed to prepare mirrored vertical surfaces on MBE-grown III-V materials under unusually low concentrations of oxygen in evacuated etching atmospheres of chlorine and xenon ion beams. UV-stabilized smooth-surfaced photoresist materials contribute to highly vertical, high quality mirrored surfaces during the etching.

  18. Effects of high source flow and high pumping speed on gas source molecular beam epitaxy / chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    McCollum, M. J.; Jackson, S. L.; Szafranek, I.; Stillman, G. E.

    1990-10-01

    We report the growth of GaAs by molecular beam epitaxy (MBE), gas source molecular beam epitaxy (GSMBE), and chemical beam epitaxy (CBE) in an epitaxial III-V reactor which features high pumping speed. The system is comprised of a modified Perkin-Elmer 430P molecular beam epitaxy system and a custom gas source panel from Emcore. The growth chamber is pumped with a 7000 1/s (He) diffusion pump (Varian VHS-10 with Monsanto Santovac 5 oil). The gas source panel includes pressure based flow controllers (MKS 1150) allowing triethylaluminum (TEA), triethylgallium (TEG), and trimethylindium (TMI) to be supplied without the use of hydrogen. All source lines, including arsine and phosphine, are maintained below atmospheric pressure. The high pumping speed allows total system flow rates as high as 100 SCCM and V/III ratios as high as 100. The purity of GaAs grown by MBE in this system increases with pumping speed. GaAs layers grown by GSMBE with arsine flows of 10 and 20 SCCM have electron concentrations of 1 × 10 15 cm -3 (μ 77=48,000 cm 2/V·) and 2 × 10 14 cm -3 (μ 77=78,000 cm 2/V·s) respectively. El ectron concentration varies with hydride injector temperature such that the minimum in electron concentration occurs for less than complete cracking. The effect of V/III ratio and the use of a metal eutectic bubbler on residual carrier concentration in GaAs grown by CBE is presented. Intentional Si and Be doping of CBE grown GaAs is demonstrated at a high growth rate of 5.4 μm/h.

  19. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    PubMed Central

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  20. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  1. Investigation of low leakage current radiation detectors on n-type 4H-SiC epitaxial layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Khai V.; Chaudhuri, Sandeep K.; Mandal, Krishna C.

    2014-09-01

    The surface leakage current of high-resolution 4H-SiC epitaxial layer Schottky barrier detectors has been improved significantly after surface passivations of 4H-SiC epitaxial layers. Thin (nanometer range) layers of silicon dioxide (SiO2) and silicon nitride (Si3N4) were deposited on 4H-SiC epitaxial layers using plasma enhanced chemical vapor deposition (PECVD) on 20 μm thick n-type 4H-SiC epitaxial layers followed by the fabrication of large area (~12 mm2) Schottky barrier radiation detectors. The fabricated detectors have been characterized through current-voltage (I-V), capacitance-voltage (C-V), and alpha pulse height spectroscopy measurements; the results were compared with that of detectors fabricated without surface passivations. Improved energy resolution of ~ 0.4% for 5486 keV alpha particles was observed after passivation, and it was found that the performance of these detectors were limited by the presence of macroscopic and microscopic crystal defects affecting the charge transport properties adversely. Capacitance mode deep level transient studies (DLTS) revealed the presence of a titanium impurity related shallow level defects (Ec-0.19 eV), and two deep level defects identified as Z1/2 and Ci1 located at Ec-0.62 and ~ Ec-1.40 eV respectively.

  2. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Demaurex, Bénédicte, E-mail: benedicte.demaurex@epfl.ch; Bartlome, Richard; Seif, Johannes P.

    2014-08-07

    Low-temperature (≤200 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-enhanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only frommore » the growth conditions but also from unintentional contamination of the reactor. Based on our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  3. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE PAGES

    Demaurex, Bénédicte; Bartlome, Richard; Seif, Johannes P.; ...

    2014-08-05

    Low-temperature (≤ 180 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-ehanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems notmore » only from the growth conditions but also from unintentional contamination of the reactor. As a result of our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  4. Kinematical calculations of RHEED intensity oscillations during the growth of thin epitaxial films

    NASA Astrophysics Data System (ADS)

    Daniluk, Andrzej

    2005-08-01

    A practical computing algorithm working in real time has been developed for calculating the reflection high-energy electron diffraction (RHEED) from the molecular beam epitaxy (MBE) growing surface. The calculations are based on the use of kinematical diffraction theory. Simple mathematical models are used for the growth simulation in order to investigate the fundamental behaviors of reflectivity change during the growth of thin epitaxial films prepared using MBE. Program summaryTitle of program:GROWTH Catalogue identifier:ADVL Program summary URL:http://cpc.cs.qub.ac.uk/summaries/ADVL Program obtainable from: CPC Program Library, Queen's University of Belfast, N. Ireland Distribution format: tar.gz Computer for which the program is designed and others on which is has been tested:Pentium-based PC Operating systems or monitors under which the program has been tested:Windows 9x, XP, NT Programming language used:Object Pascal Memory required to execute with typical data:more than 1 MB Number of bits in a word: 64 bits Number of processors used: 1 Number of lines in distributed program, including test data, etc.: 10 989 Number of bytes in distributed program, including test data, etc.:103 048 Nature of the physical problem:Reflection high-energy electron diffraction (RHEED) is a very useful technique for studying growth and surface analysis of thin epitaxial structures prepared using the molecular beam epitaxy (MBE). The simplest approach to calculating the RHEED intensity during the growth of thin epitaxial films is the kinematical diffraction theory (often called kinematical approximation), in which only a single scattering event is taken into account. The biggest advantage of this approach is that we can calculate RHEED intensity in real time. Also, the approach facilitates intuitive understanding of the growth mechanism and surface morphology [P.I. Cohen, G.S. Petrich, P.R. Pukite, G.J. Whaley, A.S. Arrott, Surf. Sci. 216 (1989) 222]. Method of solution:Epitaxial

  5. van der Waals epitaxy of Ge films on mica

    NASA Astrophysics Data System (ADS)

    Littlejohn, A. J.; Xiang, Y.; Rauch, E.; Lu, T.-M.; Wang, G.-C.

    2017-11-01

    To date, many materials have been successfully grown on substrates through van der Waals epitaxy without adhering to the constraint of lattice matching as is required for traditional chemical epitaxy. However, for elemental semiconductors such as Ge, this has been challenging and therefore it has not been achieved thus far. In this paper, we report the observation of Ge epitaxially grown on mica at a narrow substrate temperature range around 425 °C. Despite the large lattice mismatch (23%) and the lack of high in-plane symmetry in the mica surface, an epitaxial Ge film with [111] out-of-plane orientation is observed. Crystallinity and electrical properties degrade upon deviation from the ideal growth temperature, as shown by Raman spectroscopy, X-ray diffraction, and Hall effect measurements. X-ray pole figure analysis reveals that there exist multiple rotational domains in the epitaxial Ge film with dominant in-plane orientations between Ge [" separators="|1 ¯10 ] and mica[100] of (20 n )°, where n = 0, 1, 2, 3, 4, 5. A superlattice area mismatch model was used to account for the likelihood of the in-plane orientation formation and was found to be qualitatively consistent with the observed dominant orientations. Our observation of Ge epitaxy with one out-of-plane growth direction through van der Waals forces is a step toward the growth of single crystal Ge films without the constraint in the lattice and symmetry matches with the substrates.

  6. Epitaxial Deposition Of Germanium Doped With Gallium

    NASA Technical Reports Server (NTRS)

    Huffman, James E.

    1994-01-01

    Epitaxial layers of germanium doped with gallium made by chemical vapor deposition. Method involves combination of techniques and materials used in chemical vapor deposition with GeH4 or GeCl4 as source of germanium and GaCl3 as source of gallium. Resulting epitaxial layers of germanium doped with gallium expected to be highly pure, with high crystalline quality. High-quality material useful in infrared sensors.

  7. Accurate calibration for the quantification of the Al content in AlGaN epitaxial layers by energy-dispersive X-ray spectroscopy in a Transmission Electron Microscope

    NASA Astrophysics Data System (ADS)

    Amari, H.; Lari, L.; Zhang, H. Y.; Geelhaar, L.; Chèze, C.; Kappers, M. J.; McAleese, C.; Humphreys, C. J.; Walther, T.

    2011-11-01

    Since the band structure of group III- nitrides presents a direct electronic transition with a band-gap energy covering the range from 3.4 eV for (GaN) to 6.2 eV (for AlN) at room temperature as well as a high thermal conductivity, aluminium gallium nitride (AlGaN) is a strong candidate for high-power and high-temperature electronic devices and short-wavelength (visible and ultraviolet) optoelectronic devices. We report here a study by energy-filtered transmission electron microscopy (EFTEM) and energy-dispersive X-ray spectroscopy (EDXS) of the micro structure and elemental distribution in different aluminium gallium nitride epitaxial layers grown by different research groups. A calibration procedure is out-lined that yields the Al content from EDXS to within ~1 at % precision.

  8. Continuous-wave lasing in colloidal quantum dot solids enabled by facet-selective epitaxy.

    PubMed

    Fan, Fengjia; Voznyy, Oleksandr; Sabatini, Randy P; Bicanic, Kristopher T; Adachi, Michael M; McBride, James R; Reid, Kemar R; Park, Young-Shin; Li, Xiyan; Jain, Ankit; Quintero-Bermudez, Rafael; Saravanapavanantham, Mayuran; Liu, Min; Korkusinski, Marek; Hawrylak, Pawel; Klimov, Victor I; Rosenthal, Sandra J; Hoogland, Sjoerd; Sargent, Edward H

    2017-04-06

    Colloidal quantum dots (CQDs) feature a low degeneracy of electronic states at the band edges compared with the corresponding bulk material, as well as a narrow emission linewidth. Unfortunately for potential laser applications, this degeneracy is incompletely lifted in the valence band, spreading the hole population among several states at room temperature. This leads to increased optical gain thresholds, demanding high photoexcitation levels to achieve population inversion (more electrons in excited states than in ground states-the condition for optical gain). This, in turn, increases Auger recombination losses, limiting the gain lifetime to sub-nanoseconds and preventing steady laser action. State degeneracy also broadens the photoluminescence linewidth at the single-particle level. Here we demonstrate a way to decrease the band-edge degeneracy and single-dot photoluminescence linewidth in CQDs by means of uniform biaxial strain. We have developed a synthetic strategy that we term facet-selective epitaxy: we first switch off, and then switch on, shell growth on the (0001) facet of wurtzite CdSe cores, producing asymmetric compressive shells that create built-in biaxial strain, while still maintaining excellent surface passivation (preventing defect formation, which otherwise would cause non-radiative recombination losses). Our synthesis spreads the excitonic fine structure uniformly and sufficiently broadly that it prevents valence-band-edge states from being thermally depopulated. We thereby reduce the optical gain threshold and demonstrate continuous-wave lasing from CQD solids, expanding the library of solution-processed materials that may be capable of continuous-wave lasing. The individual CQDs exhibit an ultra-narrow single-dot linewidth, and we successfully propagate this into the ensemble of CQDs.

  9. A Study of the Crystal Structure of Co40Fe40B20 Epitaxial Films on a Bi2Te3 Topological Insulator

    NASA Astrophysics Data System (ADS)

    Kaveev, A. K.; Suturin, S. M.; Sokolov, N. S.; Kokh, K. A.; Tereshchenko, O. E.

    2018-03-01

    Laser molecular-beam epitaxy has been used to form Co40Fe40B20 layers on Bi2Te3 topological insulator substrates, and their growth conditions have been studied. The possibility of growing epitaxial ferromagnetic layers on the surface of a topological insulator is demonstrated for the first time. The CoFeB layers have a body-centered cubic crystal structure with the (111) crystal plane parallel to the (0001) plane of Bi2Te3. 3D mapping in the reciprocal space of high-energy electron-diffraction patterns made it possible to determine the epitaxial relationships between the film and the substrate.

  10. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    NASA Astrophysics Data System (ADS)

    Ye, Ning

    Understanding heat transport at nanometer and sub-nanometer lengthscales is critical to solving a wide range of technological challenges related to thermal management and energy conversion. In particular, finite Interfacial Thermal Conductance (ITC) often dominates transport whenever multiple interfaces are closely spaced together or when heat originates from sources that are highly confined by interfaces. Examples of the former include superlattices, thin films, quantum cascade lasers, and high density nanocomposites. Examples of the latter include FinFET transistors, phase-change memory, and the plasmonic transducer of a heat-assisted magnetic recording head. An understanding of the physics of such interfaces is still lacking, in part because experimental investigations to-date have not bothered to carefully control the structure of interfaces studied, and also because the most advanced theories have not been compared to the most robust experimental data. This thesis aims to resolve this by investigating ITC between a range of clean and structurally well-characterized metal-semiconductor interfaces using the Time-Domain Thermoreflectance (TDTR) experimental technique, and by providing theoretical/computational comparisons to the experimental data where possible. By studying the interfaces between a variety of materials systems, each with unique aspects to their tunability, I have been able to answer a number of outstanding questions regarding the importance of interfacial quality (epitaxial/non-epitaxial interfaces), semiconductor doping, matching of acoustic and optical phonon band structure, and the role of phonon transport mechanisms apart from direct elastic transmission on ITC. In particular, we are able to comment on the suitability of the diffuse mismatch model (DMM) to describe the transport across epitaxial interfaces. To accomplish this goal, I studied interfacial thermal transport across CoSi2, TiSi2, NiSi and PtSi - Si(100) and Si(111), (silicides

  11. Energy: An annotated selected bibliography

    NASA Technical Reports Server (NTRS)

    Blow, S. J. (Compiler); Peacock, R. W. (Compiler); Sholy, J. J. (Compiler)

    1979-01-01

    This updated bibliography contains approximately 7,000 selected references on energy and energy related topics from bibliographic and other data sources from June 1977. Under each subject heading the entries are arranged by the date, with the latest works first. Geothermal, solar, wind, and ocean/water power sources are included. Magnetohydrodynamics and electrohydrodynamics, electric power engineering, automotive power plants, and energy storage are also covered.

  12. Epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin-film epitaxial growth. The unique LEO space environment is expected to yield 10-ftorr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume (about 100 cu m) without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and throughput of epitaxially grown materials, including semiconductors, magnetic materials, and thin-film high-temperature superconductors.

  13. Epitaxial nickel disilicide with low resistivity and excellent reliability.

    PubMed

    Hsin, Cheng-Lun; Deng, Shiu-Sheng

    2016-02-12

    Ultra-thin epitaxial NiSi2 was formed, and its structure was examined by electron microscopy and x-ray diffraction. Compared with previous reports, the measured resistivity of the epitaxial NiSi2 was unprecedentedly low, reaching 7 μΩ cm in the experimental results and up to 14.93 μΩ cm after modification. The reliability, which was investigated under different temperatures and current densities to understand its electronic characteristics, was 1.5 times better than that of the conventional polycrystalline counterpart. Black's equation and the measured mean-time-to-failure (MTTF) were used to obtain the reliability characteristics of epitaxial and poly-NiSi2. Confidence intervals at 95% for each MTTF confirmed the single failure mode. The electromigration phenomenon was observed to be the failure mechanism. Our results provide evidence that epitaxial NiSi2 is a promising contact material for future electronics.

  14. Epitaxial nickel disilicide with low resistivity and excellent reliability

    NASA Astrophysics Data System (ADS)

    Hsin, Cheng-Lun; Deng, Shiu-Sheng

    2016-02-01

    Ultra-thin epitaxial NiSi2 was formed, and its structure was examined by electron microscopy and x-ray diffraction. Compared with previous reports, the measured resistivity of the epitaxial NiSi2 was unprecedentedly low, reaching 7 μΩ cm in the experimental results and up to 14.93 μΩ cm after modification. The reliability, which was investigated under different temperatures and current densities to understand its electronic characteristics, was 1.5 times better than that of the conventional polycrystalline counterpart. Black’s equation and the measured mean-time-to-failure (MTTF) were used to obtain the reliability characteristics of epitaxial and poly-NiSi2. Confidence intervals at 95% for each MTTF confirmed the single failure mode. The electromigration phenomenon was observed to be the failure mechanism. Our results provide evidence that epitaxial NiSi2 is a promising contact material for future electronics.

  15. Extraordinary epitaxial alignment of graphene islands on Au(111)

    NASA Astrophysics Data System (ADS)

    Wofford, Joseph M.; Starodub, Elena; Walter, Andrew L.; Nie, Shu; Bostwick, Aaron; Bartelt, Norman C.; Thürmer, Konrad; Rotenberg, Eli; McCarty, Kevin F.; Dubon, Oscar D.

    2012-05-01

    Pristine, single-crystalline graphene displays a unique collection of remarkable electronic properties that arise from its two-dimensional, honeycomb structure. Using in situ low-energy electron microscopy, we show that when deposited on the (111) surface of Au carbon forms such a structure. The resulting monolayer, epitaxial film is formed by the coalescence of dendritic graphene islands that nucleate at a high density. Over 95% of these islands can be identically aligned with respect to each other and to the Au substrate. Remarkably, the dominant island orientation is not the better lattice-matched 30° rotated orientation but instead one in which the graphene [01] and Au [011] in-plane directions are parallel. The epitaxial graphene film is only weakly coupled to the Au surface, which maintains its reconstruction under the slightly p-type doped graphene. The linear electronic dispersion characteristic of free-standing graphene is retained regardless of orientation. That a weakly interacting, non-lattice matched substrate is able to lock graphene into a particular orientation is surprising. This ability, however, makes Au(111) a promising substrate for the growth of single crystalline graphene films.

  16. Defects in mercury-cadmium telluride heteroepitaxial structures grown by molecular-beam epitaxy on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mynbaev, K. D., E-mail: mynkad@mail.ioffe.ru; Zablotsky, S. V.; Shilyaev, A. V.

    Defects in mercury-cadmium-telluride heteroepitaxial structures (with 0.3 to 0.4 molar fraction of cadmium telluride) grown by molecular-beam epitaxy on silicon substrates are studied. The low-temperature photoluminescence method reveals that there are comparatively deep levels with energies of 50 to 60 meV and shallower levels with energies of 20 to 30 meV in the band gap. Analysis of the temperature dependence of the minority carrier lifetime demonstrates that this lifetime is controlled by energy levels with an energy of ∼30 meV. The possible relationship between energy states and crystal-structure defects is discussed.

  17. Epitaxial growth and magnetic properties of Fe4-xMnxN thin films grown on MgO(0 0 1) substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Anzai, Akihito; Takata, Fumiya; Gushi, Toshiki; Toko, Kaoru; Suemasu, Takashi

    2018-05-01

    Epitaxial Fe4-xMnxN (x = 0, 1, 2, 3, and 4) thin films were successfully grown on MgO(0 0 1) single-crystal substrates by molecular beam epitaxy, and their crystalline qualities and magnetic properties were investigated. It was found that the lattice constants of Fe4-xMnxN obtained from X-ray diffraction measurement increased with the Mn content. The ratio of the perpendicular lattice constant c to the in-plane lattice constant a of Fe4-xMnxN was found to be about 0.99 at x ⩾ 2. The magnetic properties evaluated using a vibrating sample magnetometer at room temperature revealed that all of the Fe4-xMnxN films exhibited ferromagnetic behavior regardless of the value of x. In addition, the saturation magnetization decreased non-linearly as the Mn content increased. Finally, FeMn3N and Mn4N exhibited perpendicular anisotropy and their uniaxial magnetic anisotropy energies were 2.2 × 105 and 7.5 × 105 erg/cm3, respectively.

  18. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    NASA Astrophysics Data System (ADS)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  19. Schottky barrier detection devices having a 4H-SiC n-type epitaxial layer

    DOEpatents

    Mandal, Krishna C.; Terry, J. Russell

    2016-12-06

    A detection device, along with methods of its manufacture and use, is provided. The detection device can include: a SiC substrate defining a substrate surface cut from planar to about 12.degree.; a buffer epitaxial layer on the substrate surface; a n-type epitaxial layer on the buffer epitaxial layer; and a top contact on the n-type epitaxial layer. The buffer epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.15 cm.sup.-3 to about 5.times.10.sup.18 cm.sup.-3 with nitrogen, boron, aluminum, or a mixture thereof. The n-type epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.13 cm.sup.-3 to about 5.times.10.sup.15 cm.sup.-3 with nitrogen. The top contact can have a thickness of about 8 nm to about 15 nm.

  20. Tuning electronic transport in epitaxial graphene-based van der Waals heterostructures

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Chuan; Li, Jun; de La Barrera, Sergio C.; Eichfeld, Sarah M.; Nie, Yifan; Addou, Rafik; Mende, Patrick C.; Wallace, Robert M.; Cho, Kyeongjae; Feenstra, Randall M.; Robinson, Joshua A.

    2016-04-01

    Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low-energy electron microscopy and reflectivity on these samples, we extract the work function difference between the WSe2 and graphene and employ a charge transfer model to determine the WSe2 carrier density in both cases. The results indicate that WSe2-EGFH displays ohmic behavior at small biases due to a large hole density in the WSe2, whereas WSe2-EGPH forms a Schottky barrier junction.Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low-energy

  1. BiFeO3 epitaxial thin films and devices: past, present and future

    NASA Astrophysics Data System (ADS)

    Sando, D.; Barthélémy, A.; Bibes, M.

    2014-11-01

    The celebrated renaissance of the multiferroics family over the past ten years has also been that of its most paradigmatic member, bismuth ferrite (BiFeO3). Known since the 1960s to be a high temperature antiferromagnet and since the 1970s to be ferroelectric, BiFeO3 only had its bulk ferroic properties clarified in the mid-2000s. It is however the fabrication of BiFeO3 thin films and their integration into epitaxial oxide heterostructures that have fully revealed its extraordinarily broad palette of functionalities. Here we review the first decade of research on BiFeO3 films, restricting ourselves to epitaxial structures. We discuss how thickness and epitaxial strain influence not only the unit cell parameters, but also the crystal structure, illustrated for instance by the discovery of the so-called T-like phase of BiFeO3. We then present its ferroelectric and piezoelectric properties and their evolution near morphotropic phase boundaries. Magnetic properties and their modification by thickness and strain effects, as well as optical parameters, are covered. Finally, we highlight various types of devices based on BiFeO3 in electronics, spintronics, and optics, and provide perspectives for the development of further multifunctional devices for information technology and energy harvesting.

  2. In situ spectroscopic ellipsometry study of low-temperature epitaxial silicon growth

    NASA Astrophysics Data System (ADS)

    Halagačka, L.; Foldyna, M.; Leal, R.; Roca i Cabarrocas, P.

    2018-07-01

    Low-temperature growth of doped epitaxial silicon layers is a promising way to reduce the cost of p-n junction formation in c-Si solar cells. In this work, we study process of highly doped epitaxial silicon layer growth using in situ spectroscopic ellipsometry. The film was deposited by plasma-enhanced chemical vapor deposition (PECVD) on a crystalline silicon substrate at a low substrate temperature of 200 °C. In the deposition process, SiF4 was used as a precursor, B2H6 as doping gas, and a hydrogen/argon mixture as carrier gas. A spectroscopic ellipsometer with a wide spectral range was used for in situ spectroscopic measurements. Since the temperature during process is 200 °C, the optical functions of silicon differ from these at room temperature and have to be adjusted. Thickness of the epitaxial silicon layer was fitted on in situ ellipsometric data. As a result we were able to determine the dynamics of epitaxial layer growth, namely initial layer formation time and epitaxial growth rate. This study opens new perspectives in understanding and monitoring the epitaxial silicon deposition processes as the model fitting can be applied directly during the growth.

  3. Size dependent bandgap of molecular beam epitaxy grown InN quantum dots measured by scanning tunneling spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore-560013

    InN quantum dots (QDs) were grown on Si (111) by epitaxial Stranski-Krastanow growth mode using plasma-assisted molecular beam epitaxy. Single-crystalline wurtzite structure of InN QDs was verified by the x-ray diffraction and transmission electron microscopy. Scanning tunneling microscopy has been used to probe the structural aspects of QDs. A surface bandgap of InN QDs was estimated from scanning tunneling spectroscopy (STS) I-V curves and found that it is strongly dependent on the size of QDs. The observed size-dependent STS bandgap energy shifts with diameter and height were theoretical explained based on an effective mass approximation with finite-depth square-well potential model.

  4. Molecular-beam epitaxy of (Zn,Mn)Se on Si(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Slobodskyy, T.; Ruester, C.; Fiederling, R.

    2004-12-20

    We have investigated the growth by molecular-beam epitaxy of the II-VI diluted magnetic semiconductor (Zn,Mn)Se on As-passivated Si(100) substrates. The growth start has been optimized by using low-temperature epitaxy. Surface properties were assessed by Nomarski and scanning electron microscopy. Optical properties of (Zn,Mn)Se have been studied by photoluminescence and a giant Zeeman splitting of up to 30 meV has been observed. Our observations indicate a high crystalline quality of the epitaxial films.

  5. Epitaxial growth of CoO films on semiconductor and metal substrates by constructing a complex heterostructure

    NASA Astrophysics Data System (ADS)

    Entani, S.; Kiguchi, M.; Saiki, K.; Koma, A.

    2003-01-01

    Epitaxial growth of CoO films was studied using reflection high-energy electron diffraction (RHEED), electron energy loss spectroscopy (EELS), ultraviolet photoelectron spectroscopy (UPS) and Auger electron spectroscopy (AES). The RHEED results indicated that an epitaxial CoO film grew on semiconductor and metal substrates (CoO (0 0 1)∥GaAs (0 0 1), Cu (0 0 1), Ag (0 0 1) and [1 0 0]CoO∥[1 0 0] substrates) by constructing a complex heterostructure with two alkali halide buffer layers. The AES, EELS and UPS results showed that the grown CoO film had almost the same electronic structure as bulk CoO. We could show that use of alkali halide buffer layers was a good way to grow metal oxide films on semiconductor and metal substrates in an O 2 atmosphere. The alkali halide layers not only works as glue to connect very dissimilar materials but also prevents oxidation of metal and semiconductor substrates.

  6. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  7. Electrostatic transfer of epitaxial graphene to glass.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohta, Taisuke; Pan, Wei; Howell, Stephen Wayne

    2010-12-01

    We report on a scalable electrostatic process to transfer epitaxial graphene to arbitrary glass substrates, including Pyrex and Zerodur. This transfer process could enable wafer-level integration of graphene with structured and electronically-active substrates such as MEMS and CMOS. We will describe the electrostatic transfer method and will compare the properties of the transferred graphene with nominally-equivalent 'as-grown' epitaxial graphene on SiC. The electronic properties of the graphene will be measured using magnetoresistive, four-probe, and graphene field effect transistor geometries [1]. To begin, high-quality epitaxial graphene (mobility 14,000 cm2/Vs and domains >100 {micro}m2) is grown on SiC in an argon-mediated environmentmore » [2,3]. The electrostatic transfer then takes place through the application of a large electric field between the donor graphene sample (anode) and the heated acceptor glass substrate (cathode). Using this electrostatic technique, both patterned few-layer graphene from SiC(000-1) and chip-scale monolayer graphene from SiC(0001) are transferred to Pyrex and Zerodur substrates. Subsequent examination of the transferred graphene by Raman spectroscopy confirms that the graphene can be transferred without inducing defects. Furthermore, the strain inherent in epitaxial graphene on SiC(0001) is found to be partially relaxed after the transfer to the glass substrates.« less

  8. Molecular beam epitaxial growth, transmittance and photoluminescence spectra of zinc-blende CdTe thin films with high-quality on perovskite SrTiO3 (1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Song, Kun; Zhu, Xuanting; Tang, Kai; Bai, W.; Zhu, Liangqing; Yang, Jing; Zhang, Yuanyuan; Tang, Xiaodong; Chu, Junhao

    2018-03-01

    High-crystalline quality CdTe thin films are grown on the largely lattice-mismatched SrTiO3 (STO) (1 1 1) substrates by molecular beam epitaxy. A transformation from a three dimensional regime to a two dimensional one is observed by the reflection high energy electron diffraction (RHEED) and atomic force microscopy (AFM). The formation of an elastic deformation CdTe layer on STO (1 1 1), namely a pseudomorphic growth mode with a critical thickness of ∼40 nm, is supported by the RHEED, AFM and X-ray diffraction. Crystal structures and epitaxial relationships of CdTe epitaxial films on STO (1 1 1) are characterized by 2θ-ω scans and reciprocal space mapping. Two strong absorption peaks at the energies of ∼1.621 eV and ∼1.597 eV at 5 K are clearly observed for a ∼120 nm thick CdTe epitaxial film, which are proposed to be ascribed to the strained and unstrained epitaxial CdTe layers, respectively. Moreover, the presence of the exciton band while the absence of deep level defect states for the ∼120 nm thick CdTe film characterized by the temperature dependent photoluminescence spectra further supports the high-crystalline quality.

  9. Atomically Defined Templates for Epitaxial Growth of Complex Oxide Thin Films

    PubMed Central

    Dral, A. Petra; Dubbink, David; Nijland, Maarten; ten Elshof, Johan E.; Rijnders, Guus; Koster, Gertjan

    2014-01-01

    Atomically defined substrate surfaces are prerequisite for the epitaxial growth of complex oxide thin films. In this protocol, two approaches to obtain such surfaces are described. The first approach is the preparation of single terminated perovskite SrTiO3 (001) and DyScO3 (110) substrates. Wet etching was used to selectively remove one of the two possible surface terminations, while an annealing step was used to increase the smoothness of the surface. The resulting single terminated surfaces allow for the heteroepitaxial growth of perovskite oxide thin films with high crystalline quality and well-defined interfaces between substrate and film. In the second approach, seed layers for epitaxial film growth on arbitrary substrates were created by Langmuir-Blodgett (LB) deposition of nanosheets. As model system Ca2Nb3O10- nanosheets were used, prepared by delamination of their layered parent compound HCa2Nb3O10. A key advantage of creating seed layers with nanosheets is that relatively expensive and size-limited single crystalline substrates can be replaced by virtually any substrate material. PMID:25549000

  10. Room-temperature Domain-epitaxy of Copper Iodide Thin Films for Transparent CuI/ZnO Heterojunctions with High Rectification Ratios Larger than 109

    NASA Astrophysics Data System (ADS)

    Yang, Chang; Kneiß, Max; Schein, Friedrich-Leonhard; Lorenz, Michael; Grundmann, Marius

    2016-02-01

    CuI is a p-type transparent conductive semiconductor with unique optoelectronic properties, including wide band gap (3.1 eV), high hole mobility (>40 cm2 V-1 s-1 in bulk), and large room-temperature exciton binding energy (62 meV). The difficulty in epitaxy of CuI is the main obstacle for its application in advanced solid-state electronic devices. Herein, room-temperature heteroepitaxial growth of CuI on various substrates with well-defined in-plane epitaxial relations is realized by reactive sputtering technique. In such heteroepitaxial growth the formation of rotation domains is observed and hereby systematically investigated in accordance with existing theoretical study of domain-epitaxy. The controllable epitaxy of CuI thin films allows for the combination of p-type CuI with suitable n-type semiconductors with the purpose to fabricate epitaxial thin film heterojunctions. Such heterostructures have superior properties to structures without or with weakly ordered in-plane orientation. The obtained epitaxial thin film heterojunction of p-CuI(111)/n-ZnO(00.1) exhibits a high rectification up to 2 × 109 (±2 V), a 100-fold improvement compared to diodes with disordered interfaces. Also a low saturation current density down to 5 × 10-9 Acm-2 is formed. These results prove the great potential of epitaxial CuI as a promising p-type optoelectronic material.

  11. A proposal for epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials. Advanced thin film materials to be epitaxially grown in space include semiconductors, magnetic materials, and thin film high temperature superconductors.

  12. Van der Waals epitaxial growth of two-dimensional single-crystalline GaSe domains on graphene

    DOE PAGES

    Li, Xufan; Basile, Leonardo; Huang, Bing; ...

    2015-07-22

    Two-dimensional (2D) van der Waals (vdW) heterostructures are a family of artificially-structured materials that promise tunable optoelectronic properties for devices with enhanced functionalities. Compared to stamping, direct epitaxy of vdW heterostructures is ideal for clean interlayer interfaces and scalable device fabrication. Here, we explore the synthesis and preferred orientations of 2D GaSe atomic layers on graphene (Gr) by vdW epitaxy. Guided by the wrinkles on graphene, GaSe nuclei form that share a predominant lattice orientation. Due to vdW epitaxial growth many nuclei grow as perfectly aligned crystals and coalesce to form large (tens of microns), single-crystal flakes. Through theoretical investigationsmore » of interlayer energetics, and measurements of preferred orientations by atomic-resolution STEM and electron diffraction, a 10.9 interlayer rotation of the GaSe lattice with respect to the underlying graphene is found to be the most energetically preferred vdW heterostructure with the largest binding energy and the longest-range ordering. These GaSe/Gr vdW heterostructures exhibit an enhanced Raman E 2 1g band of monolayer GaSe along with highly-quenched photoluminescence due to strong charge transfer. Despite the very large lattice mismatch of GaSe/Gr through vdW epitaxy, the predominant orientation control and convergent formation of large single-crystal flakes demonstrated here is promising for the scalable synthesis of large-area vdW heterostructures for the development of new optical and optoelectronic devices.« less

  13. Van der Waals epitaxial growth of two-dimensional single-crystalline GaSe domains on graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Xufan; Basile, Leonardo; Huang, Bing

    Two-dimensional (2D) van der Waals (vdW) heterostructures are a family of artificially-structured materials that promise tunable optoelectronic properties for devices with enhanced functionalities. Compared to stamping, direct epitaxy of vdW heterostructures is ideal for clean interlayer interfaces and scalable device fabrication. Here, we explore the synthesis and preferred orientations of 2D GaSe atomic layers on graphene (Gr) by vdW epitaxy. Guided by the wrinkles on graphene, GaSe nuclei form that share a predominant lattice orientation. Due to vdW epitaxial growth many nuclei grow as perfectly aligned crystals and coalesce to form large (tens of microns), single-crystal flakes. Through theoretical investigationsmore » of interlayer energetics, and measurements of preferred orientations by atomic-resolution STEM and electron diffraction, a 10.9 interlayer rotation of the GaSe lattice with respect to the underlying graphene is found to be the most energetically preferred vdW heterostructure with the largest binding energy and the longest-range ordering. These GaSe/Gr vdW heterostructures exhibit an enhanced Raman E 2 1g band of monolayer GaSe along with highly-quenched photoluminescence due to strong charge transfer. Despite the very large lattice mismatch of GaSe/Gr through vdW epitaxy, the predominant orientation control and convergent formation of large single-crystal flakes demonstrated here is promising for the scalable synthesis of large-area vdW heterostructures for the development of new optical and optoelectronic devices.« less

  14. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  15. Self-assembled Multilayers of Silica Nanospheres for Defect Reduction in Non- and Semipolar Gallium Nitride Epitaxial Layers

    PubMed Central

    2015-01-01

    Non- and semipolar GaN have great potential to improve the efficiency of light emitting devices due to much reduced internal electric fields. However, heteroepitaxial GaN growth in these crystal orientations suffers from very high dislocation and stacking faults densities. Here, we report a facile method to obtain low defect density non- and semipolar heteroepitaxial GaN via selective area epitaxy using self-assembled multilayers of silica nanospheres (MSN). Nonpolar (11–20) and semipolar (11–22) GaN layers with high crystal quality have been achieved by epitaxial integration of the MSN and a simple one-step overgrowth process, by which both dislocation and basal plane stacking fault densities can be significantly reduced. The underlying defect reduction mechanisms include epitaxial growth through the MSN covered template, island nucleation via nanogaps in the MSN, and lateral overgrowth and coalescence above the MSN. InGaN/GaN multiple quantum wells structures grown on a nonpolar GaN/MSN template show more than 30-fold increase in the luminescence intensity compared to a control sample without the MSN. This self-assembled MSN technique provides a new platform for epitaxial growth of nitride semiconductors and offers unique opportunities for improving the material quality of GaN grown on other orientations and foreign substrates or heteroepitaxial growth of other lattice-mismatched materials. PMID:27065755

  16. Method of fabricating low-dislocation-density epitaxially-grown films with textured surfaces

    DOEpatents

    Li, Qiming; Wang, George T

    2015-01-13

    A method for forming a surface-textured single-crystal film layer by growing the film atop a layer of microparticles on a substrate and subsequently selectively etching away the microparticles to release the surface-textured single-crystal film layer from the substrate. This method is applicable to a very wide variety of substrates and films. In some embodiments, the film is an epitaxial film that has been grown in crystallographic alignment with respect to a crystalline substrate.

  17. Franz-Keldysh effect in epitaxial ZnO thin films

    NASA Astrophysics Data System (ADS)

    Bridoux, G.; Villafuerte, M.; Ferreyra, J. M.; Guimpel, J.; Nieva, G.; Figueroa, C. A.; Straube, B.; Heluani, S. P.

    2018-02-01

    Photoconductance spectroscopy has been studied in epitaxial ZnO thin films with different thicknesses that range between 136 and 21 nm. We report a systematic decrease in photoconductivity and a red shift in band edge photoconductance spectra when the thickness is reduced. For thinner films, it is found that the effective energy gap value diminishes. By time dependent photoconductivity measurements, we found an enhanced contribution of the slow relaxation times for thicker films. These effects are interpreted in terms of a band-bending contribution where the Franz-Keldysh effect and the polarization of ZnO play a major role in thinner films.

  18. Universal description of III-V/Si epitaxial growth processes

    NASA Astrophysics Data System (ADS)

    Lucci, I.; Charbonnier, S.; Pedesseau, L.; Vallet, M.; Cerutti, L.; Rodriguez, J.-B.; Tournié, E.; Bernard, R.; Létoublon, A.; Bertru, N.; Le Corre, A.; Rennesson, S.; Semond, F.; Patriarche, G.; Largeau, L.; Turban, P.; Ponchet, A.; Cornet, C.

    2018-06-01

    Here, we experimentally and theoretically clarify III-V/Si crystal growth processes. Atomically resolved microscopy shows that monodomain three-dimensional islands are observed at the early stages of AlSb, AlN, and GaP epitaxy on Si, independently of misfit. It is also shown that complete III-V/Si wetting cannot be achieved in most III-V/Si systems. Surface/interface contributions to the free-energy variations are found to be prominent over strain relief processes. We finally propose a general and unified description of III-V/Si growth processes, including a description of the formation of antiphase boundaries.

  19. Thermal stability of epitaxial SrRuO3 films as a function of oxygen pressure

    NASA Astrophysics Data System (ADS)

    Lee, Ho Nyung; Christen, Hans M.; Chisholm, Matthew F.; Rouleau, Christopher M.; Lowndes, Douglas H.

    2004-05-01

    The thermal stability of electrically conducting SrRuO3 thin films grown by pulsed-laser deposition on (001) SrTiO3 substrates has been investigated by atomic force microscopy and reflection high-energy electron diffraction (RHEED) under reducing conditions (25-800 °C in 10-7-10-2 Torr O2). The as-grown SrRuO3 epitaxial films exhibit atomically flat surfaces with single unit-cell steps, even after exposure to air at room temperature. The films remain stable at temperatures as high as 720 °C in moderate oxygen ambients (>1 mTorr), but higher temperature anneals at lower pressures result in the formation of islands and pits due to the decomposition of SrRuO3. Using in situ RHEED, a temperature and oxygen pressure stability map was determined, consistent with a thermally activated decomposition process having an activation energy of 88 kJ/mol. The results can be used to determine the proper conditions for growth of additional epitaxial oxide layers on high quality electrically conducting SrRuO3.

  20. Domain epitaxy for thin film growth

    DOEpatents

    Narayan, Jagdish

    2005-10-18

    A method of forming an epitaxial film on a substrate includes growing an initial layer of a film on a substrate at a temperature T.sub.growth, said initial layer having a thickness h and annealing the initial layer of the film at a temperature T.sub.anneal, thereby relaxing the initial layer, wherein said thickness h of the initial layer of the film is greater than a critical thickness h.sub.c. The method further includes growing additional layers of the epitaxial film on the initial layer subsequent to annealing. In some embodiments, the method further includes growing a layer of the film that includes at least one amorphous island.

  1. Positron lifetime beam for defect studies in thin epitaxial semiconductor structures

    NASA Astrophysics Data System (ADS)

    Laakso, A.; Saarinen, K.; Hautojärvi, P.

    2001-12-01

    Positron annihilation spectroscopies are methods for direct identification of vacancy-type defects by measuring positron lifetime and Doppler broadening of annihilation radiation and providing information about open volume, concentration and atoms surrounding the defect. Both these techniques are easily applied to bulk samples. Only the Doppler broadening spectroscopy can be employed in thin epitaxial samples by utilizing low-energy positron beams. Here we describe the positron lifetime beam which will provide us with a method to measure lifetime in thin semiconductor layers.

  2. Study of thin film growth kinetics of homoepitaxy by molecular beam epitaxy and pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Shin, Byungha

    This thesis presents an extensive study of the growth kinetics during low temperature homoepitaxy by Molecular Beam Epitaxy (MBE) and Pulsed Laser Deposition (PLD) of our model system Ge(001). The range of the study covers from the sub-monolayer (sub-ML) regime to the later stage where film thickness amounts to a few thousand MLs; it also covers epitaxial breakdown in which epitaxial growth is no longer sustained and the growing phase becomes amorphous. First, we have conducted a systematic investigation of the phase shift of the RHEED intensity oscillations during Ge(001) homoepitaxy MBE for a wide range of diffraction conditions. We conclude that the phase shift is caused by the overlap of the specular spot and the Kikuchi features, in contrast to models involving dynamical scattering theory for the phase shift. We have studied the sub-ML growth of Ge(001) homoepitaxy by MBE at low temperatures using RHEED intensity oscillations obtained for a range of low incidence angles where the influence of the dynamical nature of electron scattering such as the Kikuchi features is minimized. We have developed a new model for RHEED specular intensity that includes the diffuse scattering off surface steps and the layer interference between terraces of different heights using the kinematic approximation. By using the model to interpret the measured RHEED intensity, we find the evolution of the coverage of the first 2--3 layers, from which we infer the ES barrier height to be 0.077 +/- 0.014 eV. Finally, using a dual MBE-PLD UHV chamber, we have conducted experiments under identical thermal, background, and surface preparation conditions to compare Ge(001) homoepitaxial growth morphology in PLD and MBE at low temperatures. To isolate the effect of kinetic energy of depositing species during PLD, we varied the average kinetic energy: ˜450 eV in PLD-HKE, ˜300 eV in PLD-LKE, and <1 eV in PLD-TH. At 150°C, we find that in PLD-LKE and in MBE the film morphology evolves in a

  3. Silicon Carbide Epitaxial Films Studied by Atomic Force Microscopy

    NASA Technical Reports Server (NTRS)

    1996-01-01

    Silicon carbide (SiC) holds great potential as an electronic material because of its wide band gap energy, high breakdown electric field, thermal stability, and resistance to radiation damage. Possible aerospace applications of high-temperature, high-power, or high-radiation SiC electronic devices include sensors, control electronics, and power electronics that can operate at temperatures up to 600 C and beyond. Commercially available SiC devices now include blue light-emitting diodes (LED's) and high-voltage diodes for operation up to 350 C, with other devices under development. At present, morphological defects in epitaxially grown SiC films limit their use in device applications. Research geared toward reducing the number of structural inhomogeneities can benefit from an understanding of the type and nature of problems that cause defects. The Atomic Force Microscope (AFM) has proven to be a useful tool in characterizing defects present on the surface of SiC epitaxial films. The in-house High-Temperature Integrated Electronics and Sensors (HTIES) Program at the NASA Lewis Research Center not only extended the dopant concentration range achievable in epitaxial SiC films, but it reduced the concentration of some types of defects. Advanced structural characterization using the AFM was warranted to identify the type and structure of the remaining film defects and morphological inhomogeneities. The AFM can give quantitative information on surface topography down to molecular scales. Acquired, in part, in support of the Advanced High Temperature Engine Materials Technology Program (HITEMP), the AFM had been used previously to detect partial fiber debonding in composite material cross sections. Atomic force microscopy examination of epitaxial SiC film surfaces revealed molecular-scale details of some unwanted surface features. Growth pits propagating from defects in the substrate, and hillocks due, presumably, to existing screw dislocations in the substrates, were

  4. Formation of atomically smooth epitaxial metal films on a chemically reactive interface: Mg on Si(111)

    NASA Astrophysics Data System (ADS)

    Özer, Mustafa M.; Weitering, Hanno H.

    2013-07-01

    Deposition of Mg on Si(111)7 × 7 produces an epitaxial magnesium silicide layer. Under identical annealing conditions, the thickness of this Mg2Si(111) layer increases with deposition amount, reaching a maximum of 4 monolayer (ML) and decreasing to ˜3 ML at higher Mg coverage. Excess Mg coalesces into atomically flat, crystalline Mg(0001) films. This surprising growth mode can be attributed to the accidental commensurability of the Mg(0001), Si(111), and Mg2Si(111) interlayer spacing and the concurrent minimization of in-plane Si mass transfer and domain-wall energies. The commensurability of the interlayer spacing defines a highly unique solid-phase epitaxial growth process capable of producing trilayer structures with atomically abrupt interfaces and atomically smooth surface morphologies.

  5. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of themore » observed device performance enhancements.« less

  6. Dewetting of Epitaxial Silver Film on Silicon by Thermal Annealing

    NASA Astrophysics Data System (ADS)

    Sanders, Charlotte E.; Kellogg, Gary L.; Shih, C.-K.

    2013-03-01

    It has been shown that noble metals can grow epitaxially on semiconducting and insulating substrates, despite being a non-wetting system: low temperature deposition followed by room temperature annealing leads to atomically flat film morphology. However, the resulting metastable films are vulnerable to dewetting, which has limited their utility for applications under ambient conditions. The physics of this dewetting is of great interest but little explored. We report on an investigation of the dewetting of epitaxial Ag(111) films on Si(111) and (100). Low energy electron microscopy (LEEM) shows intriguing evolution in film morphology and crystallinity, even at temperatures below 100oC. On the basis of these findings, we can begin to draw compelling inferences about film-substrate interaction and the kinetics of dewetting. Financial support is from NSF, DGE-0549417 and DMR-0906025. This work was performed, in part, at the Center for Integrated Nanotechnologies, User Facility operated for the U.S. DOE Office of Science. Sandia National Lab is managed and operated by Sandia Corp., a subsidiary of Lockheed Martin Corp., for the U.S. DOE's National Nuclear Security Administration under DE-AC04-94AL85000.

  7. Orientation-dependent structural and photocatalytic properties of LaCoO3 epitaxial nano-thin films

    PubMed Central

    Zhang, Yan-ping; Hu, Hai-long; Xie, Rui-shi; Ma, Guo-hua; Huo, Ji-chuan; Wang, Hai-bin

    2018-01-01

    LaCoO3 epitaxial films were grown on (100), (110) and (111) oriented LaAlO3 substrates by the polymer-assisted deposition method. Crystal structure measurement and cross-section observation indicate that all the LaCoO3 films are epitaxially grown in accordance with the orientation of LaAlO3 substrates, with biaxial compressive strain in the ab plane. Owing to the different strain directions of CoO6 octahedron, the mean Co–O bond length increases by different amounts in (100), (110) and (111) oriented films compared with that of bulk LaCoO3, and the (100) oriented LaCoO3 has the largest increase. Photocatalytic degradation of methyl orange indicates that the order of photocatalytic activity of the three oriented films is (100) > (111) > (110). Combined with analysis of electronic nature and band structure for LaCoO3 films, it is found that the change of the photocatalytic activity is closely related to the crystal field splitting energy of Co3+ and Co–O binding energy. The increase in the mean Co–O bond length will decrease the crystal field splitting energy of Co3+ and Co–O binding energy and further reduce the value of band gap energy, thus improving the photocatalytic activity. This may also provide a clue for expanding the visible-light-induced photocatalytic application of LaCoO3. PMID:29515854

  8. Orientation-dependent structural and photocatalytic properties of LaCoO3 epitaxial nano-thin films

    NASA Astrophysics Data System (ADS)

    Zhang, Yan-ping; Liu, Hai-feng; Hu, Hai-long; Xie, Rui-shi; Ma, Guo-hua; Huo, Ji-chuan; Wang, Hai-bin

    2018-02-01

    LaCoO3 epitaxial films were grown on (100), (110) and (111) oriented LaAlO3 substrates by the polymer-assisted deposition method. Crystal structure measurement and cross-section observation indicate that all the LaCoO3 films are epitaxially grown in accordance with the orientation of LaAlO3 substrates, with biaxial compressive strain in the ab plane. Owing to the different strain directions of CoO6 octahedron, the mean Co-O bond length increases by different amounts in (100), (110) and (111) oriented films compared with that of bulk LaCoO3, and the (100) oriented LaCoO3 has the largest increase. Photocatalytic degradation of methyl orange indicates that the order of photocatalytic activity of the three oriented films is (100) > (111) > (110). Combined with analysis of electronic nature and band structure for LaCoO3 films, it is found that the change of the photocatalytic activity is closely related to the crystal field splitting energy of Co3+ and Co-O binding energy. The increase in the mean Co-O bond length will decrease the crystal field splitting energy of Co3+ and Co-O binding energy and further reduce the value of band gap energy, thus improving the photocatalytic activity. This may also provide a clue for expanding the visible-light-induced photocatalytic application of LaCoO3.

  9. Orientation-dependent structural and photocatalytic properties of LaCoO3 epitaxial nano-thin films.

    PubMed

    Zhang, Yan-Ping; Liu, Hai-Feng; Hu, Hai-Long; Xie, Rui-Shi; Ma, Guo-Hua; Huo, Ji-Chuan; Wang, Hai-Bin

    2018-02-01

    LaCoO 3 epitaxial films were grown on (100), (110) and (111) oriented LaAlO 3 substrates by the polymer-assisted deposition method. Crystal structure measurement and cross-section observation indicate that all the LaCoO 3 films are epitaxially grown in accordance with the orientation of LaAlO 3 substrates, with biaxial compressive strain in the ab plane. Owing to the different strain directions of CoO 6 octahedron, the mean Co-O bond length increases by different amounts in (100), (110) and (111) oriented films compared with that of bulk LaCoO 3 , and the (100) oriented LaCoO 3 has the largest increase. Photocatalytic degradation of methyl orange indicates that the order of photocatalytic activity of the three oriented films is (100) > (111) > (110). Combined with analysis of electronic nature and band structure for LaCoO 3 films, it is found that the change of the photocatalytic activity is closely related to the crystal field splitting energy of Co 3+ and Co-O binding energy. The increase in the mean Co-O bond length will decrease the crystal field splitting energy of Co 3+ and Co-O binding energy and further reduce the value of band gap energy, thus improving the photocatalytic activity. This may also provide a clue for expanding the visible-light-induced photocatalytic application of LaCoO 3 .

  10. Initial growth processes in the epitaxy of Ge with GeH{sub 4} on oxidized Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angermeier, D.; Kuhn, W.S.; Druihle, R.

    1997-02-01

    The heteroepitaxial growth of Ge on (100) Si in a horizontal, atmospheric pressure metallorganic vapor-phase epitaxy reactor is reported using germane GeH{sub 4} (0.1% in H{sub 2}). A particularly crucial parameter for germanium deposition on silicon is the time for the onset of epitaxial growth, the incubation time. The time was measured at substrate temperatures between 450 and 600{degree}C. At a substrate temperature of 450{degree}C an incubation time of 520 s was found and for the subsequent epitaxy growth rates of 50 nm/min were determined by Nomarski microscopy and electron diffraction. The existence of residual oxide in the reactor chambermore » forming an in situ SiO{sub 2} layer was evaluated by x-ray photoemission spectroscopy. To obtain a more thorough understanding of the gas- and solid-phase composition of Ge, Si, and oxygen the Gibbs energy of the system was calculated for various growth temperatures. It was concluded that SiO{sub 2} molecules are reduced by GeH{sub 4} molecules during the incubation period.« less

  11. Epitaxial Relationships between Calcium Carbonate and Inorganic Substrates

    PubMed Central

    Yang, Taewook; Jho, Jae Young; Kim, Il Won

    2014-01-01

    The polymorph-selective crystallization of calcium carbonate has been studied in terms of epitaxial relationship between the inorganic substrates and the aragonite/calcite polymorphs with implication in bioinspired mineralization. EpiCalc software was employed to assess the previously published experimental results on two different groups of inorganic substrates: aragonitic carbonate crystals (SrCO3, PbCO3, and BaCO3) and a hexagonal crystal family (α-Al2O3, α-SiO2, and LiNbO3). The maximum size of the overlayer (aragonite or calcite) was calculated for each substrate based on a threshold value of the dimensionless potential to estimate the relative nucleation preference of the polymorphs of calcium carbonate. The results were in good agreement with previous experimental observations, although stereochemical effects between the overlayer and substrate should be separately considered when existed. In assessing the polymorph-selective nucleation, the current method appeared to provide a better tool than the oversimplified mismatch parameters without invoking time-consuming molecular simulation\\. PMID:25226539

  12. Spin Polarization of Alternate Monatomic Epitaxial [Fe/Co]n Superlattice

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Doi, Masaaki; Sahashi, Masashi; Rajanikanth, Ammanabrolu; Takahashi, Yukiko; Hono, Kazuhiro

    2012-09-01

    The spin polarization (P) of alternate monatomic layered (AML) epitaxial [Fe/Co]n superlattices grown on MgO(001) substrates by electron beam (EB) evaporation has been measured by the point contact Andreev reflection (PCAR) method. The intrinsic transport P of 0.60 was obtained for the AML epitaxial [Fe/Co]n superlattice grown at 75 °C, which is comparable to that of half-metallic Heusler alloys measured by PCAR. The AML epitaxial [Fe/Co]n superlattices on MgO(001), which are expected to possess the B2 ordered structure, show the highest spin polarization of metallic Fe-Co alloy films.

  13. Electrical and structural properties of epitaxially deposited chromium thin films

    NASA Astrophysics Data System (ADS)

    Ohashi, M.; Sawabu, M.; Nakanishi, H.; Ohashi, K.; Maeta, K.

    2018-05-01

    We studied the electrical resistance and crystal structure of epitaxial chromium (Cr) films. The lattice constant of the Cr films was larger than that of the bulk Cr because of MgO substrate on which Cr was epitaxially deposited. A chromium oxide layer having a thickness of 1 nm was found on all films from the result of X-ray reflectivity measurements. The electrical resistivity ρ(T) shows metallic behavior for all epitaxial Cr films in contrast with polycrystalline one. However, the magnitude of ρ tends to increase and the antiferromagnetic interaction is suppressed as decreasing thickness of film.

  14. Band lineup of lattice mismatched InSe/GaSe quantum well structures prepared by van der Waals epitaxy: Absence of interfacial dipoles

    NASA Astrophysics Data System (ADS)

    Lang, O.; Klein, A.; Pettenkofer, C.; Jaegermann, W.; Chevy, A.

    1996-10-01

    Epitaxial growth of the strongly lattice mismatched (6.5%) layered chalcogenides InSe and GaSe on each other is obtained with the concept of van der Waals epitaxy as proven by low-energy electron diffraction and scanning tunnel microscope. InSe/GaSe/InSe and GaSe/InSe/GaSe quantum well structures were prepared by molecular beam epitaxy and their interface properties were characterized by soft x-ray photoelectron spectroscopy. Valence and conduction band offsets are determined to be 0.1 and 0.9 eV, respectively, and do not depend on deposition sequence (commutativity). As determined from the measured work functions the interface dipole is 0.05 eV; the band lineup between the two materials is correctly predicted by the Anderson model (electron affinity rule).

  15. Plasma-assisted MBE growth kinetics and characterization studies of wide bandgap III-V epitaxial materials

    NASA Astrophysics Data System (ADS)

    O'Steen, Mark Lee

    2000-10-01

    Scope and method of study. The purpose of this research was to understand the physics of RF plasma-assisted molecular beam epitaxial growth of GaN epitaxial films and InGaN/GaN superlattice structures grown on Al2O3 (0001) substrates. The techniques used to characterize the RF-MBE grown samples include in situ reflection high energy electron diffraction (RHEED) and optical pyrometry, and ex situ spatially-resolved high resolution X-ray diffraction, spatially-resolved reflectance spectroscopy, atomic force microscopy, and low-temperature photoluminescence (PL) spectroscopy. Findings and conclusions. RF plasma-assisted molecular beam epitaxy (RF-MBE) has been used to grow GaN epitaxial films and InGaN/GaN superlattice structures. The most important growth parameters in the growth of GaN epitaxial films were identified as the substrate temperature, incident N*/Ga flux ratio, and GaN growth rate. The effect of these growth parameters on GaN growth and quality of GaN epitaxial films is discussed. Additionally, an interpretation of the effects of growth conditions on the underlying microscopic growth processes occurring is presented. All of the observed GaN growth results may be understood in terms of these microscopic growth processes. InGaN/GaN superlattice samples are grown to identify and quantitatively access the InGaN growth phenomenology. It is inferred that InN requires a higher N*/III flux ratio than does GaN for stoichiometric growth. At substrate temperatures below 590°C, the In composition of the superlattice samples is nominally constant. However, in the narrow temperature range 590--670°C, the In composition decreases by more than an order-or-magnitude at the lowest N*/III flux ratio of this study. Additionally, the incident N*/III flux ratio is found to strongly influence the In composition as well. Nearly an order-of-magnitude increase in In composition is observed despite only a 20% increase in the N*/III flux ratio at the highest temperature of this

  16. Persistent electrochemical performance in epitaxial VO 2(B)

    DOE PAGES

    Lee, Shinbuhm; Sun, Xiao -Guang; Lubimtsev, Andrew A.; ...

    2017-03-07

    Discovering high-performance energy storage materials is indispensable for renewable energy, electric vehicle performance, and mobile computing. Owing to the open atomic framework and good room temperature conductivity, bronze-phase vanadium dioxide [VO 2(B)] has been regarded as a highly promising electrode material for Li ion batteries. However, previous attempts were unsuccessful to show the desired cycling performance and capacity without chemical modification. Here, we show with epitaxial VO 2(B) films that one can accomplish the theoretical limit for capacity with persistent charging–discharging cyclability owing to the high structural stability and unique open pathways for Li ion conduction. Atomic-scale characterization by scanningmore » transmission electron microscopy and density functional theory calculations also reveal that the unique open pathways in VO 2(B) provide the most stable sites for Li adsorption and diffusion. Furthermore, this work ultimately demonstrates that VO 2(B) is a highly promising energy storage material and has no intrinsic hindrance in achieving superior cyclability with a very high power and capacity in a Li-ion conductor.« less

  17. A look inside epitaxial cobalt-on-fluorite nanoparticles with three-dimensional reciprocal space mapping using GIXD, RHEED and GISAXS.

    PubMed

    Suturin, S M; Fedorov, V V; Korovin, A M; Valkovskiy, G A; Konnikov, S G; Tabuchi, M; Sokolov, N S

    2013-08-01

    In this work epitaxial growth of cobalt on CaF 2 (111), (110) and (001) surfaces has been extensively studied. It has been shown by atomic force microscopy that at selected growth conditions stand-alone faceted Co nanoparticles are formed on a fluorite surface. Grazing-incidence X-ray diffraction (GIXD) and reflection high-energy electron diffraction (RHEED) studies have revealed that the particles crystallize in the face-centered cubic lattice structure otherwise non-achievable in bulk cobalt under normal conditions. The particles were found to inherit lattice orientation from the underlying CaF 2 layer. Three-dimensional reciprocal space mapping carried out using X-ray and electron diffraction has revealed that there exist long bright 〈111〉 streaks passing through the cobalt Bragg reflections. These streaks are attributed to stacking faults formed in the crystal lattice of larger islands upon coalescence of independently nucleated smaller islands. Distinguished from the stacking fault streaks, crystal truncation rods perpendicular to the {111} and {001} particle facets have been observed. Finally, grazing-incidence small-angle X-ray scattering (GISAXS) has been applied to decouple the shape-related scattering from that induced by the crystal lattice defects. Particle faceting has been verified by modeling the GISAXS patterns. The work demonstrates the importance of three-dimensional reciprocal space mapping in the study of epitaxial nanoparticles.

  18. A look inside epitaxial cobalt-on-fluorite nanoparticles with three-dimensional reciprocal space mapping using GIXD, RHEED and GISAXS

    PubMed Central

    Suturin, S. M.; Fedorov, V. V.; Korovin, A. M.; Valkovskiy, G. A.; Konnikov, S. G.; Tabuchi, M.; Sokolov, N. S.

    2013-01-01

    In this work epitaxial growth of cobalt on CaF2(111), (110) and (001) surfaces has been extensively studied. It has been shown by atomic force microscopy that at selected growth conditions stand-alone faceted Co nanoparticles are formed on a fluorite surface. Grazing-incidence X-ray diffraction (GIXD) and reflection high-energy electron diffraction (RHEED) studies have revealed that the particles crystallize in the face-centered cubic lattice structure otherwise non-achievable in bulk cobalt under normal conditions. The particles were found to inherit lattice orientation from the underlying CaF2 layer. Three-dimensional reciprocal space mapping carried out using X-ray and electron diffraction has revealed that there exist long bright 〈111〉 streaks passing through the cobalt Bragg reflections. These streaks are attributed to stacking faults formed in the crystal lattice of larger islands upon coalescence of independently nucleated smaller islands. Distinguished from the stacking fault streaks, crystal truncation rods perpendicular to the {111} and {001} particle facets have been observed. Finally, grazing-incidence small-angle X-ray scattering (GISAXS) has been applied to decouple the shape-related scattering from that induced by the crystal lattice defects. Particle faceting has been verified by modeling the GISAXS patterns. The work demonstrates the importance of three-dimensional reciprocal space mapping in the study of epitaxial nanoparticles. PMID:24046491

  19. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  20. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  1. 7 CFR 4280.193 - Selecting energy audit and renewable energy development assistance grant applications for award.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 7 Agriculture 15 2014-01-01 2014-01-01 false Selecting energy audit and renewable energy..., DEPARTMENT OF AGRICULTURE LOANS AND GRANTS Rural Energy for America Program General Energy Audit and Renewable Energy Development Assistance Grants § 4280.193 Selecting energy audit and renewable energy...

  2. 7 CFR 4280.193 - Selecting energy audit and renewable energy development assistance grant applications for award.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 7 Agriculture 15 2012-01-01 2012-01-01 false Selecting energy audit and renewable energy..., DEPARTMENT OF AGRICULTURE LOANS AND GRANTS Rural Energy for America Program General Energy Audit and Renewable Energy Development Assistance Grants § 4280.193 Selecting energy audit and renewable energy...

  3. 7 CFR 4280.193 - Selecting energy audit and renewable energy development assistance grant applications for award.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 7 Agriculture 15 2013-01-01 2013-01-01 false Selecting energy audit and renewable energy..., DEPARTMENT OF AGRICULTURE LOANS AND GRANTS Rural Energy for America Program General Energy Audit and Renewable Energy Development Assistance Grants § 4280.193 Selecting energy audit and renewable energy...

  4. Crystallization engineering as a route to epitaxial strain control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akbashev, Andrew R.; Plokhikh, Aleksandr V.; Barbash, Dmitri

    2015-10-01

    The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001)SrTiO{sub 3} and (001)LaAlO{sub 3} substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001)BiFeO{sub 3} phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001)SrTiO{sub 3} results in a coherently strained film, themore » same films obtained on (001)LaAlO{sub 3} showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001)SrTiO{sub 3}. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.« less

  5. Role of thermal processes in dewetting of epitaxial Ag(111) film on Si(111)

    DOE PAGES

    Sanders, Charlotte E.; Zhang, Chendong D.; Kellogg, Gary L.; ...

    2014-08-01

    Epitaxially grown silver (Ag) film on silicon (Si) is an optimal plasmonic device platform, but its technological utility has been limited by its tendency to dewet rapidly under ambient conditions (standard temperature and pressure). The mechanisms driving this dewetting have not heretofore been determined. In our study, scanning probe microscopy and low-energy electron microscopy are used to compare the morphological evolution of epitaxial Ag(111)/Si(111) under ambient conditions with that of similarly prepared films heated under ultra-high vacuum (UHV) conditions. Furthermore, dewetting is seen to be initiated with the formation of pinholes, which might function to relieve strain in the film.more » We find that in the UHV environment, dewetting is determined by thermal processes, and while under ambient conditions, thermal processes are not required. Finally, we conclude that dewetting in ambient conditions is triggered by some chemical process, most likely oxidation.« less

  6. First-principles study of twin grain boundaries in epitaxial BaSi{sub 2} on Si(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baba, Masakazu; Suemasu, Takashi, E-mail: suemasu@bk.tsukuba.ac.jp; Kohyama, Masanori

    2016-08-28

    Epitaxial films of BaSi{sub 2} on Si(111) for solar cell applications possess three epitaxial variants and exhibit a minority carrier diffusion length (ca. 9.4 μm) much larger than the domain size (ca. 0.2 μm); thus, the domain boundaries (DBs) between the variants do not act as carrier recombination centers. In this work, transmission electron microscopy (TEM) was used to observe the atomic arrangements around the DBs in BaSi{sub 2} epitaxial films on Si(111), and the most stable atomic configuration was determined by first-principles calculations based on density functional theory to provide possible interface models. Bright-field TEM along the a-axis of BaSi{sub 2}more » revealed that each DB was a twin boundary between two different epitaxial variants, and that Ba{sup (II)} atoms form hexagons containing central Ba{sup (I)} atoms in both the bulk and DB regions. Four possible interface models containing Ba{sup (I)}-atom interface layers were constructed, each consistent with TEM observations and distinguished by the relationship between the Si tetrahedron arrays in the two domains adjacent across the interface. This study assessed the structural relaxation of initial interface models constructed from surface slabs terminated by Ba{sup (I)} atoms or from zigzag surface slabs terminated by Si tetrahedra and Ba{sup (II)} atoms. In these models, the interactions or relative positions between Si tetrahedra appear to dominate the relaxation behavior and DB energies. One of the four interface models whose relationship between first-neighboring Si tetrahedra across the interface was the same as that in the bulk was particularly stable, with a DB energy of 95 mJ/m{sup 2}. There were no significant differences in the partial densities of states and band gaps between the bulk and DB regions, and it was therefore concluded that such DBs do not affect the minority carrier properties of BaSi{sub 2}.« less

  7. Optical Properties of Ferroelectric Epitaxial K0.5Na0.5NbO3 Films in Visible to Ultraviolet Range

    PubMed Central

    Pacherova, O.; Kocourek, T.; Jelinek, M.; Dejneka, A.; Tyunina, M.

    2016-01-01

    The complex index of refraction in the spectral range of 0.74 to 4.5 eV is studied by variable-angle spectroscopic ellipsometry in ferroelectric K0.5Na0.5NbO3 films. The 20-nm-thick cube-on-cube-type epitaxial films are grown on SrTiO3(001) and DyScO3(011) single-crystal substrates. The films are transparent and exhibit a significant difference between refractive indices Δn = 0.5 at photon energies below 3 eV. The energies of optical transitions are in the range of 3.15–4.30 eV and differ by 0.2–0.3 eV in these films. The observed behavior is discussed in terms of lattice strain and strain-induced ferroelectric polarization in epitaxial perovskite oxide films. PMID:27074042

  8. Microstructures and Microhardness Properties of CMSX-4® Additively Fabricated Through Scanning Laser Epitaxy (SLE)

    NASA Astrophysics Data System (ADS)

    Basak, Amrita; Holenarasipura Raghu, Shashank; Das, Suman

    2017-12-01

    Epitaxial CMSX-4® deposition is achieved on CMSX-4® substrates through the scanning laser epitaxy (SLE) process. A thorough analysis is performed using various advanced material characterization techniques, namely high-resolution optical microscopy, scanning electron microscopy, energy-dispersive x-ray spectroscopy, x-ray diffraction, and Vickers microhardness measurements, to characterize and compare the quality of the SLE-fabricated CMSX-4® deposits to the CMSX-4® substrates. The results show that the CMSX-4® deposits have smaller primary dendritic arm spacing, finer γ/ γ' size, weaker elemental segregation, and higher microhardness compared to the investment cast CMSX-4® substrates. The results presented here demonstrate that CMSX-4® is an attractive material for laser-based AM processing and, therefore, can be used in the fabrication of gas turbine hot-section components through AM processing.

  9. EPITAXIAL GROWTH OF SILICON

    DTIC Science & Technology

    Epitaxial growth of silicon on a silicon substrate by hydrogen reduction of SiCl4 was investigated. The chemical and physical processes involved in...silicon layers were produced at temperatures between 1100 and 1300 C. The effects of the concentration of SiCl4 in H2, the flow rate of the gas, the

  10. Perspective: Rapid synthesis of complex oxides by combinatorial molecular beam epitaxy

    DOE PAGES

    A. T. Bollinger; Wu, J.; Bozovic, I.

    2016-03-15

    In this study, the molecular beam epitaxy(MBE) technique is well known for producing atomically smooth thin films as well as impeccable interfaces in multilayers of many different materials. In particular, molecular beam epitaxy is well suited to the growth of complex oxides, materials that hold promise for many applications. Rapid synthesis and high throughput characterization techniques are needed to tap into that potential most efficiently. We discuss our approach to doing that, leaving behind the traditional one-growth-one-compound scheme and instead implementing combinatorial oxide molecular beam epitaxy in a custom built system.

  11. Surface morphological evolution of epitaxial CrN(001) layers

    NASA Astrophysics Data System (ADS)

    Frederick, J. R.; Gall, D.

    2005-09-01

    CrN layers, 57 and 230 nm thick, were grown on MgO(001) at Ts=600-800 °C by ultrahigh-vacuum magnetron sputter deposition in pure N2 discharges from an oblique deposition angle α=80°. Layers grown at 600 °C nucleate as single crystals with a cube-on-cube epitaxial relationship with the substrate. However, rough surfaces with cauliflower-type morphologies cause the nucleation of misoriented CrN grains that develop into cone-shaped grains that protrude out of the epitaxial matrix to form triangular faceted surface mounds. The surface morphology of epitaxial CrN(001) grown at 700 °C is characterized by dendritic ridge patterns extending along the orthogonal <110> directions superposed by square-shaped super mounds with <100> edges. The ridge patterns are attributed to a Bales-Zangwill instability while the supermounds form due to atomic shadowing which leads to the formation of epitaxial inverted pyramids that are separated from the surrounding layer by tilted nanovoids. Growth at 800 °C yields complete single crystals with smooth surfaces. The root-mean-square surface roughness for 230-nm-thick layers decreases from 18.8 to 9.3 to 1.1 nm as Ts is raised from 600 to 700 to 800 °C. This steep decrease is due to a transition in the roughening mechanism from atomic shadowing to kinetic roughening. Atomic shadowing is dominant at 600 and 700 °C, where misoriented grains and supermounds, respectively, capture a larger fraction of the oblique deposition flux in comparison to the surrounding epitaxial matrix, resulting in a high roughening rate that is described by a power law with an exponent β>0.5. In contrast, kinetic roughening controls the surface morphology for Ts=800 °C, as well as the epitaxial fraction of the layers grown at 600 and 700 °C, yielding relatively smooth surfaces and β<=0.27.

  12. 45° sign switching of effective exchange bias due to competing anisotropies in fully epitaxial Co3FeN/MnN bilayers.

    PubMed

    Hajiri, T; Yoshida, T; Filianina, M; Jaiswal, S; Borie, B; Asano, H; Zabel, H; Kläui, M

    2017-12-05

    We report an unusual angular-dependent exchange bias effect in ferromagnet/antiferromagnet bilayers, where both ferromagnet and antiferromagnet are epitaxially grown. Numerical model calculations predict an approximately 45° period for the sign switching of the exchange-bias field, depending on the ratio between magnetocrystalline anisotropy and exchange-coupling constant. The switching of the sign is indicative of a competition between a fourfold magnetocrystalline anisotropy of the ferromagnet and a unidirectional anisotropy field of the exchange coupling. This predicted unusual angular-dependent exchange bias and its magnetization switching process are confirmed by measurements on fully epitaxial Co 3 FeN/MnN bilayers by longitudinal and transverse magneto-optic Kerr effect magnetometry. These results provide a deeper understanding of the exchange coupling phenomena in fully epitaxial bilayers with tailored materials and open up a complex switching energy landscape engineering by anisotropies.

  13. 45° sign switching of effective exchange bias due to competing anisotropies in fully epitaxial Co3FeN/MnN bilayers

    NASA Astrophysics Data System (ADS)

    Hajiri, T.; Yoshida, T.; Filianina, M.; Jaiswal, S.; Borie, B.; Asano, H.; Zabel, H.; Kläui, M.

    2018-01-01

    We report an unusual angular-dependent exchange bias effect in ferromagnet/antiferromagnet bilayers, where both ferromagnet and antiferromagnet are epitaxially grown. Numerical model calculations predict an approximately 45° period for the sign switching of the exchange-bias field, depending on the ratio between magnetocrystalline anisotropy and exchange-coupling constant. The switching of the sign is indicative of a competition between a fourfold magnetocrystalline anisotropy of the ferromagnet and a unidirectional anisotropy field of the exchange coupling. This predicted unusual angular-dependent exchange bias and its magnetization switching process are confirmed by measurements on fully epitaxial Co3FeN/MnN bilayers by longitudinal and transverse magneto-optic Kerr effect magnetometry. These results provide a deeper understanding of the exchange coupling phenomena in fully epitaxial bilayers with tailored materials and open up a complex switching energy landscape engineering by anisotropies.

  14. Enhanced magnetic and thermoelectric properties in epitaxial polycrystalline SrRuO3 thin films.

    PubMed

    Woo, Sungmin; Lee, Sang A; Mun, Hyeona; Choi, Young Gwan; Zhung, Chan June; Shin, Soohyeon; Lacotte, Morgane; David, Adrian; Prellier, Wilfrid; Park, Tuson; Kang, Won Nam; Lee, Jong Seok; Kim, Sung Wng; Choi, Woo Seok

    2018-03-01

    Transition metal oxide thin films show versatile electric, magnetic, and thermal properties which can be tailored by deliberately introducing macroscopic grain boundaries via polycrystalline solids. In this study, we focus on the modification of magnetic and thermal transport properties by fabricating single- and polycrystalline epitaxial SrRuO 3 thin films using pulsed laser epitaxy. Using the epitaxial stabilization technique with an atomically flat polycrystalline SrTiO 3 substrate, an epitaxial polycrystalline SrRuO 3 thin film with the crystalline quality of each grain comparable to that of its single-crystalline counterpart is realized. In particular, alleviated compressive strain near the grain boundaries due to coalescence is evidenced structurally, which induced the enhancement of ferromagnetic ordering of the polycrystalline epitaxial thin film. The structural variations associated with the grain boundaries further reduce the thermal conductivity without deteriorating the electronic transport, and lead to an enhanced thermoelectric efficiency in the epitaxial polycrystalline thin films, compared with their single-crystalline counterpart.

  15. Effective surface Debye temperature for NiMnSb(100) epitaxial films

    NASA Astrophysics Data System (ADS)

    Borca, C. N.; Komesu, Takashi; Jeong, Hae-kyung; Dowben, P. A.; Ristoiu, D.; Hordequin, Ch.; Pierre, J.; Nozières, J. P.

    2000-07-01

    The surface Debye temperature of the NiMnSb (100) epitaxial films has been obtained using low energy electron diffraction, inverse photoemission, and core-level photoemission. The normal dynamic motion of the (100) surface results in a value for the effective surface Debye temperature of 145±13 K. This is far smaller than the bulk Debye temperature of 312±5 K obtained from wave vector dependent inelastic neutron scattering. The large difference between these measures of surface and bulk dynamic motion indicates a soft and compositionally different (100) surface.

  16. The role of electron-electron repulsion in the problem of epitaxial graphene on a metal: Simple estimates

    NASA Astrophysics Data System (ADS)

    Davydov, S. Yu.

    2017-08-01

    For single-layer graphene placed on a metal substrate, the influence of intra- and interatomic Coulomb repulsion of electrons ( U and G, respectively) on its phase diagram is considered in the framework of an extended Hartree-Fock theory. The general solution of the problem is presented, on the basis of which special cases allowing for analytical consideration are analyzed: free and epitaxial graphene with and without regard for the energy of the electron transition between neighboring atoms of graphene. Three regions of the phase diagram are considered: spin and charge density waves (SDW and CDW, respectively) and the semimetal (SM) state uniform in the spin and charge. The main attention is paid to undoped graphene. It is shown that the allowance for the interaction with a metal substrate expands the SM existence domain. However, in all the considered cases, the boundary between the SDW and CDW states is described by the equation U = zG, where z = 3 is the number of nearest neighbors in graphene. The widening of the SM state region also results from the doping of graphene, and the effect is independent of the sign of free carriers introduced into epitaxial graphene by the substrate. According to estimates made, the only state possible in the buffer layer is the metal-type SM state, whereas, in epitaxial graphene, the CDW state is possible. The influence of temperature on the phase diagram of epitaxial graphene is discussed.

  17. Self-organization of quantum-dot pairs by high-temperature droplet epitaxy

    PubMed Central

    Holmes, Kyland; Mazur, Yuriy I; Ramsey, Kimberly A; Salamo, Gregory J

    2006-01-01

    The spontaneously formation of epitaxial GaAs quantum-dot pairs was demonstrated on an AlGaAs surface using Ga droplets as a Ga nano-source. The dot pair formation was attributed to the anisotropy of surface diffusion during high-temperature droplet epitaxy.

  18. Laterally Overgrown Structures as Substrates for Lattice Mismatched Epitaxy

    DTIC Science & Technology

    2002-06-03

    low supersaturation substrate [3]. Therefore, equilibrium growth techniques as liquid buffer with TD phase epitaxy (LPE) or vapour phase epitaxy (VPE...phase diffusion during MBE growth, so lateral over- low cost semiconductor devices. Therefore, vapour growth must rely on the surface mobility of...is replaced by graphite film not wetted For the GaAs on GaAs ELO system we attributed by the gallium melt [35]. Similarly, tungsten has been broadening

  19. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    NASA Astrophysics Data System (ADS)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  20. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  1. van der Waals epitaxial ZnTe thin film on single-crystalline graphene

    NASA Astrophysics Data System (ADS)

    Sun, Xin; Chen, Zhizhong; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Washington, Morris; Lu, Toh-Ming

    2018-01-01

    Graphene template has long been promoted as a promising host to support van der Waals flexible electronics. However, van der Waals epitaxial growth of conventional semiconductors in planar thin film form on transferred graphene sheets is challenging because the nucleation rate of film species on graphene is significantly low due to the passive surface of graphene. In this work, we demonstrate the epitaxy of zinc-blende ZnTe thin film on single-crystalline graphene supported by an amorphous glass substrate. Given the amorphous nature and no obvious remote epitaxy effect of the glass substrate, this study clearly proves the van der Waals epitaxy of a 3D semiconductor thin film on graphene. X-ray pole figure analysis reveals the existence of two ZnTe epitaxial orientational domains on graphene, a strong X-ray intensity observed from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [10] orientation domain, and a weaker intensity from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [11] orientation domain. Furthermore, this study systematically investigates the optoelectronic properties of this epitaxial ZnTe film on graphene using temperature-dependent Raman spectroscopy, steady-state and time-resolved photoluminescence spectroscopy, and fabrication and characterization of a ZnTe-graphene photodetector. The research suggests an effective approach towards graphene-templated flexible electronics.

  2. CROSS-DISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Epitaxial Growth of Graphene on 6H-SiC (0001) by Thermal Annealing

    NASA Astrophysics Data System (ADS)

    Tang, Jun; Liu, Zhong-Liang; Kang, Chao-Yang; Pan, Hai-Bin; Wei, Shi-Qiang; Xu, Peng-Shou; Gao, Yu-Qiang; Xu, Xian-Gang

    2009-08-01

    An epitaxial graphene (EG) layer is successfully grown on a Si-terminated 6H-SiC (0001) substrate by the method of thermal annealing in an ultrahigh vacuum molecular beam epitaxy chamber. The structure and morphology of the EG sample are characterized by reflection high energy diffraction (RHEED), Raman spectroscopy and atomic force microscopy (AFM). Graphene diffraction streaks can be seen in RHEED. The G and 2D peaks of graphene are clearly observed in the Raman spectrum. The AFM results show that the graphene nominal thickness is about 4-10 layers.

  3. Lattice dynamics and electron/phonon interactions in epitaxial transition-metal nitrides

    NASA Astrophysics Data System (ADS)

    Mei, Antonio Rodolph Bighetti

    Transition metal (TM) nitrides, due to their unique combination of remarkable physical properties and simple NaCl structure, are presently utilized in a broad range of applications and as model systems in the investigation of complex phenomena. Group-IVB nitrides TiN, ZrN, and HfN have transport properties which include superconductivity and high electrical conductivity; consequentially, they have become technologically important as electrodes and contacts in the semiconducting and superconducting industries. The Group-VB nitride VN, which exhibits enhanced ductility, is a fundamental component in superhard and tough nanostructured hard coatings. In this thesis, I investigate the lattice dynamics responsible for controlling superconductivity and electrical conductivities in Group-IVB nitrides and elasticity and structural stability of the NaCl-structure Group-VB nitride VN. Our group has already synthesized high-quality epitaxial TiN, HfN, and CeN layers on MgO(001) substrates. By irradiating the growth surface with high ion fluxes at energies below the bulk lattice-atom displacement threshold, dense epitaxial single crystal TM nitride films with extremely smooth surfaces have been grown using ultra-high vacuum magnetically-unbalanced magnetron sputter deposition. Using this approach, I completed the Group-IVB nitride series by growing epitaxial ZrN/MgO(001) films and then grew Group-VB nitride VN films epitaxially on MgO(001), MgO(011), and MgO(111). The combination of high-resolution x-ray diffraction (XRD) reciprocal lattice maps (RLMs), high-resolution cross-sectional transmission electron microscopy (HR-XTEM), and selected-area electron diffraction (SAED) show that single-crystal stoichiometric ZrN films grown at 450 °C are epitaxially oriented cube-on-cube with respect to their MgO(001) substrates, (001) ZrN||(001)MgO and [100]ZrN||[100]MgO. The layers are essentially fully relaxed with a lattice parameter of 0.4575 nm. X-ray reflectivity results reveal that

  4. Transactions of the Chinese Solar Energy Society (Selected Articles),

    DTIC Science & Technology

    1983-08-04

    iDAld- 870 TRANSACTIONS OF THE CHINESE SOLAR ENERGY SOCIETY i/i ’A1 (SELECTED ARTICLES)<U) FOREIGN TECHNOLOGY DIV I WRIGHT-PRTTERSON RF8 OH 7 SUN ET...34 . -.-. - - - - , " ’ ’-. . .. .. ...- " . ’ " FTD-ID(RS)T-1067-83 10 00 FOREIGN TECHNOLOGY DIVISION TRANSACTIONS OF THE CHINESE SOLAR ENERGY SOCIETY (Selected Articles...l067-83 4 August 1983 MICROFICHE NR: FTD-83-C-000960 TRANSACTIONS OF THE CHINESE SOLAR ENERGY SOCIETYI(Selected Articles) English pages: 16 Source: Acta

  5. Growth kinetics and island evolution during double-pulsed molecular beam epitaxy of InN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kraus, A.; Hein, C.; Bremers, H.

    The kinetic processes of InN growth using alternating source fluxes with sub-monolayer In pulses in plasma-assisted molecular beam epitaxy have been investigated. Growth at various temperatures reveals the existence of two growth regimes. While growth at low temperatures is solely governed by surface diffusion, a combination of decomposition, desorption, and diffusion becomes decisive at growth temperatures of 470 °C and above. At this critical temperature, the surface morphology changes from a grainy structure to a structure made of huge islands. The formation of those islands is attributed to the development of an indium adlayer, which can be observed via reflection highmore » energy electron diffraction monitoring. Based on the growth experiments conducted at temperatures below T{sub Growth} = 470 °C, an activation energy for diffusion of 0.54 ± 0.02 eV has been determined from the decreasing InN island density. A comparison between growth on metalorganic vapor phase epitaxy GaN templates and pseudo bulk GaN indicates that step edges and dislocations are favorable nucleation sites. Based on the results, we developed a growth model, which describes the main mechanisms of the growth.« less

  6. Water-Soluble Epitaxial NaCl Thin Film for Fabrication of Flexible Devices.

    PubMed

    Lee, Dong Kyu; Kim, Sungjoo; Oh, Sein; Choi, Jae-Young; Lee, Jong-Lam; Yu, Hak Ki

    2017-08-18

    We studied growth mechanisms of water-soluble NaCl thin films on single crystal substrates. Epitaxial growth of NaCl(100) on Si(100) and domain-matched growth of NaCl(111) on c-sapphire were obtained at thicknesses below 100 nm even at room temperature from low lattice mismatches in both cases. NaCl thin film, which demonstrates high solubility selectivity for water, was successfully applied as a water-soluble sacrificial layer for fabrication of several functional materials, such as WO 3 nano-helix and Sn doped In 2 O 3 nano-branches.

  7. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    NASA Astrophysics Data System (ADS)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  8. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  9. Strain tuning of electronic structure in Bi 4Ti 3O 12-LaCoO 3 epitaxial thin films

    DOE PAGES

    Choi, Woo Seok; Lee, Ho Nyung

    2015-05-08

    In this study, we investigated the crystal and electronic structures of ferroelectric Bi 4Ti 3O 12 single-crystalline thin films site-specifically substituted with LaCoO 3 (LCO). The epitaxial films were grown by pulsed laser epitaxy on NdGaO 3 and SrTiO 3 substrates to vary the degree of strain. With increasing the LCO substitution, we observed a systematic increase in the c-axis lattice constant of the Aurivillius phase related with the modification of pseudo-orthorhombic unit cells. These compositional and structural changes resulted in a systematic decrease in the band gap, i.e., the optical transition energy between the oxygen 2p and transition-metal 3dmore » states, based on a spectroscopic ellipsometry study. In particular, the Co 3d state seems to largely overlap with the Ti t 2g state, decreasing the band gap. Interestingly, the applied tensile strain facilitates the band-gap narrowing, demonstrating that epitaxial strain is a useful tool to tune the electronic structure of ferroelectric transition-metal oxides.« less

  10. Role of microstructures on the M1-M2 phase transition in epitaxial VO2 thin films

    PubMed Central

    Ji, Yanda; Zhang, Yin; Gao, Min; Yuan, Zhen; Xia, Yudong; Jin, Changqing; Tao, Bowan; Chen, Chonglin; Jia, Quanxi; Lin, Yuan

    2014-01-01

    Vanadium dioxide (VO2) with its unique sharp resistivity change at the metal-insulator transition (MIT) has been extensively considered for the near-future terahertz/infrared devices and energy harvesting systems. Controlling the epitaxial quality and microstructures of vanadium dioxide thin films and understanding the metal-insulator transition behaviors are therefore critical to novel device development. The metal-insulator transition behaviors of the epitaxial vanadium dioxide thin films deposited on Al2O3 (0001) substrates were systematically studied by characterizing the temperature dependency of both Raman spectrum and Fourier transform infrared spectroscopy. Our findings on the correlation between the nucleation dynamics of intermediate monoclinic (M2) phase with microstructures will open a new avenue for the design and integration of advanced heterostructures with controllable multifunctionalities for sensing and imaging system applications. PMID:24798056

  11. Commercial aspects of epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultra vacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds and large ultra vacuum volume (about 100 cu m) without walls. These space ultra vacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials especially in the area of semiconductors for microelectronics use. For such thin film materials there is expected a very large value added from space ultra vacuum processing, and as a result the application of the epitaxial thin film growth technology to space could lead to major commercial efforts in space.

  12. Nanoscale self-templating for oxide epitaxy with large symmetry mismatch

    DOE PAGES

    Gao, Xiang; Lee, Shinbuhm; Nichols, John A.; ...

    2016-12-02

    Direct observations using scanning transmission electron microscopy unveil an intriguing interfacial bi-layer that enables epitaxial growth of a strain-free, monoclinic, bronze-phase VO 2(B) thin film on a perovskite SrTiO 3 (STO) substrate. For this study, we observe an ultrathin (2–3 unit cells) interlayer best described as highly strained VO 2(B) nanodomains combined with an extra (Ti,V)O 2 layer on the TiO 2 terminated STO (001) surface. By forming a fully coherent interface with the STO substrate and a semi-coherent interface with the strain-free epitaxial VO 2(B) film above, the interfacial bi-layer enables the epitaxial connection of the two materials despitemore » their large symmetry and lattice mismatch.« less

  13. Van der Waals Epitaxy of GaSe/Graphene Heterostructure: Electronic and Interfacial Properties.

    PubMed

    Ben Aziza, Zeineb; Henck, Hugo; Pierucci, Debora; Silly, Mathieu G; Lhuillier, Emmanuel; Patriarche, Gilles; Sirotti, Fausto; Eddrief, Mahmoud; Ouerghi, Abdelkarim

    2016-10-07

    Stacking two-dimensional materials in so-called van der Waals (vdW) heterostructures, like the combination of GaSe and graphene, provides the ability to obtain hybrid systems which are suitable to design optoelectronic devices. Here, we report the structural and electronic properties of the direct growth of multilayered GaSe by Molecular beam Epitaxy (MBE) on graphene. Reflection high-energy electron diffraction (RHEED) images exhibited sharp streaky features indicative of high quality GaSe layer produced via a vdW epitaxy. Micro-Raman spectroscopy showed that, after the vdW hetero-interface formation, the Raman signature of pristine graphene is preserved. However, the GaSe film tuned the charge density of graphene layer by shifting the Dirac point by about 80 meV toward lower binding energies, attesting an electron transfer from graphene to GaSe. Angle-resolved photoemission spectroscopy (ARPES) measurements showed that the maximum of the valence band of few layers of GaSe are located at the Γ point at a binding energy of about -0.73 eV relatively to the Fermi level (p-type doping). From the ARPES measurements, a hole effective mass defined along the ΓM direction and equal to about m*/m0 = -1.1 was determined. By coupling the ARPES data with high resolution X-ray photoemission spectroscopy (HR-XPS) measurements, the Schottky interface barrier height was estimated to be 1.2 eV. These findings allow deeper understanding of the interlayer interactions and the electronic structure of GaSe/graphene vdW heterostructure.

  14. Relay Selection for Cooperative Relaying in Wireless Energy Harvesting Networks

    NASA Astrophysics Data System (ADS)

    Zhu, Kaiyan; Wang, Fei; Li, Songsong; Jiang, Fengjiao; Cao, Lijie

    2018-01-01

    Energy harvesting from the surroundings is a promising solution to provide energy supply and extend the life of wireless sensor networks. Recently, energy harvesting has been shown as an attractive solution to prolong the operation of cooperative networks. In this paper, we propose a relay selection scheme to optimize the amplify-and-forward (AF) cooperative transmission in wireless energy harvesting cooperative networks. The harvesting energy and channel conditions are considered to select the optimal relay as cooperative relay to minimize the outage probability of the system. Simulation results show that our proposed relay selection scheme achieves better outage performance than other strategies.

  15. Energy: An annotated selected bibliography

    NASA Technical Reports Server (NTRS)

    Blow, S. J. (Compiler); Peacock, R. W. (Compiler); Sholy, J. J. (Compiler)

    1979-01-01

    This updated bibliography contains approximately 7,000 selected references on energy and energy related topics from bibliographic and other data sources from June 1977. Under each subject heading the entries are arranged by the data, with the latest works first. Subject headings include: resources supply/demand, and forecasting; policy, legislation, and regulation; environment; consumption, conservation, and economics; analysis, systems, and modeling, and information sources and documentation. Fossil fuels, hydrogen and other fuels, liquid/solid wastes and biomass, waste heat utilization, and nuclear power sources are also included.

  16. Hydride vapor phase epitaxy of high structural perfection thick AlN layers on off-axis 6H-SiC

    NASA Astrophysics Data System (ADS)

    Volkova, Anna; Ivantsov, Vladimir; Leung, Larry

    2011-01-01

    The employment of more than 10 μm thick AlN epilayers on SiC substrates for AlGaN/GaN high-electron-mobility transistors (HEMTs) substantially raises their performance in high-power energy-efficient amplifiers for 4G wireless mobile stations. In this paper, structural properties and surface morphology of thick AlN epilayers deposited by hydride vapor phase epitaxy (HVPE) on off-axis conductive 6H-SiC substrates are reported. The epilayers were examined in detail by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), Nomarski differential interference contrast (DIC), scanning electron microscopy (SEM), and selective wet chemical etching. At optimal substrate preparation and growth conditions, a full width at half-maximum (FWHM) of the XRD rocking curve (RC) for the symmetric (00.2) reflex was very close to that of the substrate (less than 40 arcsec) suggesting low screw dislocation density in the epilayer (˜10 6 cm -2) and small in-plane tilt misorientation. Reciprocal space mapping around asymmetric reflexes and measured lattice parameters indicated a fully relaxed state of the epilayers. The unit-cell-high stepped areas of the epilayers with 0.5 nm root mean square (RMS) roughness over 1×1 μm 2 scan were alternated with step-bunching instabilities up to 350 nm in height. Low warp of the substrates makes them suitable for precise epitaxy of HEMT structures.

  17. Microstructural, Magnetic Anisotropy, and Magnetic Domain Structure Correlations in Epitaxial FePd Thin Films with Perpendicular Magnetic Anisotropy

    NASA Technical Reports Server (NTRS)

    Skuza, J. R.; Clavero, C.; Yang, K.; Wincheski, B.; Lukaszew, R. A.

    2009-01-01

    L1(sub 0)-ordered FePd epitaxial thin films were prepared using dc magnetron sputter deposition on MgO (001) substrates. The films were grown with varying thickness and degree of chemical order to investigate the interplay between the microstructure, magnetic anisotropy, and magnetic domain structure. The experimentally measured domain size/period and magnetic anisotropy in this high perpendicular anisotropy system were found to be correlated following the analytical energy model proposed by Kooy and Enz that considers a delicate balance between the domain wall energy and the demagnetizing stray field energy.

  18. Choice of Substrate Material for Epitaxial CdTe Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Tao; Kanevce, Ana; Sites, James R.

    2015-06-14

    Epitaxial CdTe with high quality, low defect density, and high carrier concentration should in principle yield high-efficiency photovoltaic devices. However, insufficient effort has been given to explore the choice of substrate for high-efficiency epitaxial CdTe solar cells. In this paper, we use numerical simulations to investigate three crystalline substrates: silicon (Si), InSb, and CdTe each substrate material are generally discussed.

  19. Self-Assembled Epitaxial Au–Oxide Vertically Aligned Nanocomposites for Nanoscale Metamaterials

    DOE PAGES

    Li, Leigang; Sun, Liuyang; Gomez-Diaz, Juan Sebastian; ...

    2016-05-17

    Metamaterials made of nanoscale inclusions or artificial unit cells exhibit exotic optical properties that do not exist in natural materials. Promising applications, such as super-resolution imaging, cloaking, hyperbolic propagation, and ultrafast phase velocities have been demonstrated based on mostly micrometer-scale metamaterials and few nanoscale metamaterials. To date, most metamaterials are created using costly and tedious fabrication techniques with limited paths toward reliable large-scale fabrication. In this work, we demonstrate the one-step direct growth of self-assembled epitaxial metal–oxide nanocomposites as a drastically different approach to fabricating large-area nanostructured metamaterials. Using pulsed laser deposition, we fabricated nanocomposite films with vertically aligned goldmore » (Au) nanopillars (~20 nm in diameter) embedded in various oxide matrices with high epitaxial quality. Strong, broad absorption features in the measured absorbance spectrum are clear signatures of plasmon resonances of Au nanopillars. By tuning their densities on selected substrates, anisotropic optical properties are demonstrated via angular dependent and polarization resolved reflectivity measurements and reproduced by full-wave simulations and effective medium theory. Our model predicts exotic properties, such as zero permittivity responses and topological transitions. In conclusion, our studies suggest that these self-assembled metal–oxide nanostructures provide an exciting new material platform to control and enhance optical response at nanometer scales.« less

  20. Selective current collecting design for spring-type energy harvesters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Dongjin; Roh, Hee Seok; Kim, Yeontae

    2015-01-01

    Here we present a high performance spring-type piezoelectric energy harvester that selectively collects current from the inner part of a spring shell. We analyzed themain reason behind the low efficiency of the initial design using finite element models and proposed a selective current collecting design that can considerably improve the electrical conversion efficiency of the energy harvester. We found that the newly designed energy harvester increases the output voltage by 8 times leading to an output power of 2.21 mW under an impulsive load of 2.18 N when compared with the conventional design. We envision that selective current collecting designmore » will be used in spring-based self-powered active sensors and energy scavenging devices.« less

  1. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    NASA Astrophysics Data System (ADS)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    2018-05-01

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. The difference in the two materials may be due to the occupation of the substrate acceptor states in the presence of the midgap state EL2.

  2. Developing a framework for energy technology portfolio selection

    NASA Astrophysics Data System (ADS)

    Davoudpour, Hamid; Ashrafi, Maryam

    2012-11-01

    Today, the increased consumption of energy in world, in addition to the risk of quick exhaustion of fossil resources, has forced industrial firms and organizations to utilize energy technology portfolio management tools viewed both as a process of diversification of energy sources and optimal use of available energy sources. Furthermore, the rapid development of technologies, their increasing complexity and variety, and market dynamics have made the task of technology portfolio selection difficult. Considering high level of competitiveness, organizations need to strategically allocate their limited resources to the best subset of possible candidates. This paper presents the results of developing a mathematical model for energy technology portfolio selection at a R&D center maximizing support of the organization's strategy and values. The model balances the cost and benefit of the entire portfolio.

  3. Spectroscopic and microscopic investigation of MBE-grown CdTe (211)B epitaxial thin films on GaAs (211)B substrates

    NASA Astrophysics Data System (ADS)

    Özden, Selin; Koc, Mumin Mehmet

    2018-03-01

    CdTe epitaxial thin films, for use as a buffer layer for HgCdTe defectors, were grown on GaAs (211)B using the molecular beam epitaxy method. Wet chemical etching (Everson method) was applied to the epitaxial films using various concentrations and application times to quantify the crystal quality and dislocation density. Surface characterization of the epitaxial films was achieved using Atomic force microscopy and Scanning electron microscopy (SEM) before and after each treatment. The Energy Dispersive X-Ray apparatus of SEM was used to characterize the chemical composition. Untreated CdTe films show smooth surface characteristics with root mean square (RMS) roughnesses of 1.18-3.89 nm. The thicknesses of the CdTe layers formed were calculated via FTIR spectrometry and obtained by ex situ spectroscopic ellipsometry. Raman spectra were obtained for various temperatures. Etch pit densities (EPD) were measured, from which it could be seen that EPD changes between 1.7 × 108 and 9.2 × 108 cm-2 depending on the concentration of the Everson etch solution and treatment time. Structure, shape and depth of pits resulting from each etch pit implementation were also evaluated. Pit widths varying between 0.15 and 0.71 µm with heights varying between 2 and 80 nm were observed. RMS roughness was found to vary by anything from 1.56 to 26 nm.

  4. Epitaxial growth of highly strained antimonene on Ag(111)

    NASA Astrophysics Data System (ADS)

    Mao, Ya-Hui; Zhang, Li-Fu; Wang, Hui-Li; Shan, Huan; Zhai, Xiao-Fang; Hu, Zhen-Peng; Zhao, Ai-Di; Wang, Bing

    2018-06-01

    The synthesis of antimonene, which is a promising group-V 2D material for both fundamental studies and technological applications, remains highly challenging. Thus far, it has been synthesized only by exfoliation or growth on a few substrates. In this study, we show that thin layers of antimonene can be grown on Ag(111) by molecular beam epitaxy. High-resolution scanning tunneling microscopy combined with theoretical calculations revealed that the submonolayer Sb deposited on a Ag(111) surface forms a layer of AgSb2 surface alloy upon annealing. Further deposition of Sb on the AgSb2 surface alloy causes an epitaxial layer of Sb to form, which is identified as antimonene with a buckled honeycomb structure. More interestingly, the lattice constant of the epitaxial antimonene (5 Å) is much larger than that of freestanding antimonene, indicating a high tensile strain of more than 20%. This kind of large strain is expected to make the antimonene a highly promising candidate for roomtemperature quantum spin Hall material.

  5. Molecular beam epitaxial growth and structural characterization of ZnS on (001) GaAs

    NASA Technical Reports Server (NTRS)

    Benz, R. G., II; Huang, P. C.; Stock, S. R.; Summers, C. J.

    1988-01-01

    The effect of surface nucleation processes on the quality of ZnS layers grown on (001) GaAs substrates by molecular beam epitaxy is reported. Reflection high energy electron diffraction indicated that nucleation at high temperatures produced more planar surfaces than nucleation at low temperatures, but the crystalline quality as assessed by X-ray double crystal diffractometry is relatively independent of nucleation temperature. A critical factor in layer quality was the initial roughness of the GaAs surfaces.

  6. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  7. Effect of indium droplets on growth of InGaN film by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zheng, Xiantong; Liang, Hongwei; Wang, Ping; Sun, Xiaoxiao; Chen, Zhaoying; Wang, Tao; Sheng, Bowen; Wang, Yixin; Chen, Ling; Wang, Ding; Rong, Xin; Li, Mo; Zhang, Jian; Wang, Xinqiang

    2018-01-01

    Effect of indium (In) droplets on InGaN thin films grown by molecular beam epitaxy (MBE) has been investigated. The surface of InGaN covered by In droplets shows a smoother topography than that without droplets, indicating that the presence of In droplets is beneficial to the two dimensional growth. Beneath the In droplets, many ring-like structures are observed. The arrangement of these "ring" shows the movement of the In droplets during the InGaN growth. A qualitative growth model is proposed to explain the evolution of the InGaN surface morphology in In-droplet-induced-epitaxy process, giving an explanation that a local vapor-liquid-solid (VLS) system is preferentially formed at the edge of the droplets, leading to a high growth rate. Furthermore, the energy dispersive X-ray spectroscopy results reveal that the relatively higher In/Ga flux ratio in the region covered by the In droplet results in a locally higher In content.

  8. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy.

    PubMed

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R

    2014-04-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  9. Method of varying a characteristic of an optical vertical cavity structure formed by metalorganic vapor phase epitaxy

    DOEpatents

    Hou, Hong Q.; Coltrin, Michael E.; Choquette, Kent D.

    2001-01-01

    A process for forming an array of vertical cavity optical resonant structures wherein the structures in the array have different detection or emission wavelengths. The process uses selective area growth (SAG) in conjunction with annular masks of differing dimensions to control the thickness and chemical composition of the materials in the optical cavities in conjunction with a metalorganic vapor phase epitaxy (MOVPE) process to build these arrays.

  10. Electronic and optical properties of La-doped S r3I r2O7 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Souri, M.; Terzic, J.; Johnson, J. M.; Connell, J. G.; Gruenewald, J. H.; Thompson, J.; Brill, J. W.; Hwang, J.; Cao, G.; Seo, A.

    2018-02-01

    We have investigated structural, transport, and optical properties of tensile strained (Sr1-xL ax ) 3I r2O7 (x =0 , 0.025, 0.05) epitaxial thin films. While high-Tc superconductivity is predicted theoretically in the system, we have observed that all of the samples remain insulating with finite optical gap energies and Mott variable-range hopping characteristics in transport. Cross-sectional scanning transmission electron microscopy indicates that structural defects such as stacking faults appear in this system. The insulating behavior of the La-doped S r3I r2O7 thin films is presumably due to disorder-induced localization and ineffective electron doping of La, which brings to light the intriguing difference between epitaxial thin films and bulk single crystals of the iridates.

  11. Epitaxial ternary nitride thin films prepared by a chemical solution method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Hongmei; Feldmann, David M; Wang, Haiyan

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  12. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  13. Thermal transport across metal silicide-silicon interfaces: An experimental comparison between epitaxial and nonepitaxial interfaces

    NASA Astrophysics Data System (ADS)

    Ye, Ning; Feser, Joseph P.; Sadasivam, Sridhar; Fisher, Timothy S.; Wang, Tianshi; Ni, Chaoying; Janotti, Anderson

    2017-02-01

    Silicides are used extensively in nano- and microdevices due to their low electrical resistivity, low contact resistance to silicon, and their process compatibility. In this work, the thermal interface conductance of TiSi2, CoSi2, NiSi, and PtSi are studied using time-domain thermoreflectance. Exploiting the fact that most silicides formed on Si(111) substrates grow epitaxially, while most silicides on Si(100) do not, we study the effect of epitaxy, and show that for a wide variety of interfaces there is no dependence of interface conductance on the detailed structure of the interface. In particular, there is no difference in the thermal interface conductance between epitaxial and nonepitaxial silicide/silicon interfaces, nor between epitaxial interfaces with different interface orientations. While these silicide-based interfaces yield the highest reported interface conductances of any known interface with silicon, none of the interfaces studied are found to operate close to the phonon radiation limit, indicating that phonon transmission coefficients are nonunity in all cases and yet remain insensitive to interfacial structure. In the case of CoSi2, a comparison is made with detailed computational models using (1) full-dispersion diffuse mismatch modeling (DMM) including the effect of near-interfacial strain, and (2) an atomistic Green' function (AGF) approach that integrates near-interface changes in the interatomic force constants obtained through density functional perturbation theory. Above 100 K, the AGF approach significantly underpredicts interface conductance suggesting that energy transport does not occur purely by coherent transmission of phonons, even for epitaxial interfaces. The full-dispersion DMM closely predicts the experimentally observed interface conductances for CoSi2, NiSi, and TiSi2 interfaces, while it remains an open question whether inelastic scattering, cross-interfacial electron-phonon coupling, or other mechanisms could also account for

  14. Process for growing epitaxial gallium nitride and composite wafers

    DOEpatents

    Weber, Eicke R.; Subramanya, Sudhir G.; Kim, Yihwan; Kruger, Joachim

    2003-05-13

    A novel growth procedure to grow epitaxial Group III metal nitride thin films on lattice-mismatched substrates is proposed. Demonstrated are the quality improvement of epitaxial GaN layers using a pure metallic Ga buffer layer on c-plane sapphire substrate. X-ray rocking curve results indicate that the layers had excellent structural properties. The electron Hall mobility increases to an outstandingly high value of .mu.>400 cm.sup.2 /Vs for an electron background concentration of 4.times.10.sup.17 cm.sup.-3.

  15. ZnO and related materials: Plasma-Assisted molecular beam epitaxial growth, characterization and application

    NASA Astrophysics Data System (ADS)

    Hong, S. K.; Chen, Y.; Ko, H. J.; Wenisch, H.; Hanada, T.; Yao, T.

    2001-06-01

    This paper will address features of plasma-assisted molecular beam epitaxial growth of ZnO and related materials and their characteristics. Two-dimensional, layer-by-layer growth is achieved both on c-plane sampphire by employing MgO buffer layer growth and on (0001) GaN/Al2O3 template by predepositing a low-temperature buffer layer followed by high-temperature annealing. Such two-dimensional growth results in the growth of high-quality heteroepitaxial ZnO epilayers. Biexciton emission is obtained from such high quality epilayers The polarity of heteroepitaxial ZnO epilayers is controlled by engineering the heterointerfaces. We achieved selective growth of Zn-polar and O-polar ZnO heteroepitaxial layers. The origin of different polarities can be successfully explained by an interface bonding sequence model. N-type conductivity in Gadoped ZnO epilayers is successfully controlled. High conductivity, enough to be applicable to devices, is achieved. MgxZn1-xO/ZnO heterostructures are grown and emission from a ZnO quantum well is observed. Mg incorporation in a MgZnO alloy is determined by in-situ reflection high-energy electron diffraction intensity oscillations, which enables precise control of the composition. Homoepitaxy on commericial ZnO substrates has been examined. Reflection high-energy electron diffraction intensity oscillations during homoepitaxy growth are observed.

  16. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    NASA Astrophysics Data System (ADS)

    Shintri, Shashidhar S.

    /Ge/(211)Si was achieved by block co-polymer (BCP) lithography. Conditions for selective CdTe epitaxy was achieved and results showed different defect propagation mechanism at the patterned interface compared to the films grown on blanket Si. In another study, patterning of ˜360 nm holes in SiO2/(211)Si was done by molecular transfer lithography (MxL). Conditions for selective Ge and CdTe epitaxy were achieved which was the most challenging part of this work. Thin CdTe films were characterized to check the effect of nanopatterning. Certain results invariably showed that CdTe grown on nanopatterned substrates demonstrated promise of defect reduction and blocking close to the growth interface. But presently, nanopatterning also offers some serious challenges such as uniformity of patterns and substrate cleaning prior to growth for successful implementation of epitaxy on very large areas. Such factors resulted in degradation of overall crystal quality and will be discussed in this work. This is the first successful demonstration of selective (211)B CdTe epitaxy on Si by MOVPE using some of the relatively novel and promising nanopatterning techniques.

  17. Relay selection in energy harvesting cooperative networks with rateless codes

    NASA Astrophysics Data System (ADS)

    Zhu, Kaiyan; Wang, Fei

    2018-04-01

    This paper investigates the relay selection in energy harvesting cooperative networks, where the relays harvests energy from the radio frequency (RF) signals transmitted by a source, and the optimal relay is selected and uses the harvested energy to assist the information transmission from the source to its destination. Both source and the selected relay transmit information using rateless code, which allows the destination recover original information after collecting codes bits marginally surpass the entropy of original information. In order to improve transmission performance and efficiently utilize the harvested power, the optimal relay is selected. The optimization problem are formulated to maximize the achievable information rates of the system. Simulation results demonstrate that our proposed relay selection scheme outperform other strategies.

  18. Electrical transport properties of epitaxial titanium nitride nanowire

    NASA Astrophysics Data System (ADS)

    Makise, K.; Shinozaki, B.

    2018-03-01

    We have measured the transport properties of epitaxial titanium nitride (TiN) nanowires. Epitaxial TiN layer, deposited by dc magnetron sputtering on MgO(100) substrates at growth temperature T = 1073 K. Samples of nanowire were fabricated by e-beam lithography and reactive ion etching. Although TiN films with 100 nm-thickness have superconducting transition temperature T C ∼ 5 K, nanowires does not appear resistive transition until 0.15 K. The magnetoresistance (MR) are always negative. Furthermore for MR experimental results, we attempt to fit the data using one-dimensional weak localization theory. In addition we observed oscillations of magnetoresistance below 5 K.

  19. Electronic and transformation properties of a metastable defect introduced in epitaxially grown boron-doped p-type Si by alpha particle irradiation

    NASA Astrophysics Data System (ADS)

    Mamor, M.; Auret, F. D.; Goodman, S. A.; Meyer, W. E.; Myburg, G.

    1998-06-01

    Titanium (Ti) Schottky barrier diodes on epitaxially grown boron-doped p-type Si films with a free carrier density of 6-8×1016cm-3 were irradiated with alpha particles at room temperature using an americium-241 (Am-241) radio nuclide. We report the electronic and transformation characteristics of an α-particle irradiation-induced defect Hα2 in epitaxially grown p-Si with metastable properties. The energy level and apparent capture cross section, as determined by deep-level transient spectroscopy, are Ev+0.43 eV and 1.4×10-15 cm2, respectively. This defect can be removed and re-introduced using a conventional bias-on/off cooling technique.

  20. The structure of epitaxial V2O3 films and their surfaces: A medium energy ion scattering study

    NASA Astrophysics Data System (ADS)

    Window, A. J.; Hentz, A.; Sheppard, D. C.; Parkinson, G. S.; Woodruff, D. P.; Unterberger, W.; Noakes, T. C. Q.; Bailey, P.; Ganduglia-Pirovano, M. V.; Sauer, J.

    2012-11-01

    Medium energy ion scattering, using 100 keV H+ incident ions, has been used to investigate the growth of epitaxial films, up to thicknesses of ~ 200 Å, of V2O3 on both Pd(111) and Au(111). Scattered-ion energy spectra provide a measure of the average film thickness and the variations in this thickness, and show that, with suitable annealing, the crystalline quality is good. Plots of the scattering yield as a function of scattering angle, so-called blocking curves, have been measured for two different incidence directions and have been used to determine the surface structure. Specifically, scattering simulations for a range of different model structures show poor agreement with experiment for half-metal (….V'O3V) and vanadyl (….V'O3V=O) terminations, with and without surface interlayer relaxations. However, good agreement with experiment is found for the modified oxygen-termination structure, first proposed by Kresse et al., in which a subsurface V half-metal layer is moved up into the outermost V buckled metal layer to produce a VO2 overlayer on the underlying V2O3, with an associated layer structure of ….O3VV''V 'O3. This result is consistent with the predictions of thermodynamic equilibrium at the surface under the surface preparation conditions, but is at variance with the conclusions of earlier studies of this system that have favoured the vanadyl termination. The results of these previous studies are re-evaluated in the light of the new result.

  1. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  2. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  3. Thermoelectric Properties of Epitaxial β-FeSi2 Thin Films on Si(111) and Approach for Their Enhancement

    NASA Astrophysics Data System (ADS)

    Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Okuhata, Ryo; Ishibe, Takafumi; Watanabe, Kentaro; Suzuki, Takeyuki; Fujita, Takeshi; Sawano, Kentarou; Nakamura, Yoshiaki

    2017-05-01

    We have investigated the intrinsic thermoelectric properties of epitaxial β-FeSi2 thin films and the impact of phosphorus (P) doping. Epitaxial β-FeSi2 thin films with single phase were grown on Si(111) substrates by two different techniques in an ultrahigh-vacuum molecular beam epitaxy (MBE) system: solid-phase epitaxy (SPE), where iron silicide films formed by codeposition of Fe and Si at room temperature were recrystallized by annealing at 530°C to form epitaxial β-FeSi2 thin films on Si(111) substrates, and MBE of β-FeSi2 thin films on epitaxial β-FeSi2 templates formed on Si(111) by reactive deposition epitaxy (RDE) at 530°C (RDE + MBE). Epitaxial SPE thin films based on codeposition had a flatter surface and more abrupt β-FeSi2/Si(111) interface than epitaxial RDE + MBE thin films. We investigated the intrinsic thermoelectric properties of the epitaxial β-FeSi2 thin films on Si(111), revealing lower thermal conductivity and higher electrical conductivity compared with bulk β-FeSi2. We also investigated the impact of doping on the Seebeck coefficient of bulk and thin-film β-FeSi2. A route to enhance the thermoelectric performance of β-FeSi2 is proposed, based on (1) fabrication of thin-film structures for high electrical conductivity and low thermal conductivity, and (2) proper choice of doping for high Seebeck coefficient.

  4. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  5. Renewable energy recovery through selected industrial wastes

    NASA Astrophysics Data System (ADS)

    Zhang, Pengchong

    Typically, industrial waste treatment costs a large amount of capital, and creates environmental concerns as well. A sound alternative for treating these industrial wastes is anaerobic digestion. This technique reduces environmental pollution, and recovers renewable energy from the organic fraction of those selected industrial wastes, mostly in the form of biogas (methane). By applying anaerobic technique, selected industrial wastes could be converted from cash negative materials into economic energy feed stocks. In this study, three kinds of industrial wastes (paper mill wastes, brown grease, and corn-ethanol thin stillage) were selected, their performance in the anaerobic digestion system was studied and their applicability was investigated as well. A pilot-scale system, including anaerobic section (homogenization, pre-digestion, and anaerobic digestion) and aerobic section (activated sludge) was applied to the selected waste streams. The investigation of selected waste streams was in a gradually progressive order. For paper mill effluents, since those effluents contain a large amount of recalcitrant or toxic compounds, the anaerobic-aerobic system was used to check its treatability, including organic removal efficiency, substrate utilization rate, and methane yield. The results showed the selected effluents were anaerobically treatable. For brown grease, as it is already well known as a treatable substrate, a high rate anaerobic digester were applied to check the economic effect of this substrate, including methane yield and substrate utilization rate. These data from pilot-scale experiment have the potential to be applied to full-scale plant. For thin stillage, anaerobic digestion system has been incorporated to the traditional ethanol making process as a gate-to-gate process. The performance of anaerobic digester was applied to the gate-to-gate life-cycle analysis to estimate the energy saving and industrial cost saving in a typical ethanol plant.

  6. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. Furthermore, the difference in the two materials may be due to the occupation of the substrate acceptormore » states in the presence of the midgap state EL2.« less

  7. Magnetic properties of epitaxial hexagonal HoFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Xiao, Zhuyun; Xu, Xiaoshan; Wang, Wenbin; Keavney, David; Liu, Yaohua; Cheng, X. M.

    2014-03-01

    Multiferroic materials exhibit multiple ferroic orders simultaneously and thus have great potential applications in information technology, sensing and actuation. Epitaxial hexagonal HoFeO3 (h-HFO) films are very promising candidates as multiferroic materials with room temperature ferromagnetism, because magnetic Ho3+ ions are expected to have stronger exchange interactions with Fe3+ ions than the well-studied h-LuFeO3 films. We report study of magnetic properties of epitaxial h-HFO thin films deposited using laser molecular beam epitaxy on Yttria-stabilized zirconia (YSZ) substrates. X-ray diffraction measurements confirmed the epitaxial registry and six-fold symmetry of the film. Temperature dependence of magnetization of the film measured by a Quantum Design SQUID magnetometer shows dominating paramagnetic characteristic. Element specific x-ray magnetic circular dichroism measurements performed at beamline 4-ID-C of the Advanced Photon Source show a ferromagnetic ordering of Fe and an exchange coupling between Ho3+ and Fe3+ ions. Work at BMC is supported by NSF Career award (DMR 1053854). Work at ANL is supported by US-DOE, Office of Science, BES (No. DE-AC02-06CH11357).

  8. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    NASA Astrophysics Data System (ADS)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  9. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  10. Epitaxial phase diagrams of SrTiO3, CaTiO3, and SrHfO3: Computational investigation including the role of antiferrodistortive and A -site displacement modes

    NASA Astrophysics Data System (ADS)

    Angsten, Thomas; Asta, Mark

    2018-04-01

    Ground-state epitaxial phase diagrams are calculated by density functional theory (DFT) for SrTiO3, CaTiO3, and SrHfO3 perovskite-based compounds, accounting for the effects of antiferrodistortive and A -site displacement modes. Biaxial strain states corresponding to epitaxial growth of (001)-oriented films are considered, with misfit strains ranging between -4 % and 4%. Ground-state structures are determined using a computational procedure in which input structures for DFT optimizations are identified as local minima in expansions of the total energy with respect to strain and soft-mode degrees of freedom. Comparison to results of previous DFT studies demonstrates the effectiveness of the computational approach in predicting ground-state phases. The calculated results show that antiferrodistortive octahedral rotations and associated A -site displacement modes act to suppress polarization and reduce the epitaxial strain energy. A projection of calculated atomic displacements in the ground-state epitaxial structures onto soft-mode eigenvectors shows that three ferroelectric and six antiferrodistortive displacement modes are dominant at all misfit strains considered, with the relative contributions from each varying systematically with the strain. Additional A -site displacement modes contribute to the atomic displacements in CaTiO3 and SrHfO3, which serve to optimize the coordination of the undersized A -site cation.

  11. Improved Boat For Liquid-Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Connolly, John C.

    1991-01-01

    Liquid-phase epitaxial (LPE) growth boat redesigned. Still fabricated from ultra-high-purity graphite, but modified to permit easy disassembly and cleaning, along with improved wiping action for more complete removal of melt to reduce carry-over of gallium. Larger substrates and more uniform composition obtained.

  12. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.

    1993-09-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. [bold 58], 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. [bold 65], 2220 (1989)]more » when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In[sub 0.04]Ga[sub 0.96]As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane.« less

  13. Growth, structure, and magnetic properties of γ-Fe2O3 epitaxial films on MgO

    NASA Astrophysics Data System (ADS)

    Gao, Y.; Kim, Y. J.; Thevuthasan, S.; Chambers, S. A.; Lubitz, P.

    1997-04-01

    Single-crystal epitaxial thin films of γ-Fe2O3(001) have been grown on MgO(001) using oxygen-plasma-assisted molecular beam epitaxy. The structure and magnetic properties of these films have been characterized by a variety of techniques, including reflection high-energy electron diffraction (RHEED), low-energy electron diffraction (LEED), x-ray photoelectron spectroscopy and x-ray photoelectron/Auger electron diffraction (XPD/AED), vibrating sample magnetometry, and ferromagnetic resonance. Real-time RHEED reveals that the film growth occurs in a layer-by-layer fashion. The γ-Fe2O3(001) film surface exhibits a (1×1) LEED pattern. The growth of γ-Fe2Ooverflow="scroll">3 films at 450 °C is accompanied by significant Mg outdiffusion. AED of Mg KLL Auger emission reveals that Mg substitutionally incorporates in the γ-Fe2O3 lattice, occupying the octahedral sites. Magnetic moments are ˜2300 G and ˜4500 G for γ-Fe2O3 films grown at 250 °C and 450 °C, respectively. The high magnetic moment for the films grown at 450 °C could be attributed to the high degree of structural order of the films and Mg substitution at octahedral sites.

  14. Fabrication of IrSi(3)/p-Si Schottky diodes by a molecular beam epitaxy technique

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Iannelli, J. M.

    1990-01-01

    IrSi(3)/p-Si Schottky diodes have been fabricated by a molecular beam epitaxy technique at 630 C. Good surface morphology was observed for IrSi(3) layers grown at temperatures below 680 C, and an increasing tendency to form islands is observed in samples grown at higher temperatures. Good diode current-voltage characteristics were observed and Schottky barrier heights of 0.14-0.18 eV were determined by activation energy analysis and spectral response measurement.

  15. Epitaxy: Programmable Atom Equivalents Versus Atoms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Mary X.; Seo, Soyoung E.; Gabrys, Paul A.

    The programmability of DNA makes it an attractive structure-directing ligand for the assembly of nanoparticle superlattices in a manner that mimics many aspects of atomic crystallization. However, the synthesis of multilayer single crystals of defined size remains a challenge. Though previous studies considered lattice mismatch as the major limiting factor for multilayer assembly, thin film growth depends on many interlinked variables. Here, a more comprehensive approach is taken to study fundamental elements, such as the growth temperature and the thermodynamics of interfacial energetics, to achieve epitaxial growth of nanoparticle thin films. Under optimized equilibrium conditions, single crystal, multilayer thin filmsmore » can be synthesized over 500 × 500 μm2 areas on lithographically patterned templates. Importantly, these superlattices follow the same patterns of crystal growth demonstrated in thin film atomic deposition, allowing for these processes to be understood in the context of well-studied atomic epitaxy, and potentially enabling a nanoscale model to study fundamental crystallization processes.« less

  16. Chemically Triggered Formation of Two-Dimensional Epitaxial Quantum Dot Superlattices.

    PubMed

    Walravens, Willem; De Roo, Jonathan; Drijvers, Emile; Ten Brinck, Stephanie; Solano, Eduardo; Dendooven, Jolien; Detavernier, Christophe; Infante, Ivan; Hens, Zeger

    2016-07-26

    Two dimensional superlattices of epitaxially connected quantum dots enable size-quantization effects to be combined with high charge carrier mobilities, an essential prerequisite for highly performing QD devices based on charge transport. Here, we demonstrate that surface active additives known to restore nanocrystal stoichiometry can trigger the formation of epitaxial superlattices of PbSe and PbS quantum dots. More specifically, we show that both chalcogen-adding (sodium sulfide) and lead oleate displacing (amines) additives induce small area epitaxial superlattices of PbSe quantum dots. In the latter case, the amine basicity is a sensitive handle to tune the superlattice symmetry, with strong and weak bases yielding pseudohexagonal or quasi-square lattices, respectively. Through density functional theory calculations and in situ titrations monitored by nuclear magnetic resonance spectroscopy, we link this observation to the concomitantly different coordination enthalpy and ligand displacement potency of the amine. Next to that, an initial ∼10% reduction of the initial ligand density prior to monolayer formation and addition of a mild, lead oleate displacing chemical trigger such as aniline proved key to induce square superlattices with long-range, square micrometer order; an effect that is the more pronounced the larger the quantum dots. Because the approach applies to PbS quantum dots as well, we conclude that it offers a reproducible and rational method for the formation of highly ordered epitaxial quantum dot superlattices.

  17. Cassava as an energy source: a selected bibliography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sherman, C.

    1980-01-01

    This selected bibliography includes 250 articles on cassava as a potential energy source. Factors included are things which influence cassava growth; such as weeding, fertilizer, diseases and genetic selection, as well as the conversion of cassava to ethanol. (DP)

  18. Ferromagnetic order in epitaxially strained LaCoO3 thin films

    NASA Astrophysics Data System (ADS)

    Fuchs, D.; Pinta, C.; Schwarz, T.; Schweiss, P.; Nagel, P.; Schuppler, S.; Schneider, R.; Merz, M.; Roth, G.; v. Löhneysen, H.

    2007-04-01

    LaCoO3 films grown epitaxially on ⟨001⟩ oriented (LaAlO3)0.3(Sr2AlTaO6)0.7 substrates by pulsed laser deposition exhibit ferromagnetic ordering below a critical temperature, Tc , of 85K . Polycrystalline films of LaCoO3 prepared in the same way did not show ferromagnetic order down to T≈5K , and their temperature dependent susceptibility was identical to that of bulk LaCoO3 . The ferromagnetism in epitaxial films is not simply a property of the surface region, rather it extends over the complete film thickness, as shown by the linear increase of the saturated magnetic moment with increasing film thickness. We discuss this surprising result in terms of epitaxial tensile strain via the properly chosen substrate inducing ferromagnetic order.

  19. Nucleation mechanisms of epitaxial GaN nanowires: Origin of their self-induced formation and initial radius

    NASA Astrophysics Data System (ADS)

    Consonni, V.; Knelangen, M.; Geelhaar, L.; Trampert, A.; Riechert, H.

    2010-02-01

    The formation mechanisms of epitaxial GaN nanowires grown within a self-induced approach by molecular-beam epitaxy have been investigated at the onset of the nucleation process by combining in situ reflection high-energy electron-diffraction measurements and ex situ high-resolution transmission electron microscopy imaging. It is shown that the self-induced growth of GaN nanowires on the AlN buffer layer is initially governed by the nucleation of dislocation-free coherent islands. These coherent islands develop through a series of shape transitions from spherical caps through truncated to full pyramids in order to elastically relieve the lattice-mismatch-induced strain. A strong correlation between the subsequent process of plastic relaxation and the final shape transition from full pyramids toward the very first nanowires is found. The experimental critical radius at which the misfit dislocation nucleates is in very good agreement with the theoretical critical radius for the formation of the misfit dislocation in full pyramids, showing that the plastic relaxation process does take place within full pyramids: this critical size corresponds to the initial radius of the very first nanowires. We associate the plastic relaxation of the lattice-mismatch-induced strain occurring within full pyramids with a drastic change in their total free energy: this gives rise to a driving force for the shape transition toward the very first nanowires, which is mainly due to the anisotropy of surface energy.

  20. Rhombohedral Super Hetero Epitaxy of Cubic SiGe on Trigonal c-plane Sapphire

    NASA Technical Reports Server (NTRS)

    Choi, Sang H.; Duzik, Adam J.

    2017-01-01

    New rhombohedral super-hetero-epitaxy technology was developed at NASA. This epitaxy technology enables the growth of unprecedented cubic-trigonal hybrid single crystal structures with lattice match on sapphire (Al2O3) substrates, hence with little strain and very few defects at the interface.

  1. Epitaxial growth of γ-InSe and α, β, and γ-In2Se3 on ε-GaSe

    NASA Astrophysics Data System (ADS)

    Balakrishnan, Nilanthy; Steer, Elisabeth D.; Smith, Emily F.; Kudrynskyi, Zakhar R.; Kovalyuk, Zakhar D.; Eaves, Laurence; Patanè, Amalia; Beton, Peter H.

    2018-07-01

    We demonstrate that γ-InSe and the α, β and γ phases of In2Se3 can be grown epitaxially on ε-GaSe substrates using a physical vapour transport method. By exploiting the temperature gradient within the tube furnace, we can grow selectively different phases of InxSey depending on the position of the substrate within the furnace. The uniform cleaved surface of ε-GaSe enables the epitaxial growth of the InxSey layers, which are aligned over large areas. The InxSey epilayers are characterised using Raman, photoluminescence, x-ray photoelectron and electron dispersive x-ray spectroscopies. Each InxSey phase and stoichiometry exhibits distinct optical and vibrational properties, providing a tuneable photoluminescence emission range from 1.3 eV to ~2 eV suitable for exploitation in electronics and optoelectronics.

  2. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1-xFex)2O3 multilayer thin films.

    PubMed

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-04-28

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe(2+) and Fe(3+) are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What's more, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3.

  3. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    NASA Astrophysics Data System (ADS)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  4. Graphene Substrate for van der Waals Epitaxy of Layer-Structured Bismuth Antimony Telluride Thermoelectric Film.

    PubMed

    Kim, Eun Sung; Hwang, Jae-Yeol; Lee, Kyu Hyoung; Ohta, Hiromichi; Lee, Young Hee; Kim, Sung Wng

    2017-02-01

    Graphene as a substrate for the van der Waals epitaxy of 2D layered materials is utilized for the epitaxial growth of a layer-structured thermoelectric film. Van der Waals epitaxial Bi 0.5 Sb 1.5 Te 3 film on graphene synthesized via a simple and scalable fabrication method exhibits good crystallinity and high thermoelectric transport properties comparable to single crystals. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Molecular-Beam-Epitaxy Program

    NASA Technical Reports Server (NTRS)

    Sparks, Patricia D.

    1988-01-01

    Molecular Beam Epitaxy (MBE) computer program developed to aid in design of single- and double-junction cascade cells made of silicon. Cascade cell has efficiency 1 or 2 percent higher than single cell, with twice the open-circuit voltage. Input parameters include doping density, diffusion lengths, thicknesses of regions, solar spectrum, absorption coefficients of silicon (data included for 101 wavelengths), and surface recombination velocities. Results include maximum power, short-circuit current, and open-circuit voltage. Program written in FORTRAN IV.

  6. Strain-induced modification of magnetic structure and new magnetic phases in rare-earth epitaxial films

    NASA Astrophysics Data System (ADS)

    Dufour, C.; Dumesnil, K.; Mangin, Ph

    2006-07-01

    Rare earths exhibit complex magnetic phase diagrams resulting from the competition between various contributions to the magnetic energy: exchange, anisotropy and magnetostriction. The epitaxy of a rare-earth film on a substrate induces (i) a clamping to the substrate and (ii) pseudomorphic strains. Both these effects are shown to lead to modifications of the magnetic properties in (0 0 1)Dy, (0 0 1)Tb and (1 1 0)Eu films. In Dy and Tb films, spectacular variations of the Curie temperature have been evidenced. Additionally, Tb films exhibit a new large wavelength magnetic modulation. In Eu films, one of the helical magnetic domains disappears at low temperature whereas the propagation vectors of the other helices are tilted. The link between structural and magnetic properties is underlined via magnetoelastic models. Moreover, molecular beam epitaxy permits the growth of Sm in a metastable dhcp phase. The magnetic structure of dhcp Sm has been elucidated for the first time. In this review, neutron scattering is shown to be a powerful technique to reveal the magnetic structures of rare-earth films.

  7. 45 sign switching of effective exchange bias due to competing anisotropies in fully epitaxial Co3FeN/MnN bilayers.

    PubMed

    Hajiri, Tetsuya; Yoshida, Takuya; Filianina, Mariia; Jaiswal, Samridh; Borie, Benjamin; Asano, H; Zabel, Hartmut; Klaui, Mathias

    2017-11-20

    We report an unusual angular-dependent exchange bias effect in ferromagnet/antiferromagnet bilayers, where both ferromagnet and antiferromagnet are epitaxially grown. Numerical model calculations predict an approximately 45$^\\circ$ period for the sign switching of the exchange-bias field, depending on the ratio between magnetocrystalline anisotropy and exchange-coupling constant. The switching of the sign is indicative of a competition between a fourfold magnetocrystalline anisotropy of the ferromagnet and a unidirectional anisotropy field of the exchange coupling. This predicted unusual angular-dependent exchange bias and its magnetization switching process are confirmed by measurements on fully epitaxial Co$_3$FeN/MnN bilayers by longitudinal and transverse magneto-optic Kerr effect magnetometry. These results provide a deeper understanding of the exchange coupling phenomena in fully epitaxial bilayers with tailored materials and open up a complex switching energy landscape engineering by anisotropies. © 2017 IOP Publishing Ltd.

  8. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  9. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  10. Role of associated defects in oxygen ion conduction and surface exchange reaction for epitaxial samaria-doped ceria thin films as catalytic coatings

    DOE PAGES

    Yang, Nan; Shi, Yanuo; Schweiger, Sebastian; ...

    2016-05-18

    Samaria-doped ceria (SDC) thin films are particularly important for energy and electronic applications such as micro-solid oxide fuel cells, electrolysers, sensors and memristors. In this paper we report a comparative study investigating ionic conductivity and surface reactions for well-grown epitaxial SDC films varying the samaria doping concentration. With increasing doping above 20 mol% of samaria, an enhancement in the defect association was observed by Raman spectroscopy. The role of such defect associates on the films` oxygen ion transport and exchange was investigated by electrochemical impedance spectroscopy and electrochemical strain microscopy (ESM). The measurements reveal that the ionic transport has amore » sharp maximum in ionic conductivity and drop in its activation energy down to 0.6 eV for 20 mol% doping. Increasing the doping concentration further up to 40 mol%, raises the activation energy substantially by a factor of two. We ascribe the sluggish transport kinetics to the "bulk" ionic-near ordering in case of the heavily doped epitaxial films. Analysis of the ESM first order reversal curve measurements indicate that these associated defects may have a beneficial role by lowering the activation of the oxygen exchange "surface" reaction for heavily doped 40 mol% of samaria. We reveal in a model experiment through a solid solution series of samaria doped ceria epitaxial films that the occurrence of associate defects in the bulk affects the surface charging state of the films to increase the exchange rates. Lastly, the implication of these findings are the design of coatings with tuned oxygen surface exchange by control of bulk associate clusters for future electro-catalytic applications.« less

  11. Epitaxial approaches to long-wavelength vertical-cavity lasers

    NASA Astrophysics Data System (ADS)

    Hall, Eric Michael

    The success of short-wavelength (850 nm) vertical-cavity surface-emitting lasers (VCSELs) as low-cost components in fiber optic networks has created a strong demand for similar low-cost devices at longer wavelengths (1.3--1.55mum), which are even more important in telecommunications systems. Extending the success of VCSELs to these longer wavelengths, however, has been slowed by the absence of a mature technology that incorporates all of the necessary components on one substrate without sacrificing the inexpensive and manufacturable nature of VCSELs. Although InAlGaAs active regions on InP substrates have been developed extensively, the other components of vertical-cavity lasers, especially epitaxially-grown distributed Bragg reflectors (DBRs), are less mature on these substrates. This thesis examines the materials and technologies that enable long-wavelength VCSELs to be grown in a single, epitaxial, lattice-matched step on InP substrates. The advantages and shortcomings of each material system are identified and the impact on devices examined. Additionally, processing technologies that rely on the properties of these materials are developed. From these studies, a InP-based, lattice-matched VCSEL design is presented that utilizes AlGaAsSb for high reflectivity DBRs, InAlGaAs for high quality active regions, InP for heat and current spreading, and a materials selective etch for electrical and optical confinement. In short, the design avoids the shortcomings of each material system while emphasizing the advantages. The resulting devices, showing low threshold currents, high efficiencies and powers, and high operating temperatures, not only validate this approach but demonstrate that such lattice-matched, InP-based devices may be a low-cost, manufacturable answer to this long-wavelength VCSEL demand.

  12. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  13. Thickness dependence of the exchange bias in epitaxial manganite bilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kobrinskii, A. L.; Goldman, A. M.; Varela del Arco, Maria

    Exchange bias has been studied in a series of La{sub 2/3}Ca{sub 1/3}MnO{sub 3}/La{sub 1/3}Ca{sub 2/3}MnO{sub 3} bilayers grown on (001) SrTiO{sub 3} substrates by ozone-assisted molecular-beam epitaxy. The high crystalline quality of the samples and interfaces has been verified using high-resolution x-ray diffractometry and Z-contrast scanning transmission electron microscopy with electron-energy-loss spectroscopy. The dependence of exchange bias on the thickness of the antiferromagnetic layer has been investigated. A critical value for the onset of the hysteresis loop shift has been determined. An antiferromagnetic anisotropy constant has been obtained by fitting the results to the generalized Meiklejohn-Bean model.

  14. Scintillation properties of the Ce-doped multicomponent garnet epitaxial films

    NASA Astrophysics Data System (ADS)

    Prusa, P.; Kucera, M.; Mares, J. A.; Hanus, M.; Beitlerova, A.; Onderisinova, Z.; Nikl, M.

    2013-10-01

    (Lu,Y,Gd)3(Al,Ga)5O12:Ce garnet scintillator single crystalline films were grown onto LuAG, YAG and GGG substrates by liquid phase epitaxy method. Absorption, radioluminescence spectra and photoluminescence excitation, emission spectra, and decay kinetics were measured. Photoelectron yield, its dependence on amplifier shaping time and energy resolution were determined to evaluate scintillation performance. Most of the samples exhibited strong UV emission caused by trapped excitons and/or Gd3+ 4f-4f transition. However, emission spectrum of the best performing Gd2YAl5O12:Ce is dominated by the Ce3+ fast 5d-4f luminescence. This sample has outperformed photoelectron yield of all the garnet films studied so far.

  15. OM-VPE growth of Mg-doped GaAs. [OrganoMetallic-Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Dietze, W. T.; Ludowise, M. J.

    1982-01-01

    The epitaxial growth of Mg-doped GaAs by the organometallic vapor phase epitaxial process (OM-VPE) has been achieved for the first time. The doping is controllable over a wide range of input fluxes of bis (cyclopentadienyl) magnesium, (C5H5)2Mg, the organometallic precursor to Mg.

  16. Strain relaxation in single crystal SrTiO3 grown on Si (001) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Choi, Miri; Posadas, Agham; Dargis, Rytis; Shih, Chih-Kang; Demkov, Alexander A.; Triyoso, Dina H.; David Theodore, N.; Dubourdieu, Catherine; Bruley, John; Jordan-Sweet, Jean

    2012-03-01

    An epitaxial layer of SrTiO3 grown directly on Si may be used as a pseudo-substrate for the integration of perovskite oxides onto silicon. When SrTiO3 is initially grown on Si (001), it is nominally compressively strained. However, by subsequent annealing in oxygen at elevated temperature, an SiOx interlayer can be formed which alters the strain state of SrTiO3. We report a study of strain relaxation in SrTiO3 films grown on Si by molecular beam epitaxy as a function of annealing time and oxygen partial pressure. Using a combination of x-ray diffraction, reflection high energy electron diffraction, and transmission electron microscopy, we describe the process of interfacial oxidation and strain relaxation of SrTiO3 on Si (001). Understanding the process of strain relaxation of SrTiO3 on silicon will be useful for controlling the SrTiO3 lattice constant for lattice matching with functional oxide overlayers.

  17. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  18. Weakly doped InP layers prepared by liquid phase epitaxy using a modulated cooling rate

    NASA Astrophysics Data System (ADS)

    Krukovskyi, R.; Mykhashchuk, Y.; Kost, Y.; Krukovskyi, S.; Saldan, I.

    2017-04-01

    Epitaxial structures based on InP are widely used to manufacture a number of devices such as microwave transistors, light-emitting diodes, lasers and Gunn diodes. However, their temporary instability caused by heterogeneity of resistivity along the layer thickness and the influence of various external or internal factors prompts the need for the development of a new reliable technology for their preparation. Weak doping by Yb, Al and Sn together with modulation of the cooling rate applied to prepare InP epitaxial layers is suggested to be adopted within the liquid phase epitaxy (LPE) method. The experimental results confirm the optimized conditions created to get a uniform electron concentration in the active n-InP layer. A sharp profile of electron concentration in the n+-InP(substrate)/n-InP/n+-InP epitaxial structure was observed experimentally at the proposed modulated cooling rate of 0.3 °С-1.5 °С min-1. The proposed technological method can be used to control the electrical and physical properties of InP epitaxial layers to be used in Gunn diodes.

  19. Exploring Ag(111) Substrate for Epitaxially Growing Monolayer Stanene: A First-Principles Study

    PubMed Central

    Gao, Junfeng; Zhang, Gang; Zhang, Yong-Wei

    2016-01-01

    Stanene, a two-dimensional topological insulator composed of Sn atoms in a hexagonal lattice, is a promising contender to Si in nanoelectronics. Currently it is still a significant challenge to achieve large-area, high-quality monolayer stanene. We explore the potential of Ag(111) surface as an ideal substrate for the epitaxial growth of monolayer stanene. Using first-principles calculations, we study the stability of the structure of stanene in different epitaxial relations with respect to Ag(111) surface, and also the diffusion behavior of Sn adatom on Ag(111) surface. Our study reveals that: (1) the hexagonal structure of stanene monolayer is well reserved on Ag(111) surface; (2) the height of epitaxial stanene monolayer is comparable to the step height of the substrate, enabling the growth to cross the surface step and achieve a large-area stanene; (3) the perfect lattice structure of free-standing stanene can be achieved once the epitaxial stanene monolayer is detached from Ag(111) surface; and finally (4) the diffusion barrier of Sn adatom on Ag(111) surface is found to be only 0.041 eV, allowing the epitaxial growth of stanene monolayer even at low temperatures. Our above revelations strongly suggest that Ag(111) surface is an ideal candidate for growing large-area, high-quality monolayer stanene. PMID:27373464

  20. Excitonic complexes in single zinc-blende GaN/AlN quantum dots grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sergent, S.; Kako, S.; Bürger, M.

    2014-10-06

    We study by microphotoluminescence the optical properties of single zinc-blende GaN/AlN quantum dots grown by droplet epitaxy. We show evidences of both excitonic and multiexcitonic recombinations in individual quantum dots with radiative lifetimes shorter than 287 ± 8 ps. Owing to large band offsets and a large exciton binding energy, the excitonic recombinations of single zinc-blende GaN/AlN quantum dots can be observed up to 300 K.

  1. Photon Transport in One-Dimensional Incommensurately Epitaxial CsPbX 3 Arrays

    DOE PAGES

    Wang, Yiping; Sun, Xin; Shivanna, Ravichandran; ...

    2016-11-16

    One-dimensional nanoscale epitaxial arrays serve as a great model in studying fundamental physics and for emerging applications. With an increasing focus laid on the Cs-based inorganic halide perovskite out of its outstanding material stability, we have applied vapor phase epitaxy to grow well aligned horizontal CsPbX 3 (X: Cl, Br, or I or their mixed) nanowire arrays in large scale on mica substrate. The as-grown nanowire features a triangular prism morphology with typical length ranging from a few tens of micrometers to a few millimeters. Structural analysis reveals that the wire arrays follow the symmetry of mica substrate through incommensuratemore » epitaxy, paving a way for a universally applicable method to grow a broad family of halide perovskite materials. We have studied the unique photon transport in the one-dimensional structure in the all-inorganic Cs-based perovskite wires via temperature dependent and spatially resolved photoluminescence. Furthermore, epitaxy of well oriented wire arrays in halide perovskite would be a promising direction for enabling the circuit-level applications of halide perovskite in high-performance electro-optics and optoelectronics.« less

  2. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  3. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  4. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1−xFex)2O3 multilayer thin films

    PubMed Central

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-01-01

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe2+ and Fe3+ are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What’s more, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3. PMID:27121446

  5. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  6. Wafer bonded epitaxial templates for silicon heterostructures

    DOEpatents

    Atwater, Jr., Harry A.; Zahler, James M [Pasadena, CA; Morral, Anna Fontcubera I [Paris, FR

    2008-03-11

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  7. Wafer bonded epitaxial templates for silicon heterostructures

    NASA Technical Reports Server (NTRS)

    Atwater, Harry A., Jr. (Inventor); Zahler, James M. (Inventor); Morral, Anna Fontcubera I (Inventor)

    2008-01-01

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  8. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    PubMed

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  9. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template

    PubMed Central

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-01-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi2Se3 epitaxial heterostructures by using two-dimensional (2D) Bi2Se3 nanoplates as soft templates. The dangling bond–free surface of 2D Bi2Se3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi2Se3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi2Se3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi2Se3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi2Se3 nanoplates. We further show that the resulted PbSe/Bi2Se3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi2Se3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions. PMID:27730211

  10. Thickness driven spin reorientation transition of epitaxial LaCrO3 films

    NASA Astrophysics Data System (ADS)

    Park, Junho; Kim, Dong-Hwan; Lee, Doopyo; Ko, Kyung-Tae; Hyun Song, Jong; Kim, Jae-Young; Koo, Tae-Yeong; Lee, Seung Ran; Park, Jae-Hoon

    2018-03-01

    We grew fully strained epitaxial LaCrO3 (LCO) films on SrTiO3(001) under layer-by-layer control up to the film thickness of t = 130 nm using a pulsed laser deposition method. The spin axis of the antiferromagnetic LCO film was systematically examined as a function of t by using Cr L2,3-edge x-ray magnetic linear dichroism (XMLD). The XMLD results manifest a spin reorientation transition (SRT) across a transition thickness of tT ˜ 60 nm. This SRT is well explained in terms of two competing magnetic anisotropy energies of the surface/interface (KS) and the LCO film itself (KV).

  11. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    PubMed

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  12. Measuring the dielectric and optical response of millimeter-scale amorphous and hexagonal boron nitride films grown on epitaxial graphene.

    PubMed

    Rigosi, Albert F; Hill, Heather M; Glavin, Nicholas R; Pookpanratana, Sujitra J; Yang, Yanfei; Boosalis, Alexander G; Hu, Jiuning; Rice, Anthony; Allerman, Andrew A; Nguyen, Nhan V; Hacker, Christina A; Elmquist, Randolph E; Hight Walker, Angela R; Newell, David B

    2018-01-01

    Monolayer epitaxial graphene (EG), grown on the Si face of SiC, is an advantageous material for a variety of electronic and optical applications. EG forms as a single crystal over millimeter-scale areas and consequently, the large scale single crystal can be utilized as a template for growth of other materials. In this work, we present the use of EG as a template to form millimeter-scale amorphous and hexagonal boron nitride ( a -BN and h -BN) films. The a -BN is formed with pulsed laser deposition and the h -BN is grown with triethylboron (TEB) and NH 3 precursors, making it the first metal organic chemical vapor deposition (MOCVD) process of this growth type performed on epitaxial graphene. A variety of optical and non-optical characterization methods are used to determine the optical absorption and dielectric functions of the EG, a -BN, and h -BN within the energy range of 1 eV to 8.5 eV. Furthermore, we report the first ellipsometric observation of high-energy resonant excitons in EG from the 4H polytype of SiC and an analysis on the interactions within the EG and h -BN heterostructure.

  13. Effects of Dopant Ionic Radius on Cerium Reduction in Epitaxial Cerium Oxide Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Nan; Orgiani, Pasquale; Di Bartolomeo, Elisabetta

    The role of trivalent rare-earth dopants in ceria epitaxial films on surface ion exchange reactivity and ion conductivity has been systematically studied. Single-crystal epitaxial films with unique crystal orientation and micro-structure nature have allowed us to rule out the influence of structural defects on both transport and surface ion exchange properties. The films conductivities were larger than those reported in literature for both polycrystalline ceramic pellets and crystalline films. An increase in oxygen vacancies and Ce 3+ concentration while decreasing the dopant ionic radius from La 3+ to Yb 3+ was observed, thus explaining the measured increased activation energy andmore » enhanced surface reactivity. The more significant ability of smaller dopant ionic radius in releasing the stress strength induced by the larger Ce 3+ ionic radius allows promoting the formation of oxygen vacancies and Ce 3+, which are two precious species in determining the efficiency of ion transport and surface ion exchange processes. This can open new perspectives in designing ceria-based materials in tailoring functional properties, either ion migration or surface reactivity, by rational cation substitutions.« less

  14. Effects of Dopant Ionic Radius on Cerium Reduction in Epitaxial Cerium Oxide Thin Films

    DOE PAGES

    Yang, Nan; Orgiani, Pasquale; Di Bartolomeo, Elisabetta; ...

    2017-04-17

    The role of trivalent rare-earth dopants in ceria epitaxial films on surface ion exchange reactivity and ion conductivity has been systematically studied. Single-crystal epitaxial films with unique crystal orientation and micro-structure nature have allowed us to rule out the influence of structural defects on both transport and surface ion exchange properties. The films conductivities were larger than those reported in literature for both polycrystalline ceramic pellets and crystalline films. An increase in oxygen vacancies and Ce 3+ concentration while decreasing the dopant ionic radius from La 3+ to Yb 3+ was observed, thus explaining the measured increased activation energy andmore » enhanced surface reactivity. The more significant ability of smaller dopant ionic radius in releasing the stress strength induced by the larger Ce 3+ ionic radius allows promoting the formation of oxygen vacancies and Ce 3+, which are two precious species in determining the efficiency of ion transport and surface ion exchange processes. This can open new perspectives in designing ceria-based materials in tailoring functional properties, either ion migration or surface reactivity, by rational cation substitutions.« less

  15. Graphene nanoribbons epitaxy on boron nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xiaobo; Wang, Shuopei; Wu, Shuang

    2016-03-14

    In this letter, we report a pilot study on epitaxy of monolayer graphene nanoribbons (GNRs) on hexagonal boron nitride (h-BN). We found that GNRs grow preferentially from the atomic steps of h-BN, forming in-plane heterostructures. GNRs with well-defined widths ranging from ∼15 nm to ∼150 nm can be obtained reliably. As-grown GNRs on h-BN have high quality with a carrier mobility of ∼20 000 cm{sup 2} V{sup −1} s{sup −1} for ∼100-nm-wide GNRs at a temperature of 1.7 K. Besides, a moiré pattern induced quasi-one-dimensional superlattice with a periodicity of ∼15 nm for GNR/h-BN was also observed, indicating zero crystallographic twisting angle between GNRs and h-BNmore » substrate. The superlattice induced band structure modification is confirmed by our transport results. These epitaxial GNRs/h-BN with clean surfaces/interfaces and tailored widths provide an ideal platform for high-performance GNR devices.« less

  16. High-mobility BaSnO 3 grown by oxide molecular beam epitaxy

    DOE PAGES

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; ...

    2016-01-28

    High-mobility perovskite BaSnO 3 films are of significant interest as newwide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO 3 films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO x. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO 3. We demonstrate room temperature electron mobilities of 150 cm 2 V -1 s -1 in films grownmore » on PrScO 3. Lastly, the results open up a wide range of opportunities for future electronic devices.« less

  17. Epitaxial growth of iridate pyrochlore Nd 2Ir 2O 7 films

    DOE PAGES

    Gallagher, J. C.; Esser, B. D.; Morrow, R.; ...

    2016-02-29

    Epitaxial films of the pyrochlore Nd 2Ir 2O 7 have been grown on (111)-oriented yttria-stabilized zirconia (YSZ) substrates by off-axis sputtering followed by post-growth annealing. X-ray diffraction (XRD) results demonstrate phase-pure epitaxial growth of the pyrochlore films on YSZ. Scanning transmission electron microscopy (STEM) investigation of an Nd 2Ir 2O 7 film with a short post-annealing provides insight into the mechanism for crystallization of Nd 2Ir 2O 7 during the post-annealing process. STEM images reveal clear pyrochlore ordering of Nd and Ir in the films. As a result, the epitaxial relationship between the YSZ and Nd 2Ir 2O 7 ismore » observed clearly while some interfacial regions show a thin region with polycrystalline Ir nanocrystals.« less

  18. Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)

    NASA Astrophysics Data System (ADS)

    Denlinger, Jonathan David

    The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a

  19. Epitaxial growth of aligned AlGalnN nanowires by metal-organic chemical vapor deposition

    DOEpatents

    Han, Jung; Su, Jie

    2008-08-05

    Highly ordered and aligned epitaxy of III-Nitride nanowires is demonstrated in this work. <1010> M-axis is identified as a preferential nanowire growth direction through a detailed study of GaN/AlN trunk/branch nanostructures by transmission electron microscopy. Crystallographic selectivity can be used to achieve spatial and orientational control of nanowire growth. Vertically aligned (Al)GaN nanowires are prepared on M-plane AlN substrates. Horizontally ordered nanowires, extending from the M-plane sidewalls of GaN hexagonal mesas or islands demonstrate new opportunities for self-aligned nanowire devices, interconnects, and networks.

  20. Study of phonons in irradiated epitaxial thin films of UO2

    NASA Astrophysics Data System (ADS)

    Rennie, S.; Lawrence Bright, E.; Darnbrough, J. E.; Paolasini, L.; Bosak, A.; Smith, A. D.; Mason, N.; Lander, G. H.; Springell, R.

    2018-06-01

    We report experiments to determine the effect of radiation damage on the phonon spectra of the most common nuclear fuel, UO2. We irradiated thin (˜300 nm) epitaxial films of UO2 with 2.1 MeV He2 + ions to 0.15 displacements per atom and a lattice swelling of Δ a /a ˜0.6 % and then used grazing-incidence inelastic x-ray scattering to measure the phonon spectrum. We succeeded in observing the acoustic modes, both transverse and longitudinal, across the Brillouin zone. The phonon energies, in both the pristine and irradiated samples, are unchanged from those observed in bulk material. On the other hand, the phonon linewidths (inversely proportional to the phonon lifetimes) show a significant broadening when comparing the pristine and irradiated samples. This effect is shown to increase with phonon energy across the Brillouin zone. The decreases in the phonon lifetimes of the acoustic modes are roughly consistent with a 50% reduction in the thermal conductivity.

  1. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Hongling; Chen, Zhengwei; Wu, Zhenping; Cui, Wei; Huang, Yuanqi; Tang, Weihua

    2017-11-01

    Ga2O3 with a wide bandgap of ˜ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It's also demonstrated that the CuGa2O4 film has a bandgap of ˜ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  2. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    NASA Astrophysics Data System (ADS)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  3. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  4. Classification Order of Surface-Confined Intermixing at Epitaxial Interface

    NASA Astrophysics Data System (ADS)

    Michailov, M.

    The self-organization phenomena at epitaxial interface hold special attention in contemporary material science. Being relevant to the fundamental physical problem of competing, long-range and short-range atomic interactions in systems with reduced dimensionality, these phenomena have found exacting academic interest. They are also of great technological importance for their ability to bring spontaneous formation of regular nanoscale surface patterns and superlattices with exotic properties. The basic phenomenon involved in this process is surface diffusion. That is the motivation behind the present study which deals with important details of diffusion scenarios that control the fine atomic structure of epitaxial interface. Consisting surface imperfections (terraces, steps, kinks, and vacancies), the interface offers variety of barriers for surface diffusion. Therefore, the adatoms and clusters need a certain critical energy to overcome the corresponding diffusion barriers. In the most general case the critical energies can be attained by variation of the system temperature. Hence, their values define temperature limits of system energy gaps associated with different diffusion scenarios. This systematization imply classification order of surface alloying: blocked, incomplete, and complete. On that background, two diffusion problems, related to the atomic-scale surface morphology, will be discussed. The first problem deals with diffusion of atomic clusters on atomically smooth interface. On flat domains, far from terraces and steps, we analyzed the impact of size, shape, and cluster/substrate lattice misfit on the diffusion behavior of atomic clusters (islands). We found that the lattice constant of small clusters depends on the number N of building atoms at 1 < N ≤ 10. In heteroepitaxy, this effect of variable lattice constant originates from the enhanced charge transfer and the strong influence of the surface potential on cluster atomic arrangement. At constant

  5. Strain stabilization and thickness dependence of magnetism in epitaxial transition metal monosilicide thin films on Si(111)

    NASA Astrophysics Data System (ADS)

    Geisler, Benjamin; Kratzer, Peter

    2013-09-01

    We present a comprehensive study of different 3d transition metal monosilicides in their ground state crystal structure (B20), ranging from equilibrium bulk over biaxially strained bulk to epitaxial thin films on Si(111), by means of density functional theory. The magnetic properties of MnSi and FeSi films are found to be considerably modified due to the epitaxial strain induced by the substrate. In MnSi bulk material, which can be seen as a limit of thick films, we find a strain-induced volume expansion, an increase of the magnetic moments, and a significant rise of the energy difference between different spin configurations. The latter can be associated with an increase of the Curie temperature, which is in accordance with recent experimental results. While a ferromagnetic spin alignment is found to be the ground state also for ultrathin films, we show that for films of intermediate thickness a partially compensating magnetic ordering is more favorable; however, the films retain a net magnetic moment. Furthermore, we analyze the orbital structure in FeSi around the band gap, which can be located somewhere in the density of states for all studied B20 transition metal monosilicides, and find that FeSi becomes metallic and ferromagnetic under epitaxial strain. Finally, the influence of on-site electronic correlation and the reliability of ab initio calculations for 3d transition metal monosilicides are discussed.

  6. Band gap tuning of epitaxial SrTiO{sub 3-δ}/Si(001) thin films through strain engineering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cottier, Ryan J.; Steinle, Nathan A.; Currie, Daniel A.

    2015-11-30

    We investigate the effect of strain and oxygen vacancies (V{sub O}) on the crystal and optical properties of oxygen deficient, ultra-thin (4–30 nm) films of SrTiO{sub 3-δ} (STO) grown heteroepitaxially on p-Si(001) substrates by molecular beam epitaxy. We demonstrate that STO band gap tuning can be achieved through strain engineering and show that the energy shift of the direct energy gap transition of SrTiO{sub 3-δ}/Si films has a quantifiable dimensional and doping dependence that correlates well with the changes in crystal structure.

  7. Spontaneous perpendicular exchange bias effect in L10-MnGa/FeMn bilayers grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, X. P.; Lu, J.; Mao, S. W.; Yu, Z. F.; Wei, D. H.; Zhao, J. H.

    2018-01-01

    We report on the spontaneous perpendicular exchange bias effect in as-grown L10-MnGa/FeMn bilayers. An FeMn layer with different thicknesses is introduced as an antiferromagnetic layer to couple with single-crystalline ferromagnetic L10-MnGa, which is epitaxially grown on a GaAs (001) substrate by molecular-beam epitaxy. The perpendicular exchange bias shows a strong dependence on both the thickness of the FeMn layer and the measurement temperature. A large spontaneous perpendicular exchange bias up to 8.9 kOe is achieved in L10-MnGa/FeMn bilayers at 5 K without any external magnetic treatment. The corresponding effective interfacial exchange energy Jeff is estimated to be 1.4 mJ/m2. The spontaneous perpendicular exchange bias effect in the (001) textured L10-MnGa/FeMn bilayers paves the way for spintronic devices based on exchange biased perpendicularly magnetized materials.

  8. Interface and Electronic Characterization of Thin Epitaxial Co3O4 Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vaz, C.A.; Zhu, Y.; Wang, H.-Q.

    2009-01-15

    The interface and electronic structure of thin ({approx} 20-74 nm) Co{sub 3}O{sub 4}(1 1 0) epitaxial films grown by oxygen-assisted molecular beam epitaxy on MgAl{sub 2}O{sub 4}(1 1 0) single crystal substrates have been investigated by means of real and reciprocal space techniques. As-grown film surfaces are found to be relatively disordered and exhibit an oblique low energy electron diffraction (LEED) pattern associated with the O-rich CoO{sub 2} bulk termination of the (1 1 0) surface. Interface and bulk film structure are found to improve significantly with post-growth annealing at 820 K in air and display sharp rectangular LEED patterns,more » suggesting a surface stoichiometry of the alternative Co{sub 2}O{sub 2} bulk termination of the (1 1 0) surface. Non-contact atomic force microscopy demonstrates the presence of wide terraces separated by atomic steps in the annealed films that are not present in the as-grown structures; the step height of {approx}2.7 {angstrom} corresponds to two atomic layers and confirms a single termination for the annealed films, consistent with the LEED results. A model of the (1 x 1) surfaces that allows for compensation of the polar surfaces is presented.« less

  9. Big-Data RHEED analysis for understanding epitaxial film growth processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in-situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED image, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the dataset are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of RHEED image sequence.more » This approach is illustrated for growth of LaxCa1-xMnO3 films grown on etched (001) SrTiO3 substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the assymetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.« less

  10. Selective nanoscale growth of lattice mismatched materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Seung-Chang; Brueck, Steven R. J.

    Exemplary embodiments provide materials and methods of forming high-quality semiconductor devices using lattice-mismatched materials. In one embodiment, a composite film including one or more substantially-single-particle-thick nanoparticle layers can be deposited over a substrate as a nanoscale selective growth mask for epitaxially growing lattice-mismatched materials over the substrate.

  11. Selenidation of epitaxial silicene on ZrB2

    NASA Astrophysics Data System (ADS)

    Wiggers, F. B.; Yamada-Takamura, Y.; Kovalgin, A. Y.; de Jong, M. P.

    2018-01-01

    The deposition of elemental Se on epitaxial silicene on ZrB2 thin films was investigated with synchrotron-based core-level photoelectron spectroscopy and low-energy electron diffraction. The deposition of Se at room temperature caused the appearance of Si 2p peaks with chemical shifts of n × 0.51 ± 0.04 eV (n = 1-4), suggesting the formation of SiSe2. This shows that capping the silicene monolayer, without affecting its structural and electronic properties, is not possible with Se. The annealing treatments that followed caused the desorption of Se and Si, resulting in the etching of the Si atoms formerly part of the silicene layer, and the formation of bare ZrB2(0001) surface area. In addition, a ZrB2(0001)-(√7 × 3)R40.9° surface reconstruction was observed, attributed to a Se-termination of the surface of the transition metal diboride thin film.

  12. Resonant tunneling structures based on epitaxial graphene on SiC

    NASA Astrophysics Data System (ADS)

    Nguyen, V. Hung; Bournel, A.; Dollfus, P.

    2011-12-01

    Recently some experiments have suggested that graphene epitaxially grown on SiC can exhibit an energy bandgap of 260 meV, which enhances the potential of this material for electronic applications. On this basis, we propose to use spatial doping to generate graphene-on-SiC double-barrier structures. The non-equilibrium Green's function technique for solving the massive Dirac model is applied to highlight typical transport phenomena such as the electron confinement and the resonant tunneling effects. The I-V characteristics of graphene resonant tunneling diodes were then investigated and the effect of different device parameters was discussed. It is finally shown that this kind of double-barrier junction provides an efficient way to confine the charge carriers in graphene and to design graphene resonant tunneling structures.

  13. Ferroelectricity in epitaxial Y-doped HfO2 thin film integrated on Si substrate

    NASA Astrophysics Data System (ADS)

    Lee, K.; Lee, T. Y.; Yang, S. M.; Lee, D. H.; Park, J.; Chae, S. C.

    2018-05-01

    We report on the ferroelectricity of a Y-doped HfO2 thin film epitaxially grown on Si substrate, with an yttria-stabilized zirconia buffer layer pre-deposited on the substrate. Piezoresponse force microscopy results show the ferroelectric domain pattern, implying the existence of ferroelectricity in the epitaxial HfO2 film. The epitaxially stabilized HfO2 film in the form of a metal-ferroelectric-insulator-semiconductor structure exhibits ferroelectric hysteresis with a clear ferroelectric switching current in polarization-voltage measurements. The HfO2 thin film also demonstrates ferroelectric retention comparable to that of current perovskite-based metal-ferroelectric-insulator-semiconductor structures.

  14. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  15. Strain control of oxygen vacancies in epitaxial strontium cobaltite films

    DOE PAGES

    Jeen, Hyoung Jeen; Choi, Woo Seok; Reboredo, Fernando A.; ...

    2016-01-25

    In this study, the ability to manipulate oxygen anion defects rather than metal cations in complex oxides can facilitate creating new functionalities critical for emerging energy and device technologies. However, the difficulty in activating oxygen at reduced temperatures hinders the deliberate control of important defects, oxygen vacancies. Here, strontium cobaltite (SrCoO x) is used to demonstrate that epitaxial strain is a powerful tool for manipulating the oxygen vacancy concentration even under highly oxidizing environments and at annealing temperatures as low as 300 °C. By applying a small biaxial tensile strain (2%), the oxygen activation energy barrier decreases by ≈30%, resultingmore » in a tunable oxygen deficient steady-state under conditions that would normally fully oxidize unstrained cobaltite. These strain-induced changes in oxygen stoichiometry drive the cobaltite from a ferromagnetic metal towards an antiferromagnetic insulator. The ability to decouple the oxygen vacancy concentration from its typical dependence on the operational environment is useful for effectively designing oxides materials with a specific oxygen stoichiometry.« less

  16. Background information: a selected bibliography of books on energy and nuclear power

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Not Available

    An annotated bibliography of 75 books on energy and nuclear power topics lists selections under the categories of Energy, Nuclear energy, Radiation, Environment, Reference, Proliferation, International, Fiction, and From the Critics. The selections, ranging from philosophical discussions to practical proposals, are generally aimed at the lay reader. (DCK)

  17. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    NASA Astrophysics Data System (ADS)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  18. Ferroelectric properties of YMnO3 epitaxial films for ferroelectric-gate field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ito, Daisuke; Fujimura, Norifumi; Yoshimura, Takeshi; Ito, Taichiro

    2003-05-01

    Ferroelectric properties of YMnO3 epitaxial films were studied. The ferroelectric properties of epitaxially grown (0001) YMnO3 films on (111)Pt/(0001)sapphire (epi-YMO/Pt) with an excellent crystallinity were compared to (0001)-oriented poly crystalline films on (111)Pt/ZrO2/SiO2/Si. The epi-YMO/Pt had saturated polarization-electric-field (P-E) hysteresis loops, with a remanent polarization (Pr) of 1.7 μC/cm2 and a coercive field (Ec) of 80 kV/cm. The fatigue property showed no degradation up to 1010 measured cycles. These results suggested that the YMnO3 epitaxial films were suitable ferroelectric material for the ferroelectric-gate field-effect transistors. Consequently, epitaxially grown (0001)YMnO3 films on epitaxial Y2O3/Si (epi-YMO/Si) were fabricated. The epi-YMO/Si capacitor had almost equivalent crystallinity compared to epi-YMO/Pt. It was recognized that the epi-YMO/Si capacitor exhibited the ferroelectric type C-V hysteresis loop with the width of the memory window of 4.8 V, which was almost identical to the value of twice coercive voltage of the P-E hysteresis loops of the epi-YMO/Pt. A retention time exceeding 104 s was obtained in the epi-YMO/Si capacitor.

  19. High throughput vacuum chemical epitaxy

    NASA Astrophysics Data System (ADS)

    Fraas, L. M.; Malocsay, E.; Sundaram, V.; Baird, R. W.; Mao, B. Y.; Lee, G. Y.

    1990-10-01

    We have developed a vacuum chemical epitaxy (VCE) reactor which avoids the use of arsine and allows multiple wafers to be coated at one time. Our vacuum chemical epitaxy reactor closely resembles a molecular beam epitaxy system in that wafers are loaded into a stainless steel vacuum chamber through a load chamber. Also as in MBE, arsenic vapors are supplied as reactant by heating solid arsenic sources thereby avoiding the use of arsine. However, in our VCE reactor, a large number of wafers are coated at one time in a vacuum system by the substitution of Group III alkyl sources for the elemental metal sources traditionally used in MBE. Higher wafer throughput results because in VCE, the metal-alkyl sources for Ga, Al, and dopants can be mixed at room temperature and distributed uniformly though a large area injector to multiple substrates as a homogeneous array of mixed element molecular beams. The VCE reactor that we have built and that we shall describe here uniformly deposits films on 7 inch diameter substrate platters. Each platter contains seven two inch or three 3 inch diameter wafers. The load chamber contains up to nine platters. The vacuum chamber is equipped with two VCE growth zones and two arsenic ovens, one per growth zone. Finally, each oven has a 1 kg arsenic capacity. As of this writing, mirror smooth GaAs films have been grown at up to 4 μm/h growth rate on multiple wafers with good thickness uniformity. The background doping is p-type with a typical hole concentration and mobility of 1 × 10 16/cm 3 and 350 cm 2/V·s. This background doping level is low enough for the fabrication of MESFETs, solar cells, and photocathodes as well as other types of devices. We have fabricated MESFET devices using VCE-grown epi wafers with peak extrinsic transconductance as high as 210 mS/mm for a threshold voltage of - 3 V and a 0.6 μm gate length. We have also recently grown AlGaAs epi layers with up to 80% aluminum using TEAl as the aluminum alkyl source. The Al

  20. Theoretical study of the composition pulling effect in InGaN metalorganic vapor-phase epitaxy growth

    NASA Astrophysics Data System (ADS)

    Inatomi, Yuya; Kangawa, Yoshihiro; Ito, Tomonori; Suski, Tadeusz; Kumagai, Yoshinao; Kakimoto, Koichi; Koukitu, Akinori

    2017-07-01

    The composition pulling effect in metalorganic vapor-phase InGaN epitaxy was theoretically investigated by thermodynamic analysis. The excess energies of biaxial-strained In x Ga1- x N were numerically calculated using empirical interatomic potentials considering different situations: (i) coherent growth on GaN(0001), (ii) coherent growth on In0.2Ga0.8N(0001), and (iii) bulk growth. Using the excess energies, the excess chemical potentials of InN and GaN alloys were computed. Our results show that compressive strain suppresses In incorporation, whereas tensile strain promotes it. Moreover, assuming chemical equilibrium, the relationship between the solid composition and the growth conditions was predicted. The results successfully reproduced the typical composition pulling effect.

  1. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    NASA Astrophysics Data System (ADS)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  2. Solar hydrogen production using epitaxial SrTiO 3 on a GaAs photovoltaic

    DOE PAGES

    Kornblum, L.; Fenning, D. P.; Faucher, J.; ...

    2016-12-22

    We demonstrate an oxide-stabilized III–V photoelectrode architecture for solar fuel production from water in neutral pH. For this tunable architecture we demonstrate 100% Faradaic efficiency for hydrogen evolution, and incident photon-to-current efficiencies (IPCE) exceeding 50%. High IPCE for hydrogen evolution is a consequence of the low-loss interface achieved via epitaxial growth of a thin oxide on a GaAs solar cell. Developing optimal energetic alignment across the interfaces of the photoelectrode using well-established III–V technology is key to obtaining high performance. This advance constitutes a critical milestone towards efficient, unassisted fuel production from solar energy.

  3. Probing optical band gaps at the nanoscale in NiFe₂O₄ and CoFe₂O₄ epitaxial films by high resolution electron energy loss spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dileep, K.; Loukya, B.; Datta, R., E-mail: ranjan@jncasr.ac.in

    2014-09-14

    Nanoscale optical band gap variations in epitaxial thin films of two different spinel ferrites, i.e., NiFe₂O₄ (NFO) and CoFe₂O₄ (CFO), have been investigated by spatially resolved high resolution electron energy loss spectroscopy. Experimentally, both NFO and CFO show indirect/direct band gaps around 1.52 eV/2.74 and 2.3 eV, and 1.3 eV/2.31 eV, respectively, for the ideal inverse spinel configuration with considerable standard deviation in the band gap values for CFO due to various levels of deviation from the ideal inverse spinel structure. Direct probing of the regions in both the systems with tetrahedral A site cation vacancy, which is distinct frommore » the ideal inverse spinel configuration, shows significantly smaller band gap values. The experimental results are supported by the density functional theory based modified Becke-Johnson exchange correlation potential calculated band gap values for the different cation configurations.« less

  4. Domain structure of epitaxial SrRu O3 thin films

    NASA Astrophysics Data System (ADS)

    Herranz, G.; Sánchez, F.; Fontcuberta, J.; García-Cuenca, M. V.; Ferrater, C.; Varela, M.; Angelova, T.; Cros, A.; Cantarero, A.

    2005-05-01

    Growth of multidomains in epitaxial thin-film oxides is known to have a detrimental effect on some functional properties, and, thus, efforts are done to suppress them. It is commonly accepted that optimal properties of the metallic and ferromagnetic SrRuO3 (SRO) epitaxies can only be obtained if vicinal SrTiO3 (001) (STO) substrates are used. It is believed that this results from the suppression of multidomain structure in the SRO film. Here we revise this important issue. Nanometric films of SRO have been grown on STO(001) vicinal substrates with miscut (θV) angles in the ˜0.04°-4° range. Extensive structural analysis by x-ray-reciprocal space maps and μ -Raman spectroscopy indicates that single-domain, orthorhombic, SRO films are already obtained on the almost singular (θV≈0.1°) substrate, and, thus, substrates with large miscut angles are not required to grow twin-free films. In spite of this, transport properties are found to be optimized for films grown on vicinal substrates (θV⩾2°) . We claim that this is the result of the change of the growth mode and the resulting film morphology rather than the change of the domain structure. These findings drive the attention to the relevance of the growth mechanism at the initial stages of film growth, and we discuss its implications in other areas of oxide epitaxies. Moreover, we show that in clamped epitaxies on cubic substrates, in spite of isotropic biaxial substrate-induced strains, films may have an in-plane orthorhombic symmetry which results from the internal degree of freedom defined by rotations of the oxygen octahedrons.

  5. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    NASA Technical Reports Server (NTRS)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  6. Pinhole-free growth of epitaxial CoSi.sub.2 film on Si(111)

    NASA Technical Reports Server (NTRS)

    Lin, True-Lon (Inventor); Fathauer, Robert W. (Inventor); Grunthaner, Paula J. (Inventor)

    1991-01-01

    Pinhole-free epitaxial CoSi.sub.2 films (14') are fabricated on (111)-oriented silicon substrates (10) with a modified solid phase epitaxy technique which utilizes (1) room temperature stoichiometric (1:2) codeposition of Co and Si followed by (2) room temperature deposition of an amorphous silicon capping layer (16), and (3) in situ annealing at a temperature ranging from about 500.degree. to 750.degree. C.

  7. Site-Competition Epitaxy for N-Type and P-Type Dopant Control in CVD Sic Epilayers

    NASA Technical Reports Server (NTRS)

    Larkin, D. J.

    1995-01-01

    The use of site-competition epitaxy, which is based on intentional variation of the Si/C ratio during epitaxy, has now been reproduced in numerous national and international laboratories. However, previous reports have only considered dopant incorporation control for epitaxy on the Si-face 6H-SiC(OOO1) substrates. Presented in this paper is the extension of this technique for control of phosphorous incorporation and also a comparison of controlled doping on C-face 6H-SiC(OOO1) versus Si-face 6H-SiC(OOO1) substrates for aluminum, boron, nitrogen, and phosphorous.

  8. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    NASA Astrophysics Data System (ADS)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  9. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  10. Hybrid bandgap engineering for super-hetero-epitaxial semiconductor materials, and products thereof

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang H. (Inventor); King, Glen C. (Inventor); Elliott, James R. (Inventor)

    2012-01-01

    "Super-hetero-epitaxial" combinations comprise epitaxial growth of one material on a different material with different crystal structure. Compatible crystal structures may be identified using a "Tri-Unity" system. New bandgap engineering diagrams are provided for each class of combination, based on determination of hybrid lattice constants for the constituent materials in accordance with lattice-matching equations. Using known bandgap figures for previously tested materials, new materials with lattice constants that match desired substrates and have the desired bandgap properties may be formulated by reference to the diagrams and lattice matching equations. In one embodiment, this analysis makes it possible to formulate new super-hetero-epitaxial semiconductor systems, such as systems based on group IV alloys on c-plane LaF.sub.3; group IV alloys on c-plane langasite; Group III-V alloys on c-plane langasite; and group II-VI alloys on c-plane sapphire.

  11. Highly selective covalent organic functionalization of epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Bueno, Rebeca A.; Martínez, José I.; Luccas, Roberto F.; Del Árbol, Nerea Ruiz; Munuera, Carmen; Palacio, Irene; Palomares, Francisco J.; Lauwaet, Koen; Thakur, Sangeeta; Baranowski, Jacek M.; Strupinski, Wlodek; López, María F.; Mompean, Federico; García-Hernández, Mar; Martín-Gago, José A.

    2017-05-01

    Graphene functionalization with organics is expected to be an important step for the development of graphene-based materials with tailored electronic properties. However, its high chemical inertness makes difficult a controlled and selective covalent functionalization, and most of the works performed up to the date report electrostatic molecular adsorption or unruly functionalization. We show hereafter a mechanism for promoting highly specific covalent bonding of any amino-terminated molecule and a description of the operating processes. We show, by different experimental techniques and theoretical methods, that the excess of charge at carbon dangling-bonds formed on single-atomic vacancies at the graphene surface induces enhanced reactivity towards a selective oxidation of the amino group and subsequent integration of the nitrogen within the graphene network. Remarkably, functionalized surfaces retain the electronic properties of pristine graphene. This study opens the door for development of graphene-based interfaces, as nano-bio-hybrid composites, fabrication of dielectrics, plasmonics or spintronics.

  12. Optical and electro-optic anisotropy of epitaxial PZT thin films

    NASA Astrophysics Data System (ADS)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  13. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    PubMed

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  14. Columnar epitaxy of hexagonal and orthorhombic silicides on Si(111)

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; Nieh, C. W.; Xiao, Q. F.; Hashimoto, Shin

    1990-01-01

    Columnar grains of PtSi and CrSi2 surrounded by high-quality epitaxial silicon are obtained by ultrahigh vacuum codeposition of Si and metal in an approximately 10:1 ratio on Si(111) substrates heated to 610-840 C. This result is similar to that found previously for CoSi2 (a nearly-lattice-matched cubic-fluorite crystal) on Si(111), in spite of the respective orthorhombic and hexagonal structures of PtSi and CrSi2. The PtSi grains are epitaxial and have one of three variants of the relation defined by PtSi(010)/Si(111), with PtSi 001 line/Si 110 line type.

  15. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon.

    PubMed

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca I

    2017-03-06

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C.

  16. Free-standing epitaxial graphene.

    PubMed

    Shivaraman, Shriram; Barton, Robert A; Yu, Xun; Alden, Jonathan; Herman, Lihong; Chandrashekhar, Mvs; Park, Jiwoong; McEuen, Paul L; Parpia, Jeevak M; Craighead, Harold G; Spencer, Michael G

    2009-09-01

    We report on a method to produce free-standing graphene sheets from epitaxial graphene on silicon carbide (SiC) substrate. Doubly clamped nanomechanical resonators with lengths up to 20 microm were patterned using this technique and their resonant motion was actuated and detected optically. Resonance frequencies of the order of tens of megahertz were measured for most devices, indicating that the resonators are much stiffer than expected for beams under no tension. Raman spectroscopy suggests that the graphene is not chemically modified during the release of the devices, demonstrating that the technique is a robust means of fabricating large-area suspended graphene structures.

  17. Selective growth of Pb islands on graphene/SiC buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, X. T.; Miao, Y. P.; Ma, D. Y.

    2015-02-14

    Graphene is fabricated by thermal decomposition of silicon carbide (SiC) and Pb islands are deposited by Pb flux in molecular beam epitaxy chamber. It is found that graphene domains and SiC buffer layer coexist. Selective growth of Pb islands on SiC buffer layer rather than on graphene domains is observed. It can be ascribed to the higher adsorption energy of Pb atoms on the 6√(3) reconstruction of SiC. However, once Pb islands nucleate on graphene domains, they will grow very large owing to the lower diffusion barrier of Pb atoms on graphene. The results are consistent with first-principle calculations. Sincemore » Pb atoms on graphene are nearly free-standing, Pb islands grow in even-number mode.« less

  18. Effects of site disorder, off-stoichiometry and epitaxial strain on the optical properties of magnetoelectric gallium ferrite.

    PubMed

    Roy, Amritendu; Mukherjee, Somdutta; Sarkar, Surajit; Auluck, Sushil; Prasad, Rajendra; Gupta, Rajeev; Garg, Ashish

    2012-10-31

    We present a combined experimental-theoretical study demonstrating the role of site disorder, off-stoichiometry and strain on the optical properties of magnetoelectric gallium ferrite. Optical properties (bandgap, refractive indices and dielectric constants) were experimentally obtained by performing ellipsometric studies over the energy range 0.8-4.2 eV on pulsed laser deposited epitaxial thin films of stoichiometric gallium ferrite with b-axis orientation and the data were compared with theoretical results. Calculations on the ground state structure show that the optical activity in GaFeO(3) arises primarily from O 2p-Fe 3d transitions. Further, inclusion of site disorder and epitaxial strain in the ground state structure significantly improves the agreement between the theory and the room temperature experimental data substantiating the presence of site disorder in the experimentally derived strained GaFeO(3) films at room temperature. We attribute the modification of the ground state optical behavior upon inclusion of site disorder to the corresponding changes in the electronic band structure, especially in Fe 3d states leading to a lowered bandgap of the material.

  19. Properties of copper (fluoro-)phthalocyanine layers deposited on epitaxial graphene.

    PubMed

    Ren, Jun; Meng, Sheng; Wang, Yi-Lin; Ma, Xu-Cun; Xue, Qi-Kun; Kaxiras, Efthimios

    2011-05-21

    We investigate the atomic structure and electronic properties of monolayers of copper phthalocyanines (CuPc) deposited on epitaxial graphene substrate. We focus in particular on hexadecafluorophthalocyanine (F(16)CuPc), using both theoretical and experimental (scanning tunneling microscopy - STM) studies. For the individual CuPc and F(16)CuPc molecules, we calculated the electronic and optical properties using density functional theory (DFT) and time-dependent DFT and found a red-shift in the absorption peaks of F(16)CuPc relative to those of CuPc. In F(16)CuPc, the electronic wavefunctions are more polarized toward the electronegative fluorine atoms and away from the Cu atom at the center of the molecule. When adsorbed on graphene, the molecules lie flat and form closely packed patterns: F(16)CuPc forms a hexagonal pattern with two well-ordered alternating α and β stripes while CuPc arranges into a square lattice. The competition between molecule-substrate and intermolecular van der Waals interactions plays a crucial role in establishing the molecular patterns leading to tunable electron transfer from graphene to the molecules. This transfer is controlled by the layer thickness of, or the applied voltage on, epitaxial graphene resulting in selective F(16)CuPc adsorption, as observed in STM experiments. In addition, phthalocyanine adsorption modifies the electronic structure of the underlying graphene substrate introducing intensity smoothing in the range of 2-3 eV below the Dirac point (E(D)) and a small peak in the density of states at ∼0.4 eV above E(D). © 2011 American Institute of Physics.

  20. Epitaxial Ba2IrO4 thin-films grown on SrTiO3 substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Nichols, J.; Korneta, O. B.; Terzic, J.; Cao, G.; Brill, J. W.; Seo, S. S. A.

    2014-03-01

    We have synthesized epitaxial Ba2IrO4 (BIO) thin-films on SrTiO3 (001) substrates by pulsed laser deposition and studied their electronic structure by dc-transport and optical spectroscopic experiments. We have observed that BIO thin-films are insulating but close to the metal-insulator transition boundary with significantly smaller transport and optical gap energies than its sister compound, Sr2IrO4. Moreover, BIO thin-films have both an enhanced electronic bandwidth and electronic-correlation energy. Our results suggest that BIO thin-films have great potential for realizing the interesting physical properties predicted in layered iridates.

  1. Van der Waals Epitaxy of Functional Oxide Heterostructures

    NASA Astrophysics Data System (ADS)

    Chu, Ying-Hao

    In the diligent pursuit of low-power consumption, multifunctional, and environmentally friendly electronics, more sophisticated requirements on functional materials are on demand. Recently, the discovery of 2D layered materials has created a revolution to this field. Pioneered by graphene, these new 2D materials exhibit abundant unusual physical phenomena that is undiscovered in bulk forms. These materials are characterized with their layer form and almost pure 2D electronic behavior. The confinement of charge and heat transport at such ultrathin planes offers possibilities to overcome the bottleneck of present device development in thickness limitation, and thus push the technologies into next generation. Van der Waals epitaxy, an epitaxial growth method to combine 2D and 3D materials, is one of current reliable manufacturing processes to fabricate 2D materials by growing these 2D materials epitaxially on 3D materials. Then, transferring the 2D materials to the substrates for practical applications. In the mean time, van der Waals epitaxy has also been used to create free-standing 3D materials by growing 3D materials on 2D materials and then removing them from 2D materials since the interfacial boding between 2D and 3D materials should be weak van der Waals bonds. In this study, we intend to take the same concept, but to integrate a family of functional materials in order to open new avenue to flexible electronics. Due to the interplay of lattice, charge, orbital, and spin degrees of freedom, correlated electrons in oxides generate a rich spectrum of competing phases and physical properties. Recently, lots of studies have suggested that oxide heterostructures provide a powerful route to create and manipulate the degrees of freedom and offer new possibilities for next generation devices, thus create a new playground for researchers to investigate novel physics and the emergence of fascinating states of condensed matter. In this talk, we use a 2D layered material as

  2. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  3. Tailoring the morphology and luminescence of GaN/InGaN core-shell nanowires using bottom-up selective-area epitaxy

    NASA Astrophysics Data System (ADS)

    Nami, Mohsen; Eller, Rhett F.; Okur, Serdal; Rishinaramangalam, Ashwin K.; Liu, Sheng; Brener, Igal; Feezell, Daniel F.

    2017-01-01

    Controlled bottom-up selective-area epitaxy (SAE) is used to tailor the morphology and photoluminescence properties of GaN/InGaN core-shell nanowire arrays. The nanowires are grown on c-plane sapphire substrates using pulsed-mode metal organic chemical vapor deposition. By varying the dielectric mask configuration and growth conditions, we achieve GaN nanowire cores with diameters ranging from 80 to 700 nm that exhibit various degrees of polar, semipolar, and nonpolar faceting. A single InGaN quantum well (QW) and GaN barrier shell is also grown on the GaN nanowire cores and micro-photoluminescence is obtained and analyzed for a variety of nanowire dimensions, array pitch spacings, and aperture diameters. By increasing the nanowire pitch spacing on the same growth wafer, the emission wavelength redshifts from 440 to 520 nm, while increasing the aperture diameter results in a ˜35 nm blueshift. The thickness of one QW/barrier period as a function of pitch and aperture diameter is inferred using scanning electron microscopy, with larger pitches showing significantly thicker QWs. Significant increases in indium composition were predicted for larger pitches and smaller aperture diameters. The results are interpreted in terms of local growth conditions and adatom capture radius around the nanowires. This work provides significant insight into the effects of mask configuration and growth conditions on the nanowire properties and is applicable to the engineering of monolithic multi-color nanowire LEDs on a single chip.

  4. ARPES study of the epitaxially grown topological crystalline insulator SnTe(111)

    DOE PAGES

    Zhang, Yi; Liu, Zhongkai; Zhou, Bo; ...

    2016-10-18

    We present that SnTe is a prototypical topological crystalline insulator, in which the gapless surface state is protected by a crystal symmetry. The hallmark of the topological properties in SnTe is the Dirac cones projected to the surfaces with mirror symmetry, stemming from the band inversion near the L points of its bulk Brillouin zone, which can be measured by angle-resolved photoemission. We have obtained the (111) surface of SnTe film by molecular beam epitaxy on BaF 2(111) substrate. Photon-energy-dependence of in situ angle-resolved photoemission, covering multiple Brillouin zones in the direction perpendicular to the (111) surface, demonstrate the projected Dirac cones at themore » $$\\overline{Γ}$$ and $$\\overline{M}$$ points of the surface Brillouin zone. Additionally, we observe a Dirac-cone-like band structure at the Γ point of the bulk Brillouin zone, whose Dirac energy is largely different from those at the $$\\overline{Γ}$$ and $$\\overline{M}$$ points.« less

  5. Synthesis and electronic properties of Fe2TiO5 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; Hikita, Yasuyuki

    2018-05-01

    We investigate the growth phase diagram of pseudobrookite Fe2TiO5 epitaxial thin films on LaAlO3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20-80 Ω cm, which are significantly lower than α-Fe2O3, making Fe2TiO5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe2TiO5 in oxide heterostructures for photocatalytic and photoelectrochemical applications.

  6. Epitaxial lift-off of electrodeposited single-crystal gold foils for flexible electronics

    NASA Astrophysics Data System (ADS)

    Mahenderkar, Naveen K.; Chen, Qingzhi; Liu, Ying-Chau; Duchild, Alexander R.; Hofheins, Seth; Chason, Eric; Switzer, Jay A.

    2017-03-01

    We introduce a simple and inexpensive procedure for epitaxial lift-off of wafer-size flexible and transparent foils of single-crystal gold using silicon as a template. Lateral electrochemical undergrowth of a sacrificial SiOx layer was achieved by photoelectrochemically oxidizing silicon under light irradiation. A 28-nanometer-thick gold foil with a sheet resistance of 7 ohms per square showed only a 4% increase in resistance after 4000 bending cycles. A flexible organic light-emitting diode based on tris(bipyridyl)ruthenium(II) that was spin-coated on a foil exploited the transmittance and flexibility of the gold foil. Cuprous oxide as an inorganic semiconductor that was epitaxially electrodeposited onto the gold foils exhibited a diode quality factor n of 1.6 (where n = 1.0 for an ideal diode), compared with a value of 3.1 for a polycrystalline deposit. Zinc oxide nanowires electrodeposited epitaxially on a gold foil also showed flexibility, with the nanowires intact up to 500 bending cycles.

  7. Improvement of the Processes of Liquid-Phase Epitaxial Growth of Nanoheteroepitaxial Structures

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Potapkov, P. V.; Vel‧chenko, A. A.

    2018-05-01

    We have revealed the shortcomings of equipment and technological approaches in growing nanoheteroepitaxial structures with quantum dots by liquid-phase epitaxy. We have developed and fabricated a new vertical barreltype cassette for growing quantum dots and epitaxial layers of various thicknesses in one technological process. A physico-mathematical simulation has been carried out of the processes of liquid-phase epitaxial growth of quantumdimensional structures with the use of the program product SolidWorks (FlowSimulation program). Analysis has revealed the presence of negative factors influencing the growth process of the above structures. The mathematical model has been optimized, and the equipment has been modernized without additional experiments and measurements. The flow dynamics of the process gas in the reactor at various flow rates has been investigated. A method for tuning the thermal equipment has been developed. The calculated and experimental temperature distributions in the process of growing structures with high reproducibility are in good agreement, which confirms the validity of the modernization made.

  8. Selected Energy Management Options for Small Business and Local Government.

    ERIC Educational Resources Information Center

    Wert, Jonathan M.; Worthington, Barry K.

    This document is a checklist of 257 energy management options for small business and local government. The energy management options are categorized under: (1) Energy management strategies; (2) Buildings; (3) Lighting; (4) Water; (5) Waste operations; (6) Equipment; (7) Transportation; and (8) Food preparation. To select options for…

  9. Single orientation graphene synthesized on iridium thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dangwal Pandey, A., E-mail: arti.pandey@desy.de; Grånäs, E.; Shayduk, R.

    Heteroepitaxial iridium thin films were deposited on (0001) sapphire substrates by means of molecular beam epitaxy, and subsequently, one monolayer of graphene was synthesized by chemical vapor deposition. The influence of the growth parameters on the quality of the Ir films, as well as of graphene, was investigated systematically by means of low energy electron diffraction, x-ray reflectivity, x-ray diffraction, Auger electron spectroscopy, scanning electron microscopy, and atomic force microscopy. Our study reveals (111) oriented iridium films with high crystalline quality and extremely low surface roughness, on which the formation of large-area epitaxial graphene is achieved. The presence of defects,more » like dislocations, twins, and 30° rotated domains in the iridium films is also discussed. The coverage of graphene was found to be influenced by the presence of 30° rotated domains in the Ir films. Low iridium deposition rates suppress these rotated domains and an almost complete coverage of graphene was obtained. This synthesis route yields inexpensive, air-stable, and large-area graphene with a well-defined orientation, making it accessible to a wider community of researchers for numerous experiments or applications, including those which use destructive analysis techniques or irreversible processes. Moreover, this approach can be used to tune the structural quality of graphene, allowing a systematic study of the influence of defects in various processes like intercalation below graphene.« less

  10. Multicriteria Decision Analysis of Material Selection of High Energy Performance Residential Building

    NASA Astrophysics Data System (ADS)

    Čuláková, Monika; Vilčeková, Silvia; Katunská, Jana; Krídlová Burdová, Eva

    2013-11-01

    In world with limited amount of energy sources and with serious environmental pollution, interest in comparing the environmental embodied impacts of buildings using different structure systems and alternative building materials will be increased. This paper shows the significance of life cycle energy and carbon perspective and the material selection in reducing energy consumption and emissions production in the built environment. The study evaluates embodied environmental impacts of nearly zero energy residential structures. The environmental assessment uses framework of LCA within boundary: cradle to gate. Designed alternative scenarios of material compositions are also assessed in terms of energy effectiveness through selected thermal-physical parameters. This study uses multi-criteria decision analysis for making clearer selection between alternative scenarios. The results of MCDA show that alternative E from materials on nature plant base (wood, straw bales, massive wood panel) present possible way to sustainable perspective of nearly zero energy houses in Slovak republic

  11. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  12. Process for forming epitaxial perovskite thin film layers using halide precursors

    DOEpatents

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  13. Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process

    DOEpatents

    Iwancizko, Eugene; Jones, Kim M.; Crandall, Richard S.; Nelson, Brent P.; Mahan, Archie Harvin

    2001-01-01

    The invention provides a process for depositing an epitaxial layer on a crystalline substrate, comprising the steps of providing a chamber having an element capable of heating, introducing the substrate into the chamber, heating the element at a temperature sufficient to decompose a source gas, passing the source gas in contact with the element; and forming an epitaxial layer on the substrate.

  14. Quantum Hall effect in epitaxial graphene with permanent magnets.

    PubMed

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  15. Cosine (Cobalt Silicide Growth Through Nitrogen-Induced Epitaxy) Process For Epitaxial Cobalt Silicide Formation For High Performance Sha

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, Chong Wee; Shin, Chan Soo; Gall, Daniel

    A method for forming an epitaxial cobalt silicide layer on a MOS device includes sputter depositing cobalt in an ambient to form a first layer of cobalt suicide on a gate and source/drain regions of the MOS device. Subsequently, cobalt is sputter deposited again in an ambient of argon to increase the thickness of the cobalt silicide layer to a second thickness.

  16. Self-organization during growth of ZrN/SiN{sub x} multilayers by epitaxial lateral overgrowth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fallqvist, A.; Fager, H.; Hultman, L.

    ZrN/SiN{sub x} nanoscale multilayers were deposited on ZrN seed layers grown on top of MgO(001) substrates by dc magnetron sputtering with a constant ZrN thickness of 40 Å and with an intended SiN{sub x} thickness of 2, 4, 6, 8, and 15 Å at a substrate temperature of 800 °C and 6 Å at 500 °C. The films were investigated by X-ray diffraction, high-resolution scanning transmission electron microscopy, and energy dispersive X-ray spectroscopy. The investigations show that the SiN{sub x} is amorphous and that the ZrN layers are crystalline. Growth of epitaxial cubic SiN{sub x}—known to take place on TiN(001)—onmore » ZrN(001) is excluded to the monolayer resolution of this study. During the course of SiN{sub x} deposition, the material segregates to form surface precipitates in discontinuous layers for SiN{sub x} thicknesses ≤6 Å that coalesce into continuous layers for 8 and 15 Å thickness at 800 °C, and for 6 Å at 500 °C. The SiN{sub x} precipitates are aligned vertically. The ZrN layers in turn grow by epitaxial lateral overgrowth on the discontinuous SiN{sub x} in samples deposited at 800 °C with up to 6 Å thick SiN{sub x} layers. Effectively a self-organized nanostructure can be grown consisting of strings of 1–3 nm large SiN{sub x} precipitates along apparent column boundaries in the epitaxial ZrN.« less

  17. Investigation of the silicon ion density during molecular beam epitaxy growth

    NASA Astrophysics Data System (ADS)

    Eifler, G.; Kasper, E.; Ashurov, Kh.; Morozov, S.

    2002-05-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate between 0 to -1000 V. The dependencies of ion and electron densities were shown and discussed within the framework of a simple model. The charged carrier densities measured with the monitoring system enable to separate the ion part of the substrate current and show its correlation to the generation rate. Comparing the ion density on the whole substrate and in the center gives a hint to the ion beam focusing effect. The maximum ion and electron current densities obtained were 0.40 and 0.61 μA/cm2, respectively.

  18. Heterogeneous Two-Phase Pillars in Epitaxial NiFe 2 O 4 -LaFeO 3 Nanocomposites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Comes, Ryan B.; Perea, Daniel E.; Spurgeon, Steven R.

    2017-07-10

    Self-assembled epitaxial oxide nanocomposites have been explored for a wide range of applications, including multiferroic and magnetoelectric properties, plasmonics, and catalysis. These so-called “vertically aligned nanocomposites” form spontaneously during the deposition process when segregation into two phases is energetically favorable as compared to a solid solution. However, there has been surprisingly little work understanding the driving forces that govern the synthesis of these materials, which can include point defect energetics, surface diffusion, and interfacial energies. To explore these factors, La-Ni-Fe-O films have been synthesized by molecular beam epitaxy and it is shown that these phase segregate into spinel-perovskite nanocomposites. Usingmore » complementary scanning transmission electron microscopy and atom-probe tomography, the elemental composition of each phase is examined and found that Ni ions are exclusively found in the spinel phase. From correlative analysis, a model for the relative favorability of the Ni2+ and Ni3+ valences under the growth conditions is developed. It is shown that multidimensional characterization techniques provide previously unobserved insight into the growth process and complex driving forces for phase segregation.« less

  19. Molecular beam epitaxy growth method for vertical-cavity surface-emitting laser resonators based on substrate thermal emission

    NASA Astrophysics Data System (ADS)

    Talghader, J. J.; Hadley, M. A.; Smith, J. S.

    1995-12-01

    A molecular beam epitaxy growth monitoring method is developed for distributed Bragg reflectors and vertical-cavity surface-emitting laser (VCSEL) resonators. The wavelength of the substrate thermal emission that corresponds to the optical cavity resonant wavelength is selected by a monochromator and monitored during growth. This method allows VCSEL cavities of arbitrary design wavelength to be grown with a single control program. This letter also presents a theoretical model for the technique which is based on transmission matrices and simple thermal emission properties. Demonstrated reproducibility of the method is well within 0.1%.

  20. Growth, structure, and magnetic properties of {gamma}-Fe{sub 2}O{sub 3} epitaxial films on MgO

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Y.; Kim, Y.J.; Thevuthasan, S.

    1997-04-01

    Single-crystal epitaxial thin films of {gamma}-Fe{sub 2}O{sub 3}(001) have been grown on MgO(001) using oxygen-plasma-assisted molecular beam epitaxy. The structure and magnetic properties of these films have been characterized by a variety of techniques, including reflection high-energy electron diffraction (RHEED), low-energy electron diffraction (LEED), x-ray photoelectron spectroscopy and x-ray photoelectron/Auger electron diffraction (XPD/AED), vibrating sample magnetometry, and ferromagnetic resonance. Real-time RHEED reveals that the film growth occurs in a layer-by-layer fashion. The {gamma}-Fe{sub 2}O{sub 3}(001) film surface exhibits a (1{times}1) LEED pattern. The growth of {gamma}-Fe{sub 2}O{sub 3} films at 450 {degree}C is accompanied by significant Mg outdiffusion. AED ofmore » Mg KLL Auger emission reveals that Mg substitutionally incorporates in the {gamma}-Fe{sub 2}O{sub 3} lattice, occupying the octahedral sites. Magnetic moments are {approximately}2300 G and {approximately}4500 G for {gamma}-Fe{sub 2}O{sub 3} films grown at 250{degree}C and 450{degree}C, respectively. The high magnetic moment for the films grown at 450{degree}C could be attributed to the high degree of structural order of the films and Mg substitution at octahedral sites. {copyright} {ital 1997 American Institute of Physics.}« less

  1. Nanosecond laser switching of surface wettability and epitaxial integration of c-axis ZnO thin films with Si(111) substrates.

    PubMed

    Molaei, R; Bayati, M R; Alipour, H M; Estrich, N A; Narayan, J

    2014-01-08

    We have achieved integration of polar ZnO[0001] epitaxial thin films with Si(111) substrates where cubic yttria-stabilized zirconia (c-YSZ) was used as a template on a Si(111) substrate. Using XRD (θ-2θ and φ scans) and HRTEM techniques, the epitaxial relationship between the ZnO and the c-YSZ layers was shown to be [0001]ZnO || [111]YSZ and [21¯1¯0]ZnO || [1¯01](c-YSZ), where the [21¯1¯0] direction lies in the (0001) plane, and the [1¯01] direction lies in the (111) plane. Similar studies on the c-YSZ/Si interface revealed epitaxy as (111)YSZ || (111)Si and in-plane (110)YSZ || (110)Si. HRTEM micrographs revealed atomically sharp and crystallographically continuous interfaces. The ZnO epilayers were subsequently laser annealed by a single pulse of a nanosecond excimer KrF laser. It was shown that the hydrophobic behavior of the pristine sample became hydrophilic after laser treatment. XPS was employed to study the effect of laser treatment on surface stoichiometry of the ZnO epilayers. The results revealed the formation of oxygen vacancies, which are envisaged to control the observed hydrophilic behavior. Our AFM studies showed surface smoothing due to the coupling of the high energy laser beam with the surface. The importance of integration of c-axis ZnO with Si(111) substrates is emphasized using the paradigm of domain matching epitaxy on the c-YSZ[111] buffer platform along with their out-of-plane orientation, which leads to improvement of the performance of the solid-state devices. The observed ultrafast response and switching in photochemical characteristics provide new opportunities for application of ZnO in smart catalysts, sensors, membranes, DNA self-assembly and multifunctional devices.

  2. Pump-probe surface photovoltage spectroscopy measurements on semiconductor epitaxial layers.

    PubMed

    Jana, Dipankar; Porwal, S; Sharma, T K; Kumar, Shailendra; Oak, S M

    2014-04-01

    Pump-probe Surface Photovoltage Spectroscopy (SPS) measurements are performed on semiconductor epitaxial layers. Here, an additional sub-bandgap cw pump laser beam is used in a conventional chopped light geometry SPS setup under the pump-probe configuration. The main role of pump laser beam is to saturate the sub-bandgap localized states whose contribution otherwise swamp the information related to the bandgap of material. It also affects the magnitude of Dember voltage in case of semi-insulating (SI) semiconductor substrates. Pump-probe SPS technique enables an accurate determination of the bandgap of semiconductor epitaxial layers even under the strong influence of localized sub-bandgap states. The pump beam is found to be very effective in suppressing the effect of surface/interface and bulk trap states. The overall magnitude of SPV signal is decided by the dependence of charge separation mechanisms on the intensity of the pump beam. On the contrary, an above bandgap cw pump laser can be used to distinguish the signatures of sub-bandgap states by suppressing the band edge related feature. Usefulness of the pump-probe SPS technique is established by unambiguously determining the bandgap of p-GaAs epitaxial layers grown on SI-GaAs substrates, SI-InP wafers, and p-GaN epilayers grown on Sapphire substrates.

  3. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  4. Growth of high-quality AlN epitaxial film by optimizing the Si substrate surface

    NASA Astrophysics Data System (ADS)

    Huang, Liegen; Li, Yuan; Wang, Wenliang; Li, Xiaochan; zheng, Yulin; Wang, Haiyan; Zhang, Zichen; Li, Guoqiang

    2018-03-01

    High-quality AlN epitaxial films have been grown on Si substrates by optimizing the hydrofluoric acid (HF) solution for cleaning of Si substrates. Effect of the Si substrate surface on the surface morphology and structural property of AlN epitaxial films is investigated in detail. It is revealed that as the concentration of HF solution increases from 0 to 2.0%, the surface morphology and the crystalline quality are initially improved and then get worse, and show an optimized value at 1.5%. The as-grown ∼200 nm-thick AlN epitaxial films on Si substrates grown with HF solution of 1.5% reveal the root-mean-square (RMS) surface roughness of 0.49 nm and the full-width at half-maximum for AlN(0002) X-ray rocking curve of 0.35°, indicating the smooth surface morphology and the high crystalline quality. The corresponding mechanism is proposed to interpret the effect of Si substrate surface on surface morphology and structural property of AlN epitaxial films, and provides an effective approach for the perspective fabrication of AlN-based devices.

  5. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon

    PubMed Central

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca i

    2017-01-01

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C. PMID:28262840

  6. Epitaxy of advanced nanowire quantum devices

    NASA Astrophysics Data System (ADS)

    Gazibegovic, Sasa; Car, Diana; Zhang, Hao; Balk, Stijn C.; Logan, John A.; de Moor, Michiel W. A.; Cassidy, Maja C.; Schmits, Rudi; Xu, Di; Wang, Guanzhong; Krogstrup, Peter; Op Het Veld, Roy L. M.; Zuo, Kun; Vos, Yoram; Shen, Jie; Bouman, Daniël; Shojaei, Borzoyeh; Pennachio, Daniel; Lee, Joon Sue; van Veldhoven, Petrus J.; Koelling, Sebastian; Verheijen, Marcel A.; Kouwenhoven, Leo P.; Palmstrøm, Chris J.; Bakkers, Erik P. A. M.

    2017-08-01

    Semiconductor nanowires are ideal for realizing various low-dimensional quantum devices. In particular, topological phases of matter hosting non-Abelian quasiparticles (such as anyons) can emerge when a semiconductor nanowire with strong spin-orbit coupling is brought into contact with a superconductor. To exploit the potential of non-Abelian anyons—which are key elements of topological quantum computing—fully, they need to be exchanged in a well-controlled braiding operation. Essential hardware for braiding is a network of crystalline nanowires coupled to superconducting islands. Here we demonstrate a technique for generic bottom-up synthesis of complex quantum devices with a special focus on nanowire networks with a predefined number of superconducting islands. Structural analysis confirms the high crystalline quality of the nanowire junctions, as well as an epitaxial superconductor-semiconductor interface. Quantum transport measurements of nanowire ‘hashtags’ reveal Aharonov-Bohm and weak-antilocalization effects, indicating a phase-coherent system with strong spin-orbit coupling. In addition, a proximity-induced hard superconducting gap (with vanishing sub-gap conductance) is demonstrated in these hybrid superconductor-semiconductor nanowires, highlighting the successful materials development necessary for a first braiding experiment. Our approach opens up new avenues for the realization of epitaxial three-dimensional quantum architectures which have the potential to become key components of various quantum devices.

  7. A novel fast-neutron detector concept for energy-selective imaging and imaging spectroscopy.

    PubMed

    Cortesi, M; Dangendorf, V; Zboray, R; Prasser, H-M

    2014-07-01

    We present and discuss the operational principle of a new fast-neutron detector concept suitable for either energy-selective imaging or for imaging spectroscopy. The detector is comprised of a series of energy-selective stacks of converter foils immersed in a noble-gas based mixture, coupled to a position-sensitive charge readout. Each foil in the various stacks is made of two layers of different thicknesses, fastened together: a hydrogen-rich (plastic) layer for neutron-to-proton conversion, and a hydrogen-free coating to selectively stop/absorb the recoil protons below a certain energy cut-off. The neutron-induced recoil protons, that escape the converter foils, release ionization electrons in the gas gaps between consecutive foils. The electrons are then drifted towards and localized by a position-sensitive charge amplification and readout stage. Comparison of the images detected by stacks with different energy cut-offs allows energy-selective imaging. Neutron energy spectrometry is realized by analyzing the responses of a sufficient large number of stacks of different energy response and unfolding techniques. In this paper, we present the results of computer simulation studies and discuss the expected performance of the new detector concept. Potential applications in various fields are also briefly discussed, in particularly, the application of energy-selective fast-neutron imaging for nuclear safeguards application, with the aim of determining the plutonium content in Mixed Oxide (MOX) fuels.

  8. Tuning of thermally induced first-order semiconductor-to-metal transition in pulsed laser deposited VO2 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Behera, Makhes K.; Pradhan, Dhiren K.; Pradhan, Sangram K.; Pradhan, Aswini K.

    2017-12-01

    Vanadium oxide (VO2) thin films have drawn significant research and development interest in recent years because of their intriguing physical origin and wide range of functionalities useful for many potential applications, including infrared imaging, smart windows, and energy and information technologies. However, the growth of highly epitaxial films of VO2, with a sharp and distinct controllable transition, has remained a challenge. Here, we report the structural and electronic properties of high quality and reproducible epitaxial thin films of VO2, grown on c-axis oriented sapphire substrates using pulsed laser deposition at different deposition pressures and temperatures, followed by various annealing schedules. Our results demonstrate that the annealing of epitaxial VO2 films significantly enhances the Semiconductor to Metal Transition (SMT) to that of bulk VO2 transition. The effect of oxygen partial pressure during the growth of VO2 films creates a significant modulation of the SMT from around room temperature to as high as the theoretical value of 68 °C. We obtained a bulk order transition ≥104 while reducing the transition temperature close to 60 °C, which is comparatively less than the theoretical value of 68 °C, demonstrating a clear and drastic improvement in the SMT switching characteristics. The results reported here will open the door to fundamental studies of VO2, along with tuning of the transition temperatures for potential applications for multifunctional devices.

  9. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  10. Research Update: Enhancement of figure of merit for energy-harvesters based on free-standing epitaxial Pb(Zr0.52Ti0.48)0.99Nb0.01O3 thin-film cantilevers

    NASA Astrophysics Data System (ADS)

    Nguyen, Minh D.; Houwman, Evert; Dekkers, Matthijn; Schlom, Darrell; Rijnders, Guus

    2017-07-01

    All-oxide free-standing cantilevers were fabricated with epitaxial (001)-oriented Pb(Zr0.52Ti0.48)O3 (PZT) and Pb(Zr0.52Ti0.48)0.99Nb0.01O3 (PNZT) as piezoelectric layers and SrRuO3 electrodes. The ferroelectric and piezoelectric hysteresis loops were measured. From the zero-bias values, the figure-of-merits (FOMs) for piezoelectric energy harvesting systems were calculated. For the PNZT cantilever, an extremely large value FOM = 55 GPa was obtained. This very high value is due to the large shifts of the hysteresis loops such that the zero-bias piezoelectric coefficient e31f is maximum and the zero-bias dielectric constant is strongly reduced compared to the value in the undoped PZT device. The results show that by engineering the self-bias field the energy-harvesting properties of piezoelectric systems can be increased significantly.

  11. Overview on Pendeo-Epitaxy of GaN-Based Heterostructures for Novel Devices Applications

    DTIC Science & Technology

    2006-11-01

    pendeo-epitaxy uses the metal organic chemical vapor deposition (MOCVD) technique that commonly requires ammonia (NH3) and trimethyl gallium ( TMG ...lateral growth rate and the crystallography of the side walls of the pendeo-epitaxial GaN are the growth temperature, the ammonia to TMG flow rate...pressure of 100 Torr and V:III ratio of 2600. It is known that the ammonia to TMG (V:III) molar flow rate ratio plays a major role for the lateral to

  12. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  13. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  14. Model selection as a science driver for dark energy surveys

    NASA Astrophysics Data System (ADS)

    Mukherjee, Pia; Parkinson, David; Corasaniti, Pier Stefano; Liddle, Andrew R.; Kunz, Martin

    2006-07-01

    A key science goal of upcoming dark energy surveys is to seek time-evolution of the dark energy. This problem is one of model selection, where the aim is to differentiate between cosmological models with different numbers of parameters. However, the power of these surveys is traditionally assessed by estimating their ability to constrain parameters, which is a different statistical problem. In this paper, we use Bayesian model selection techniques, specifically forecasting of the Bayes factors, to compare the abilities of different proposed surveys in discovering dark energy evolution. We consider six experiments - supernova luminosity measurements by the Supernova Legacy Survey, SNAP, JEDI and ALPACA, and baryon acoustic oscillation measurements by WFMOS and JEDI - and use Bayes factor plots to compare their statistical constraining power. The concept of Bayes factor forecasting has much broader applicability than dark energy surveys.

  15. Nonmathematical concepts of selection, evolutionary energy, and levels of evolution.

    PubMed

    Darlington, P J

    1972-05-01

    The place of mathematics in hypotheticodeductive processes and in biological research is discussed. (Natural) Selection is defined and described as differential elimination of performed sets at any level. Sets and acting sets are groups of units (themselves sets of smaller units) at any level that may or do interact. A pseudomathematical equation describes directional change (evolution) in sets at any level. Selection is the ram of evolution; it cannot generate, but can only direct, evolutionary energy. The energy of evolution is derived from molecular or chemical levels, is transmitted upwards through the increasingly complex sets of sets that form living systems, and is turned in directions determined by the sum of selective processes, at different levels, which may either supplement or oppose each other. All evolutionary processes conform to the pseudomathematical equation referred to above, use energy as described above, and have a P/OE (ratio of programming to open-endedness) that cannot be measured, but can be related to other P/OE values. Phylogeny and ontogeny are compared as processes af directional change with set selection. Stages in the evolution of multi-cellular individuals are suggested, and are essentially the same as stages in the evolution of some multi-individual insect societies. Thinking is considered as a part of ontogeny involving an irreversible, nonrepetitive process of set selection in the brain.

  16. Liquid-Phase Epitaxial Growth of ZnS, ZnSe and Their Mixed Compounds Using Te as Solvent

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroshi; Aoki, Masaharu

    1981-01-01

    Epitaxial layers of ZnS, ZnSe and their mixed compounds were grown on ZnS substrates by the liquid-phase epitaxial growth (LPE) method using Te as the solvent. The open-tube slide-boat technique was used, and a suitable starting temperature for growth was found to be 850°C for ZnS and 700-800°C for ZnSe. The ZnS epitaxial layers grown on {111}A and {111}B oriented ZnS substrates were thin (˜1 μm) and smooth, had low, uniform Te concentrations (˜0.1 at.%) and were highly luminescent. The ZnSe epitaxial layers were relatively thick (10-30 μm) and had fairly high Te concentrations (a few at.%). Various mixed compound ZnS1-xSex were also grown on ZnS substrates.

  17. Epitaxial Ce and the magnetism of single-crystal Ce/Nd superlattices

    NASA Astrophysics Data System (ADS)

    Clegg, P. S.; Goff, J. P.; McIntyre, G. J.; Ward, R. C.; Wells, M. R.

    2003-05-01

    The chemical structure of epitaxial γ cerium and the chemical and magnetic structures of cerium/neodymium superlattices have been studied using x-ray and neutron diffraction techniques. The samples were grown using molecular-beam epitaxy, optimized to yield the desired Ce allotropes. The x-ray measurements show that, in the superlattices, both constituents adopt the dhcp structure and that the stacking sequence remains intact down to T˜2 K; these are the first measurements of magnetic ordering in single-crystal dhcp Ce. The magnetic structure of the superlattices with thicker Nd layers exhibit incommensurate order and ferromagnetism on separate sublattices in a similar manner to Nd under applied pressure. The sample with thickest Ce layers has a magnetic structure similar to bulk β Ce, which has commensurate transverse modulation with a propagation wave vector [1/2 0 0] and moments along the hexagonal a direction. These two types of magnetic order appear to be mutually exclusive. γ Ce is the high-temperature fcc phase of Ce, our single-phase epitaxial sample is observed to go through a new, but partial, structural transition not previously seen in the bulk material.

  18. Comparative study of textured and epitaxial ZnO films

    NASA Astrophysics Data System (ADS)

    Ryu, Y. R.; Zhu, S.; Wrobel, J. M.; Jeong, H. M.; Miceli, P. F.; White, H. W.

    2000-06-01

    ZnO films were synthesized by pulsed laser deposition (PLD) on GaAs and α-Al 2O 3 substrates. The properties of ZnO films on GaAs and α-Al 2O 3 have been investigated to determine the differences between epitaxial and textured ZnO films. ZnO films on GaAs show very strong emission features associated with exciton transitions as do ZnO films on α-Al 2O 3, while the crystalline structural qualities for ZnO films on α-Al 2O 3 are much better than those for ZnO films on GaAs. The properties of ZnO films are studied by comparing highly oriented, textured ZnO films on GaAs with epitaxial ZnO films on α-Al 2O 3 synthesized along the c-axis.

  19. Low-Temperature Surface Preparation and Epitaxial Growth of ZnS and Cu 2ZnSnS 4 on ZnS(110) and GaP(100)

    DOE PAGES

    Harvey, Steven P; Wilson, Samual; Moutinho, Helio R; ...

    2017-08-12

    Here we give a summary of the low-temperature preparation methods of ZnS(110) and GaP(100) crystals for epitaxial growth of ZnS and Cu 2ZnSnS 4 (CZTS) via molecular beam epitaxy. Substrates were prepared for epitaxial growth by means of room-temperature aqueous surface treatments and subsequent ultra-high vacuum transfer to the deposition system. Epitaxial growth of ZnS was successful at 500 K on both ZnS(110) and GaP(100) as only single domains were observed with electron backscatter diffraction; furthermore, transmission electron microscopy measurements confirmed an epitaxial interface. Epitaxial growth of CZTS was successful on ZnS at 700 K. However, epitaxial growth was notmore » possible on GaP at 700 K due to Ga xS y formation, which significantly degraded the quality of the GaP crystal surface. Although CZTS was grown epitaxially on ZnS, growth of multiple crystallographic domains remains a problem that could inherently limit the viability of epitaxial CZTS for model system studies.« less

  20. Low-Temperature Surface Preparation and Epitaxial Growth of ZnS and Cu 2ZnSnS 4 on ZnS(110) and GaP(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Harvey, Steven P; Wilson, Samual; Moutinho, Helio R

    Here we give a summary of the low-temperature preparation methods of ZnS(110) and GaP(100) crystals for epitaxial growth of ZnS and Cu 2ZnSnS 4 (CZTS) via molecular beam epitaxy. Substrates were prepared for epitaxial growth by means of room-temperature aqueous surface treatments and subsequent ultra-high vacuum transfer to the deposition system. Epitaxial growth of ZnS was successful at 500 K on both ZnS(110) and GaP(100) as only single domains were observed with electron backscatter diffraction; furthermore, transmission electron microscopy measurements confirmed an epitaxial interface. Epitaxial growth of CZTS was successful on ZnS at 700 K. However, epitaxial growth was notmore » possible on GaP at 700 K due to Ga xS y formation, which significantly degraded the quality of the GaP crystal surface. Although CZTS was grown epitaxially on ZnS, growth of multiple crystallographic domains remains a problem that could inherently limit the viability of epitaxial CZTS for model system studies.« less

  1. Instrumentation for Epitaxial Growth of Complex Oxides

    DTIC Science & Technology

    2015-12-17

    synthesis of complex oxide heterostructures. A RF oxygen plasma source was acquired to increase the oxidizing ability of the growth environment, an...improvement that will prove critical in stabilizing materials with high oxidization states. The plasma source and accompanying electronics were purchased...2014 14-Aug-2015 Approved for Public Release; Distribution Unlimited Final Report: Instrumentation for Epitaxial Growth of Complex Oxides The views

  2. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turski, H., E-mail: henryk@unipress.waw.pl; Muziol, G.; Wolny, P.

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ{sub N}) during quantum wells (QWs) growth. We found that high Φ{sub N} improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold currentmore » density are discussed.« less

  3. Specific features of the spectra and relaxation kinetics of long-wavelength photoconductivity in narrow-gap HgCdTe epitaxial films and heterostructures with quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rumyantsev, V. V., E-mail: rumyantsev@ipmras.ru; Ikonnikov, A. V.; Antonov, A. V.

    2013-11-15

    The spectra and relaxation kinetics of interband photoconductivity are investigated in narrow-gap Hg{sub 1-x}Cd{sub x}Te epitaxial films with x = 0.19-0.23 and in structures with HgCdTe-based quantum wells (QWs), having an interband-transition energy in the range of 30-90 meV, grown by molecular-beam epitaxy on GaAs (013) substrates. A long-wavelength sensitivity band caused by impurities or defects is found in the spectra of the structures with quantum wells in addition to the interband photoconductivity. It is shown that the lifetimes of nonequilibrium carriers in the structures with QWs is less than in bulk samples at the same optical-transition energy. From themore » measured carrier lifetimes, the ampere-watt responsivity and the equivalent noise power for a film with x = 0.19 at a wavelength of 19 {mu}m are estimated. When investigating the relaxation kinetics of the photoconductivity at 4.2 K in high excitation regime, it is revealed that radiative recombination is dominant over other mechanisms of nonequilibrium-carrier recombination.« less

  4. Synthesis and electronic properties of Fe 2TiO 5 epitaxial thin films

    DOE PAGES

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; ...

    2018-05-02

    Here, we investigate the growth phase diagram of pseudobrookite Fe 2TiO 5 epitaxial thin films on LaAlO 3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20–80 Ω cm, which are significantly lower than α-Fe 2O 3, making Fe 2TiO 5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe 2TiO 5 in oxide heterostructures for photocatalytic and photoelectrochemicalmore » applications.« less

  5. Ultrathin and Ion-Selective Janus Membranes for High-Performance Osmotic Energy Conversion.

    PubMed

    Zhang, Zhen; Sui, Xin; Li, Pei; Xie, Ganhua; Kong, Xiang-Yu; Xiao, Kai; Gao, Longcheng; Wen, Liping; Jiang, Lei

    2017-07-05

    The osmotic energy existing in fluids is recognized as a promising "blue" energy source that can help solve the global issues of energy shortage and environmental pollution. Recently, nanofluidic channels have shown great potential for capturing this worldwide energy because of their novel transport properties contributed by nanoconfinement. However, with respect to membrane-scale porous systems, high resistance and undesirable ion selectivity remain bottlenecks, impeding their applications. The development of thinner, low-resistance membranes, meanwhile promoting their ion selectivity, is a necessity. Here, we engineered ultrathin and ion-selective Janus membranes prepared via the phase separation of two block copolymers, which enable osmotic energy conversion with power densities of approximately 2.04 W/m 2 by mixing natural seawater and river water. Both experiments and continuum simulation help us to understand the mechanism for how membrane thickness and channel structure dominate the ion transport process and overall device performance, which can serve as a general guiding principle for the future design of nanochannel membranes for high-energy concentration cells.

  6. Development of anion-selective membranes. [for energy storage

    NASA Technical Reports Server (NTRS)

    Lacey, R. E.; Cowsar, D. R.

    1975-01-01

    Methods were studied of preparing anion-exchange membranes that would have low resistance, high selectivity, and physical and chemical stability when used in acidic media in a redox energy storage system. Of the twelve systems selected for study, only the system that was based on crosslinked poly-4-vinylpyridinium chloride produced physically strong membranes when equilibrated in l M HCl. The resistivity of the best membrane was 12 ohm-cm, and the transference number for chloride ions was 0.81.

  7. Epitaxial hexagonal materials on IBAD-textured substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substratesmore » to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.« less

  8. Coalescence induced dislocation reduction in selectively grown lattice-mismatched heteroepitaxy: Theoretical prediction and experimental verification

    NASA Astrophysics Data System (ADS)

    Yako, Motoki; Ishikawa, Yasuhiko; Wada, Kazumi

    2018-05-01

    A method for reduction of threading dislocation density (TDD) in lattice-mismatched heteroepitaxy is proposed, and the reduction is experimentally verified for Ge on Si. Flat-top epitaxial layers are formed through coalescences of non-planar selectively grown epitaxial layers, and enable the TDD reduction in terms of image force. Numerical calculations and experiments for Ge on Si verify the TDD reduction by this method. The method should be applicable to not only Ge on Si but also other lattice-mismatched heteroepitaxy such as III-V on Si.

  9. InGaAsP-based uni-travelling carrier photodiode structure grown by solid source molecular beam epitaxy.

    PubMed

    Natrella, Michele; Rouvalis, Efthymios; Liu, Chin-Pang; Liu, Huiyun; Renaud, Cyril C; Seeds, Alwyn J

    2012-08-13

    We report the first InGaAsP-based uni-travelling carrier photodiode structure grown by Solid Source Molecular Beam Epitaxy; the material contains layers of InGaAsP as thick as 300 nm and a 120 nm thick InGaAs absorber. Large area vertically illuminated test devices have been fabricated and characterised; the devices exhibited 0.1 A/W responsivity at 1550 nm, 12.5 GHz -3 dB bandwidth and -5.8 dBm output power at 10 GHz for a photocurrent of 4.8 mA. The use of Solid Source Molecular Beam Epitaxy enables the major issue associated with the unintentional diffusion of zinc in Metal Organic Vapour Phase Epitaxy to be overcome and gives the benefit of the superior control provided by MBE growth techniques without the costs and the risks of handling toxic gases of Gas Source Molecular Beam Epitaxy.

  10. Epitaxial growth and chemical vapor transport of ZnTe by closed-tube method

    NASA Astrophysics Data System (ADS)

    Ogawa, H.; Nishio, M.; Arizumi, T.

    1981-04-01

    The epitaxial growth of ZnTe in a ZnTe- I2 system by a closed tube method is investigated by varying the charged iodine concentration ( MI2) or the temperature difference ( ΔT) between the high and low temperature zones. The transport rate is a function of MI2 and ΔT and has a minimum value increasing monotonically at higher and lower iodine concentration, and it increases with increasing ΔT. This experimental result can be explained well by thermodynamical calculations. The growth rate of ZnTe has the same tendency as the transport rate. The surface morphology of epitaxial layer on (110)ZnTe is not sinificantly affected by MI2 but becomes smoother with increasing temperature. The surface morphology and the growth rate of ZnTe layers also depend upon the orientation of substrate. The epitaxial layer can be obtained at temperature as low as 623°C.

  11. Growth of analog Al(x)Ga(1-x)As/GaAs parabolic quantum wells by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, S. M.; Treideris, G.; Chen, W. Q.; Andersson, T. G.

    1993-01-01

    Parabolic Al(x)Ga(1-x)As/GaAs quantum wells have been grown by molecular beam epitaxy with linear ramping of the Al effusion cell temperature, where the ramping rate was carefully analyzed to avoid a flux lag. The calculated potential profile from the temperature variation was very close to the parabolic one. Low-temperature photoluminescence showed clear interband transitions up to the n = 3 sublevels. The equal energy spacing between adjacent transitions involving heavy-hole states confirmed the parabolic shape of the quantum well.

  12. Epitaxy of Ferroelectric P(VDF-TrFE) Films via Removable PTFE Templates and Its Application in Semiconducting/Ferroelectric Blend Resistive Memory.

    PubMed

    Xia, Wei; Peter, Christian; Weng, Junhui; Zhang, Jian; Kliem, Herbert; Jiang, Yulong; Zhu, Guodong

    2017-04-05

    Ferroelectric polymer based devices exhibit great potentials in low-cost and flexible electronics. To meet the requirements of both low voltage operation and low energy consumption, thickness of ferroelectric polymer films is usually required to be less than, for example, 100 nm. However, decrease of film thickness is also accompanied by the degradation of both crystallinity and ferroelectricity and also the increase of current leakage, which surely degrades device performance. Here we report one epitaxy method based on removable poly(tetrafluoroethylene) (PTFE) templates for high-quality fabrication of ordered ferroelectric polymer thin films. Experimental results indicate that such epitaxially grown ferroelectric polymer films exhibit well improved crystallinity, reduced current leakage and good resistance to electrical breakdown, implying their applications in high-performance and low voltage operated ferroelectric devices. On the basis of this removable PTFE template method, we fabricated organic semiconducting/ferroelectric blend resistive films which presented record electrical performance with operation voltage as low as 5 V and ON/OFF ratio up to 10 5 .

  13. Copper-related defects in In0.53Ga0.47As grown by liquid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Tilly, L. P.; Grimmeiss, H. G.; Hansson, P. O.

    1993-01-01

    High-purity In0.53Ga0.47As lattice matched to InP was grown by liquid-phase epitaxy and used for the study of Cu-related defects. The samples had a free-electron carrier concentration of n=5.0×1014 cm-3 and an electron mobility of μ77 K=44 000 cm2/V s. A Cu-related acceptor level 25 meV above the valence-band edge was identified using photoluminescence measurements. Comparing the energy position of this shallow acceptor level with the Ev+157.8-meV Cu-acceptor level in GaAs supports the assumption of an internal energy reference level [J. M. Langer, C. Delerue, M. Lannoo, and H. Heinrich, Phys. Rev. B 38, 7723 (1988)] common to GaAs and InxGa1-xAs.

  14. Substrate-Independent Epitaxial Growth of the Metal-Organic Framework MOF-508a.

    PubMed

    Wilson, M; Barrientos-Palomo, S N; Stevens, P C; Mitchell, N L; Oswald, G; Nagaraja, C M; Badyal, J P S

    2018-01-31

    Plasmachemical deposition is a substrate-independent method for the conformal surface functionalization of solid substrates. Structurally well-defined pulsed plasma deposited poly(1-allylimidazole) layers provide surface imidazole linker groups for the directed liquid-phase epitaxial (layer-by-layer) growth of metal-organic frameworks (MOFs) at room temperature. For the case of microporous [Zn (benzene-1,4-dicarboxylate)-(4,4'-bipyridine) 0.5 ] (MOF-508), the MOF-508a polymorph containing two interpenetrating crystal lattice frameworks undergoes orientated Volmer-Weber growth and displays CO 2 gas capture behavior at atmospheric concentrations in proportion to the number of epitaxially grown MOF-508 layers.

  15. Composition dependence of solid-phase epitaxy in silicon-germanium alloys: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Haynes, T. E.; Antonell, M. J.; Lee, C. Archie; Jones, K. S.

    1995-03-01

    The rates of solid-phase epitaxy (SPE) in unstrained Si1-xGex alloys have been measured by time-resolved reflectivity for eight different alloy compositions, including both Si-rich and Ge-rich layers. Amorphous layers 300-400 nm thick were first formed in 8-μm-thick, relaxed, epitaxial Si1-xGex layers (0.02<=x<=0.87) by ion implantation of Si+. For each composition, the measured SPE rates spanned approximately two orders of magnitude. The alloy SPE rates are shown to be related to the regrowth rates of the two pure elements by a simple equation expressed in terms of the composition parameter x and having no adjustable parameters. The form of this equation implies that crystallization occurs by a serial attachment process at the amorphous-crystal interface and that the rate of attachment of each individual atom is determined by the identities of its four nearest neighbors. Such a process is consistent with the dangling-bond model proposed by Spaepen and Turnbull [in Laser-Solid Interactions and Laser Processing, edited by S. D. Ferris, H. J. Leamy, and J. M. Poate, AIP Conf. Proc. No. 50 (AIP, New York, 1979)] if the SPE rate is limited by the migration rate of dangling bonds rather than by their formation rate. Based on this analysis, an interpretation is proposed for the anomalously large activation energies that have been measured for SPE in some Si-rich compositions.

  16. Energy efficiency of substance and energy recovery of selected waste fractions.

    PubMed

    Fricke, Klaus; Bahr, Tobias; Bidlingmaier, Werner; Springer, Christian

    2011-04-01

    In order to reduce the ecological impact of resource exploitation, the EU calls for sustainable options to increase the efficiency and productivity of the utilization of natural resources. This target can only be achieved by considering resource recovery from waste comprehensively. However, waste management measures have to be investigated critically and all aspects of substance-related recycling and energy recovery have to be carefully balanced. This article compares recovery methods for selected waste fractions with regard to their energy efficiency. Whether material recycling or energy recovery is the most energy efficient solution, is a question of particular relevance with regard to the following waste fractions: paper and cardboard, plastics and biowaste and also indirectly metals. For the described material categories material recycling has advantages compared to energy recovery. In accordance with the improved energy efficiency of substance opposed to energy recovery, substance-related recycling causes lower emissions of green house gases. For the fractions paper and cardboard, plastics, biowaste and metals it becomes apparent, that intensification of the separate collection systems in combination with a more intensive use of sorting technologies can increase the extent of material recycling. Collection and sorting systems must be coordinated. The objective of the overall system must be to achieve an optimum of the highest possible recovery rates in combination with a high quality of recyclables. The energy efficiency of substance related recycling of biowaste can be increased by intensifying the use of anaerobic technologies. In order to increase the energy efficiency of the overall system, the energy efficiencies of energy recovery plants must be increased so that the waste unsuitable for substance recycling is recycled or treated with the highest possible energy yield. Copyright © 2010 Elsevier Ltd. All rights reserved.

  17. Energy efficiency of substance and energy recovery of selected waste fractions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fricke, Klaus, E-mail: klaus.fricke@tu-bs.de; Bahr, Tobias, E-mail: t.bahr@tu-bs.de; Bidlingmaier, Werner, E-mail: werner.bidlingmaier@uni-weimar.de

    In order to reduce the ecological impact of resource exploitation, the EU calls for sustainable options to increase the efficiency and productivity of the utilization of natural resources. This target can only be achieved by considering resource recovery from waste comprehensively. However, waste management measures have to be investigated critically and all aspects of substance-related recycling and energy recovery have to be carefully balanced. This article compares recovery methods for selected waste fractions with regard to their energy efficiency. Whether material recycling or energy recovery is the most energy efficient solution, is a question of particular relevance with regard tomore » the following waste fractions: paper and cardboard, plastics and biowaste and also indirectly metals. For the described material categories material recycling has advantages compared to energy recovery. In accordance with the improved energy efficiency of substance opposed to energy recovery, substance-related recycling causes lower emissions of green house gases. For the fractions paper and cardboard, plastics, biowaste and metals it becomes apparent, that intensification of the separate collection systems in combination with a more intensive use of sorting technologies can increase the extent of material recycling. Collection and sorting systems must be coordinated. The objective of the overall system must be to achieve an optimum of the highest possible recovery rates in combination with a high quality of recyclables. The energy efficiency of substance related recycling of biowaste can be increased by intensifying the use of anaerobic technologies. In order to increase the energy efficiency of the overall system, the energy efficiencies of energy recovery plants must be increased so that the waste unsuitable for substance recycling is recycled or treated with the highest possible energy yield.« less

  18. Near infrared group IV optoelectronics and novel pre-cursors for CVD epitaxy

    NASA Astrophysics Data System (ADS)

    Hazbun, Ramsey Michael

    Near infrared and mid infrared optoelectronic devices have become increasingly important for the telecommunications, security, and medical imaging industries. The addition of nitrogen to III-V alloys has been widely studied as a method of modifying the band gap for mid infrared (IR) applications. In xGa1-xSb1-y Ny/InAs strained-layer superlattices with type-II (staggered) energy offsets on GaSb substrates, were modeled using eight-band k˙p simulations to analyze the superlattice miniband energies. Three different zero-stress strain balance conditions are reported: fixed superlattice period thickness, fixed InAs well thickness, and fixed InxGa1-xSb 1-yNy barrier thickness. Optoelectronics have traditionally been the realm of III-V semiconductors due to their direct band gap, while integrated circuit chips have been the realm of Group IV semiconductors such as silicon because of its relative abundance and ease of use. Recently the alloying of Sn with Ge and Si has been shown to allow direct band-gap light emission. This presents the exciting prospect of integrating optoelectronics into current Group IV chip fabrication facilities. However, new approaches for low temperature growth are needed to realize these new SiGeSn alloys. Silicon-germanium epitaxy via ultra-high vacuum chemical vapor deposition has the advantage of allowing low process temperatures. Deposition processes are sensitive to substrate surface preparation and the time delay between oxide removal and epitaxial growth. A new monitoring process utilizing doped substrates and defect decoration etching is demonstrated to have controllable and unique sensitivity to interfacial contaminants. Doped substrates were prepared and subjected to various loading conditions prior to the growth of typical Si/SiGe bilayers. The defect densities were correlated to the concentration of interfacial oxygen suggesting this monitoring process may be an effective complement to monitoring via secondary ion mass spectrometry

  19. Superconducting Ga/GaSe layers grown by van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Desrat, W.; Moret, M.; Briot, O.; Ngo, T.-H.; Piot, B. A.; Jabakhanji, B.; Gil, B.

    2018-04-01

    We report on the growth of GaSe films by molecular beam epitaxy on both (111)B GaAs and sapphire substrates. X-ray diffraction reveals the perfect crystallinity of GaSe with the c-axis normal to the substrate surface. The samples grown under Ga rich conditions possess an additional gallium film on top of the monochalcogenide layer. This metallic film shows two normal-to-superconducting transitions which are detected at T c ≈ 1.1 K and 6.0 K. They correspond likely to the β and α-phases of gallium in the form of bulk and droplets respectively. Our results demonstrate that van der Waals epitaxy can lead to future high quality hybrid superconductor/monochalcogenide heterostructures.

  20. Molecular beam epitaxy growth of SmB6+/-δ thin films

    NASA Astrophysics Data System (ADS)

    Hoffman, Jason; Saleem, Muhammad; Day, James; Bonn, Doug; Hoffman, Jennifer

    SmB6 has emerged as a leading candidate in the search for exotic topological states generated by strong interactions. The synthesis of epitaxial SmB6 thin films presents new avenues to control surface termination, thickness, and strain in this system. In this work, we use molecular beam epitaxy (MBE) to deposit SmB6+/-δ films on insulating (001)-oriented MgO substrates. We use ex-situ x-ray diffraction and magnetotransport measurements to assess the properties of the samples and compare them to previously reported values for single crystals. We also discuss the prospects of using rare-earth substitution to control the correlation strength and alter the topology of the bulk and surface electronic states.

  1. Epitaxial crystals of Bi₂Pt₂O₇ pyrochlore through the transformation of δ–Bi₂O₃ fluorite

    DOE PAGES

    Gutiérrez–Llorente, Araceli; Joress, Howie; Woll, Arthur; ...

    2015-03-01

    Bi₂Pt₂O₇ pyrochlore is thought to be one of the most promising oxide catalysts for application in fuel cell technology. Unfortunately, direct film growth of Bi₂Pt₂O₇ has not yet been achieved, owing to the difficulty of oxidizing platinum metal in the precursor material to Pt⁴⁺. In this work, in order to induce oxidation of the platinum, we annealed pulsed laser deposited films consisting of epitaxial δ–Bi₂O₃ and co-deposited, comparatively disordered platinum. We present synchrotron x-ray diffraction results that show the nonuniform annealed films contain the first epitaxial crystals of Bi₂Pt₂O₇. We also visualized the pyrochlore structure by scanning transmission electron microscopy,more » and observed ordered cation vacancies in the epitaxial crystals formed in a bismuth-rich film but not in those formed in a platinum-rich film. The similarity between the δ–Bi₂O₃ and Bi₂Pt₂O₇ structures appears to facilitate the pyrochlore formation. These results provide the only route to date for the formation of epitaxial Bi₂Pt₂O₇.« less

  2. Photoluminescence of ZnTe/ZnMgTe multiple quantum well structures grown on ZnTe substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tanaka, Tooru; Ohshita, Hiroshi; Saito, Katsuhiko; Guo, Qixin

    2018-02-01

    Photoluminescence (PL) properties of ZnTe/ZnMgTe quantum well (QW) structures grown by molecular beam epitaxy (MBE) were investigated systematically with respect to well widths and Mg contents. Observed PL peak energies were consistent well with the calculated emission energies of the QWs considering a lattice distortion in the ZnTe well. From the temperature dependence of PL intensity, it was found that a suppression of a carrier escape from QW is crucial to obtain a PL at higher temperature in the ZnTe/ZnMgTe QW. Based on the results, multiple quantum well structures were designed and fabricated, which exhibited a green PL at room temperature.

  3. Emitter Choice for Epitaxial CdTe Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Tao; Kanevce, Ana; Sites, James R.

    2016-11-21

    High-quality epitaxial CdTe layers with low defect density and high carrier concentration have been demonstrated by several research groups. Nevertheless, one primary challenge for high-performance epitaxial CdTe solar cells is how to choose a suitable emitter partner for the junction formation. The numerical simulations show that a type I heterojunction with small conduction band offset (0.1 eV = ..delta..Ec = 0.3 eV) is necessary to maintain a good cell efficiency even with large interface recombination. Otherwise, a small 'cliff' can assist interface recombination causing smaller Voc, and a large 'spike' (..delta..Ec = 0.4 eV) can impede the photo current andmore » lead to a reduction of JSC and FF. Among the three possible emitters, CdS, CdMgTe, and MgZnO, CdMgTe (with ~30% Mg) and MgZnO (with ~ 20% Mg) are likely to be a better choice since their type-I junction can tolerate a larger density of interface defects.« less

  4. Highly piezoelectric BaTiO3 nanorod bundle arrays using epitaxially grown TiO2 nanomaterials.

    PubMed

    Jang, Seon-Min; Yang, Su Chul

    2018-06-08

    Low-dimensional piezoelectric nanostructures such as nanoparticles, nanotubes, nanowires, nanoribbons and nanosheets have been developed for potential applications as energy harvesters, tunable sensors, functional transducers and low-power actuators. In this study, lead-free BaTiO 3 nanorod bundle arrays (NBA) with highly piezoelectric properties were successfully synthesized on fluorine-doped tin oxide (FTO) substrate via a two-step process consisting of TiO 2 epitaxial growth and BaTiO 3 conversion. Through the TiO 2 epitaxial growth on FTO substrate, (001) oriented TiO 2 nanostructures formed vertically-aligned NBA with a bundle diameter of 80 nm and an aspect ratio of six. In particular, chemical etching of the TiO 2 NBA was conducted to enlarge the surface area for effective Ba 2+ ion diffusion during the perovskite conversion process from TiO 2 to BaTiO 3 . The final structure of perovskite BaTiO 3 NBA was found to exhibit a feasible piezoelectric response of 3.56 nm with a clear phase change of 180° from the single BaTiO 3 bundle, by point piezoelectric forced microscopy (PFM) analysis. Consequently, highly piezoelectric NBA could be a promising nanostructure for various nanoscale electronic devices.

  5. Highly piezoelectric BaTiO3 nanorod bundle arrays using epitaxially grown TiO2 nanomaterials

    NASA Astrophysics Data System (ADS)

    Jang, Seon-Min; Yang, Su Chul

    2018-06-01

    Low-dimensional piezoelectric nanostructures such as nanoparticles, nanotubes, nanowires, nanoribbons and nanosheets have been developed for potential applications as energy harvesters, tunable sensors, functional transducers and low-power actuators. In this study, lead-free BaTiO 3 nanorod bundle arrays (NBA) with highly piezoelectric properties were successfully synthesized on fluorine-doped tin oxide (FTO) substrate via a two-step process consisting of TiO2 epitaxial growth and BaTiO3 conversion. Through the TiO2 epitaxial growth on FTO substrate, (001) oriented TiO2 nanostructures formed vertically-aligned NBA with a bundle diameter of 80 nm and an aspect ratio of six. In particular, chemical etching of the TiO2 NBA was conducted to enlarge the surface area for effective Ba2+ ion diffusion during the perovskite conversion process from TiO2 to BaTiO3. The final structure of perovskite BaTiO3 NBA was found to exhibit a feasible piezoelectric response of 3.56 nm with a clear phase change of 180° from the single BaTiO3 bundle, by point piezoelectric forced microscopy (PFM) analysis. Consequently, highly piezoelectric NBA could be a promising nanostructure for various nanoscale electronic devices.

  6. Nanodomain induced anomalous magnetic and electronic transport properties of LaBaCo{sub 2}O{sub 5.5+δ} highly epitaxial thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ruiz-Zepeda, F.; Ma, C.; Bahena Uribe, D.

    2014-01-14

    A giant magnetoresistance effect (∼46% at 20 K under 7 T) and anomalous magnetic properties were found in a highly epitaxial double perovskite LaBaCo{sub 2}O{sub 5.5+δ} (LBCO) thin film on (001) MgO. Aberration-corrected Electron Microscopy and related analytical techniques were employed to understand the nature of these unusual physical properties. The as-grown film is epitaxial with the c-axis of the LBCO structure lying in the film plane and with an interface relationship given by (100){sub LBCO} || (001){sub MgO} and [001]{sub LBCO} || [100]{sub MgO} or [010]{sub MgO}. Orderly oxygen vacancies were observed by line profile electron energy loss spectroscopy and bymore » atomic resolution imaging. Especially, oxygen vacancy and nanodomain structures were found to have a crucial effect on the electronic transport and magnetic properties.« less

  7. Epitaxial Fe/Y2O3 interfaces as a model system for oxide-dispersion-strengthened ferritic alloys

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaspar, Tiffany C.; Bowden, Mark E.; Wang, Chong M.

    2015-02-01

    The fundamental mechanisms underlying the superior radiation tolerance properties of oxide-dispersion-strengthened ferritic steels and nanostructured ferritic alloys are poorly understood. Thin film heterostructures of Fe/Y2O3 can serve as a model system for fundamental studies of radiation damage. Epitaxial thin films of Y2O3 were deposited by pulsed laser deposition on 8% Y:ZrO2 (YSZ) substrates with (100), (110), and (111) orientation. Metallic Fe was subsequently deposited by molecular beam epitaxy. Characterization by x-ray diffraction and Rutherford backscattering spectrometry in the channeling geometry revealed a degree of epitaxial or axiotaxial ntation for Fe(211) deposited on Y2O3(110)/YSZ(110). In contrast, Fe on Y2O3(111)/YSZ(111) was fullymore » polycrystalline, and Fe on Y2O3(100)/YSZ(100) exhibited out-of-plane texture in the [110] direction with little or no preferential in-plane orientation. Scanning transmission electron microscopy imaging of Fe(211)/Y2O3(110)/YSZ(110) revealed a strongly islanded morphology for the Fe film, with no epitaxial grains visible in the cross-sectional sample. Well-ordered Fe grains with no orientation to the underlying Y2O3 were observed. Well-ordered crystallites of Fe with both epitaxial and non-epitaxial orientations on Y2O3 are a promising model system for fundamental studies of radiation damage phenomena. This is illustrated with preliminary results of He bubble formation following implantation with a helium ion microscope. He bubble formation is shown to preferentially occur at the Fe/Y2O3 interface.« less

  8. Dimensionality and noise in energy selective x-ray imaging

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alvarez, Robert E.

    Purpose: To develop and test a method to quantify the effect of dimensionality on the noise in energy selective x-ray imaging.Methods: The Cramèr-Rao lower bound (CRLB), a universal lower limit of the covariance of any unbiased estimator, is used to quantify the noise. It is shown that increasing dimensionality always increases, or at best leaves the same, the variance. An analytic formula for the increase in variance in an energy selective x-ray system is derived. The formula is used to gain insight into the dependence of the increase in variance on the properties of the additional basis functions, the measurementmore » noise covariance, and the source spectrum. The formula is also used with computer simulations to quantify the dependence of the additional variance on these factors. Simulated images of an object with three materials are used to demonstrate the trade-off of increased information with dimensionality and noise. The images are computed from energy selective data with a maximum likelihood estimator.Results: The increase in variance depends most importantly on the dimension and on the properties of the additional basis functions. With the attenuation coefficients of cortical bone, soft tissue, and adipose tissue as the basis functions, the increase in variance of the bone component from two to three dimensions is 1.4 × 10{sup 3}. With the soft tissue component, it is 2.7 × 10{sup 4}. If the attenuation coefficient of a high atomic number contrast agent is used as the third basis function, there is only a slight increase in the variance from two to three basis functions, 1.03 and 7.4 for the bone and soft tissue components, respectively. The changes in spectrum shape with beam hardening also have a substantial effect. They increase the variance by a factor of approximately 200 for the bone component and 220 for the soft tissue component as the soft tissue object thickness increases from 1 to 30 cm. Decreasing the energy resolution of the detectors

  9. Dimensionality and noise in energy selective x-ray imaging

    PubMed Central

    Alvarez, Robert E.

    2013-01-01

    Purpose: To develop and test a method to quantify the effect of dimensionality on the noise in energy selective x-ray imaging. Methods: The Cramèr-Rao lower bound (CRLB), a universal lower limit of the covariance of any unbiased estimator, is used to quantify the noise. It is shown that increasing dimensionality always increases, or at best leaves the same, the variance. An analytic formula for the increase in variance in an energy selective x-ray system is derived. The formula is used to gain insight into the dependence of the increase in variance on the properties of the additional basis functions, the measurement noise covariance, and the source spectrum. The formula is also used with computer simulations to quantify the dependence of the additional variance on these factors. Simulated images of an object with three materials are used to demonstrate the trade-off of increased information with dimensionality and noise. The images are computed from energy selective data with a maximum likelihood estimator. Results: The increase in variance depends most importantly on the dimension and on the properties of the additional basis functions. With the attenuation coefficients of cortical bone, soft tissue, and adipose tissue as the basis functions, the increase in variance of the bone component from two to three dimensions is 1.4 × 103. With the soft tissue component, it is 2.7 × 104. If the attenuation coefficient of a high atomic number contrast agent is used as the third basis function, there is only a slight increase in the variance from two to three basis functions, 1.03 and 7.4 for the bone and soft tissue components, respectively. The changes in spectrum shape with beam hardening also have a substantial effect. They increase the variance by a factor of approximately 200 for the bone component and 220 for the soft tissue component as the soft tissue object thickness increases from 1 to 30 cm. Decreasing the energy resolution of the detectors increases the

  10. Method of deposition by molecular beam epitaxy

    DOEpatents

    Chalmers, Scott A.; Killeen, Kevin P.; Lear, Kevin L.

    1995-01-01

    A method is described for reproducibly controlling layer thickness and varying layer composition in an MBE deposition process. In particular, the present invention includes epitaxially depositing a plurality of layers of material on a substrate with a plurality of growth cycles whereby the average of the instantaneous growth rates for each growth cycle and from one growth cycle to the next remains substantially constant as a function of time.

  11. Method of deposition by molecular beam epitaxy

    DOEpatents

    Chalmers, S.A.; Killeen, K.P.; Lear, K.L.

    1995-01-10

    A method is described for reproducibly controlling layer thickness and varying layer composition in an MBE deposition process. In particular, the present invention includes epitaxially depositing a plurality of layers of material on a substrate with a plurality of growth cycles whereby the average of the instantaneous growth rates for each growth cycle and from one growth cycle to the next remains substantially constant as a function of time. 9 figures.

  12. Electronic Structure of Tl2Ba2CuO(6+Delta) Epitaxial Films Measured by X-Ray Photoemission

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Ren, Z. F.; Wang, J. H.

    1996-01-01

    The valence electronic structure and core levels of Tl2Ba2CuO(6 + delta) (Tl-2201) epitaxial films have been measured with X-ray photoelectron spectroscopy and are compared to those of Tl2Ba2CaCu2O(8 + delta) (Tl-2212). Changes in the Tl-2201 core-level binding energies with oxygen doping are consistent with a change in the chemical potential. Differences between the Tl-2201 and Tl-2212 measured densities of states are consistent with the calculated Cu 3d and Tl 6s partial densities of states.

  13. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  14. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition.

    PubMed

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-12-21

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr(2+) act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth.

  15. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition

    PubMed Central

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-01-01

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr2+ act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth. PMID:26710210

  16. Nucleation of uniform mono- and bilayer epitaxial graphene on SiC(0001)

    NASA Astrophysics Data System (ADS)

    Wu, Xiaosong; Zhang, Rui; Dong, Yunliang; Guo, Shuai; Kong, Wenjie; Liao, Zhimin; Yu, Dapeng

    2012-02-01

    Early stage of epitaxial graphene growth on SiC(0001) has been investigated. Using the confinement controlled sublimation (CCS) method, we has achieved well controlled growth and been able to see the formation of mono- and bilayer graphene islands. The growth features reveal the intriguing growth mechanism. In particular, a new ``stepdown'' growth mode has been identified. Graphene can propagate tens of micrometers across many SiC steps, while, most importantly, step bunching is avoided and the initial regular stepped SiC surface morphology is preserved. The stepdown growth demonstrates a route towards uniform epitaxial graphene in wafer size without sacrificing the initial substrate surface morphology.

  17. Epitaxial growth and characterization of Si/NiSi 2/Si(111) heterostructures

    NASA Astrophysics Data System (ADS)

    Rizzi, Angela; Förster, A.; Lüth, H.; Slijkerman, W.

    1989-04-01

    Si/NiSi 2/Si(111) heterostructures are grown under UHV conditions. The well known "template" method is used to produce the epitaxial NiSi 2 interlayer. On top of the suicide, the silicon epitaxial growth is obtained by means of gas phase reaction of SiH 4 at a surface temperature of 500° C. The Si growth rate is strongly enhanced by predissociation of SiH 4 using a hot tungsten filament in the vicinity of the surface. The single steps of the growth are followed in-situ by means of AES, HREELS and LEED analysis. Ex-situ high resolution RBS analysis is also applied for characterization.

  18. In vacancies in InN grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Reurings, Floris; Tuomisto, Filip; Gallinat, Chad S.; Koblmüller, Gregor; Speck, James S.

    2010-12-01

    The authors have applied positron annihilation spectroscopy to study the effect of different growth conditions on vacancy formation in In- and N-polar InN grown by plasma-assisted molecular beam epitaxy. The results suggest that the structural quality of the material and limited diffusion of surface adatoms during growth dictate the In vacancy formation in low electron-density undoped epitaxial InN, while growth conditions and thermodynamics have a less important role, contrary to what is observed in, e.g., GaN. Furthermore, the results imply that in high quality InN, the electron mobility is likely limited not by ionized point defect scattering, but rather by threading dislocations.

  19. Evaluation and Selection of Renewable Energy Technologies for Highway Maintenance Facilities

    NASA Astrophysics Data System (ADS)

    Andrews, Taylor

    The interest in renewable energy has been increasing in recent years as attempts to reduce energy costs as well the consumption of fossil fuels are becoming more common. Companies and organizations are recognizing the increasing reliance on limited fossil fuels' resources, and as competition and costs for these resources grow, alternative solutions are becoming more appealing. Many federally run buildings and associations also have the added pressure of meeting the mandates of federal energy policies that dictate specific savings or reductions. Federal highway maintenance facilities run by the Department of Transportation fall into this category. To help meet energy saving goals, an investigation into potential renewable energy technologies was completed for the Ohio Department of Transportation. This research examined several types of renewable energy technologies and the major factors that affect their performance and evaluated their potential for implementation at highway maintenance facilities. Facilities energy usage data were provided, and a facility survey and site visits were completed to enhance the evaluation of technologies and the suitability for specific projects. Findings and technology recommendations were presented in the form of selection matrices, which were designed to help make selections in future projects. The benefits of utilization of other tools such as analysis software and life cycle assessments were also highlighted. These selection tools were designed to be helpful guides when beginning the pursuit of a renewable energy technology for highway maintenance facilities, and can be applied to other similar building types and projects. This document further discusses the research strategies and findings as well as the recommendations that were made to the personnel overseeing Ohio's highway maintenance facilities.

  20. Application configuration selection for energy-efficient execution on multicore systems

    DOE PAGES

    Wang, Shinan; Luo, Bing; Shi, Weisong; ...

    2015-09-21

    Balanced performance and energy consumption are incorporated in the design of modern computer systems. Several runtime factors, such as concurrency levels, thread mapping strategies, and dynamic voltage and frequency scaling (DVFS) should be considered in order to achieve optimal energy efficiency fora workload. Selecting appropriate run-time factors, however, is one of the most challenging tasks because the run-time factors are architecture-specific and workload-specific. And while most existing works concentrate on either static analysis of the workload or run-time prediction results, we present a hybrid two-step method that utilizes concurrency levels and DVFS settings to achieve the energy efficiency configuration formore » a worldoad. The experimental results based on a Xeon E5620 server with NPB and PARSEC benchmark suites show that the model is able to predict the energy efficient configuration accurately. On average, an additional 10% EDP (Energy Delay Product) saving is obtained by using run-time DVFS for the entire system. An off-line optimal solution is used to compare with the proposed scheme. Finally, the experimental results show that the average extra EDP saved by the optimal solution is within 5% on selective parallel benchmarks.« less

  1. Improved process for epitaxial deposition of silicon on prediffused substrates

    NASA Technical Reports Server (NTRS)

    Clarke, M. G.; Halsor, J. L.; Word, J. C.

    1968-01-01

    Process for fabricating integrated circuits uniformly deposits silicon epitaxially on prediffused substrates without affecting the sublayer diffusion pattern. Two silicon deposits from different sources, and deposited at different temperatures, protect the sublayer pattern from the silicon tetrachloride reaction.

  2. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  3. Epitaxial growth of thermally stable cobalt films on Au(111)

    NASA Astrophysics Data System (ADS)

    Haag, N.; Laux, M.; Stöckl, J.; Kollamana, J.; Seidel, J.; Großmann, N.; Fetzer, R.; Kelly, L. L.; Wei, Z.; Stadtmüller, B.; Cinchetti, M.; Aeschlimann, M.

    2016-10-01

    Ferromagnetic thin films play a fundamental role in spintronic applications as a source for spin polarized carriers and in fundamental studies as ferromagnetic substrates. However, it is challenging to produce such metallic films with high structural quality and chemical purity on single crystalline substrates since the diffusion barrier across the metal-metal interface is usually smaller than the thermal activation energy necessary for smooth surface morphologies. Here, we introduce epitaxial thin Co films grown on an Au(111) single crystal surface as a thermally stable ferromagnetic thin film. Our structural investigations reveal an identical growth of thin Co/Au(111) films compared to Co bulk single crystals with large monoatomic Co terraces with an average width of 500 Å, formed after thermal annealing at 575 K. Combining our results from photoemission and Auger electron spectroscopy, we provide evidence that no significant diffusion of Au into the near surface region of the Co film takes place for this temperature and that no Au capping layer is formed on top of Co films. Furthermore, we show that the electronic valence band is dominated by a strong spectral contribution from a Co 3d band and a Co derived surface resonance in the minority band. Both states lead to an overall negative spin polarization at the Fermi energy.

  4. Interface engineering in epitaxial growth of layered oxides via a conducting layer insertion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yun, Yu; Meng, Dechao; Wang, Jianlin

    2015-07-06

    There is a long-standing challenge in the fabrication of layered oxide epitaxial films due to their thermodynamic phase-instability and the large stacking layer number. Recently, the demand for high-quality thin films is strongly pushed by their promising room-temperature multiferroic properties. Here, we find that by inserting a conducting and lattice matched LaNiO{sub 3} buffer layer, high quality m = 5 Bi{sub 6}FeCoTi{sub 3}O{sub 18} epitaxial films can be fabricated using the laser molecular beam epitaxy, in which the atomic-scale sharp interface between the film and the metallic buffer layer explains the enhanced quality. The magnetic and ferroelectric properties of the high qualitymore » Bi{sub 6}FeCoTi{sub 3}O{sub 18} films are studied. This study demonstrates that insertion of the conducting layer is a powerful method in achieving high quality layered oxide thin films, which opens the door to further understand the underline physics and to develop new devices.« less

  5. Surfactant effect of gallium during molecular-beam epitaxy of GaN on AlN (0001)

    NASA Astrophysics Data System (ADS)

    Mula, Guido; Adelmann, C.; Moehl, S.; Oullier, J.; Daudin, B.

    2001-11-01

    We study the adsorption of Ga on (0001) GaN surfaces by reflection high-energy electron diffraction. It is shown that a dynamically stable Ga bilayer can be formed on the GaN surface for appropriate Ga fluxes and substrate temperatures. The influence of the presence of this Ga film on the growth mode of GaN on AlN(0001) by plasma-assisted molecular-beam epitaxy is studied. It is demonstrated that under nearly stoichiometric and N-rich conditions, the GaN layer relaxes elastically during the first stages of epitaxy. At high temperatures the growth follows a Stranski-Krastanov mode, whereas at lower temperatures kinetically formed flat platelets are observed. Under Ga-rich conditions-where a Ga bilayer is rapidly formed due to excess Ga accumulating on the surface-the growth follows a Frank-van der Merwe layer-by-layer mode at any growth temperature and no initial elastic relaxation occurs. Hence, it is concluded that excess Ga acts as a surfactant, effectively suppressing both Stranski-Krastanov islanding and platelet formation. It is further demonstrated that the Stranski-Krastanov transition is in competition with elastic relaxation by platelets, and it is only observed when relaxation by platelets is inefficient. As a result, a growth mode phase diagram is outlined for the growth of GaN on AlN(0001).

  6. Fabrication and characterization of Bismuth-Cerium composite iron garnet epitaxial films for magneto optical applications

    NASA Astrophysics Data System (ADS)

    Chandra Sekhar, M.; Singh, Mahi R.

    2012-10-01

    The BixCe3-xFe5O12 (x = 0.8) epitaxial films of high quality were grown by means of pulsed laser deposition on paramagnetic substrates of Gadolinium Gallium Garnet. We study the modifications of substitutions in the parent garnet Y3Fe5O12 that produces a higher magneto-optical response at communication wavelengths. These films displayed a strong in plane textures which are treated in argon as well as reduced atmosphere conditions. The elemental constituents of these films were confirmed by energy dispersive-X ray analysis, elastic recoil detection system, Rutherford backscattering spectroscopy, and X-ray photoelectron spectroscopy measurements. The transmittance spectra were measured and found these films exhibit good transmittance values. The transmittance-spectra were fitted with the theoretical model and the optical constants such as refractive index and absorption edge were evaluated. The highest (negative) Faraday rotation was found for these films treated in the environment of Ar + H2. A density matrix theory has been developed for the Faraday rotation and a good agreement between the theory and experiment is found. These epitaxial garnet films can be used in a wide range of frequencies from visible to infrared spectra making them ideal for many magneto optical applications. Therefore, these films may overcome many issues in fabricating all optical isolators which is the viable solution for integrated photonics.

  7. Suppression of Rotational Twins in Epitaxial B 12P 2 on 4H-SiC

    DOE PAGES

    Frye, C. D.; Saw, C. K.; Padavala, Balabalaji; ...

    2017-12-22

    B 12P2 was grown epitaxially on (0001) 4H-SiC using two different substrate miscuts: a standard 4° miscut toward the [more » $$11\\bar{20}$$] and a custom miscut 4° toward the [$$1\\bar{10}0$$]. Epitaxy on substrates miscut to the [$$11\\bar{20}$$] resulted in highly twinned B 12P 2 films with a rotational twin density of approximately 70% twin orientation I and 30% twin orientation II. In contrast, epitaxy on substrates tilted toward the [$$1\\bar{10}0$$] produced films of >99% twin orientation I. A H 2 etch model is used to explain the 4H-SiC surface morphology for each miscut prior to epitaxy and demonstrate how the surface steps influence the nucleation of B 12P 2 twin orientations. Surface steps on substrates miscut to the [$$11\\bar{20}$$] tend to be zig-zagged with steps rotated 60° from one another producing B 12P 2 crystals that nucleate in orientations rotated by 60°, hence forming rotationally twinned films. In conclusion, steps on substrates tilted to the [$$1\\bar{10}0$$] tend to be parallel resulting in crystallographically aligned B 12P 2 nucleation.« less

  8. Suppression of Rotational Twins in Epitaxial B 12P 2 on 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Frye, C. D.; Saw, C. K.; Padavala, Balabalaji

    B 12P2 was grown epitaxially on (0001) 4H-SiC using two different substrate miscuts: a standard 4° miscut toward the [more » $$11\\bar{20}$$] and a custom miscut 4° toward the [$$1\\bar{10}0$$]. Epitaxy on substrates miscut to the [$$11\\bar{20}$$] resulted in highly twinned B 12P 2 films with a rotational twin density of approximately 70% twin orientation I and 30% twin orientation II. In contrast, epitaxy on substrates tilted toward the [$$1\\bar{10}0$$] produced films of >99% twin orientation I. A H 2 etch model is used to explain the 4H-SiC surface morphology for each miscut prior to epitaxy and demonstrate how the surface steps influence the nucleation of B 12P 2 twin orientations. Surface steps on substrates miscut to the [$$11\\bar{20}$$] tend to be zig-zagged with steps rotated 60° from one another producing B 12P 2 crystals that nucleate in orientations rotated by 60°, hence forming rotationally twinned films. In conclusion, steps on substrates tilted to the [$$1\\bar{10}0$$] tend to be parallel resulting in crystallographically aligned B 12P 2 nucleation.« less

  9. Angular selective window systems: Assessment of technical potential for energy savings

    DOE PAGES

    Fernandes, Luis L.; Lee, Eleanor S.; McNeil, Andrew; ...

    2014-10-16

    Static angular selective shading systems block direct sunlight and admit daylight within a specific range of incident solar angles. The objective of this study is to quantify their potential to reduce energy use and peak demand in commercial buildings using state-of-the art whole-building computer simulation software that allows accurate modeling of the behavior of optically-complex fenestration systems such as angular selective systems. Three commercial systems were evaluated: a micro-perforated screen, a tubular shading structure, and an expanded metal mesh. This evaluation was performed through computer simulation for multiple climates (Chicago, Illinois and Houston, Texas), window-to-wall ratios (0.15-0.60), building codes (ASHRAEmore » 90.1-2004 and 2010) and lighting control configurations (with and without). The modeling of the optical complexity of the systems took advantage of the development of state-of-the-art versions of the EnergyPlus, Radiance and Window simulation tools. Results show significant reductions in perimeter zone energy use; the best system reached 28% and 47% savings, respectively without and with daylighting controls (ASHRAE 90.1-2004, south facade, Chicago,WWR=0.45). As a result, angular selectivity and thermal conductance of the angle-selective layer, as well as spectral selectivity of low-emissivity coatings, were identified as factors with significant impact on performance.« less

  10. Quantification of correlational selection on thermal physiology, thermoregulatory behavior, and energy metabolism in lizards

    PubMed Central

    Artacho, Paulina; Saravia, Julia; Ferrandière, Beatriz Decencière; Perret, Samuel; Le Galliard, Jean-François

    2015-01-01

    Phenotypic selection is widely accepted as the primary cause of adaptive evolution in natural populations, but selection on complex functional properties linking physiology, behavior, and morphology has been rarely quantified. In ectotherms, correlational selection on thermal physiology, thermoregulatory behavior, and energy metabolism is of special interest because of their potential coadaptation. We quantified phenotypic selection on thermal sensitivity of locomotor performance (sprint speed), thermal preferences, and resting metabolic rate in captive populations of an ectothermic vertebrate, the common lizard, Zootoca vivipara. No correlational selection between thermal sensitivity of performance, thermoregulatory behavior, and energy metabolism was found. A combination of high body mass and resting metabolic rate was positively correlated with survival and negatively correlated with fecundity. Thus, different mechanisms underlie selection on metabolism in lizards with small body mass than in lizards with high body mass. In addition, lizards that selected the near average preferred body temperature grew faster that their congeners. This is one of the few studies that quantifies significant correlational selection on a proxy of energy expenditure and stabilizing selection on thermoregulatory behavior. PMID:26380689

  11. Quantification of correlational selection on thermal physiology, thermoregulatory behavior, and energy metabolism in lizards.

    PubMed

    Artacho, Paulina; Saravia, Julia; Ferrandière, Beatriz Decencière; Perret, Samuel; Le Galliard, Jean-François

    2015-09-01

    Phenotypic selection is widely accepted as the primary cause of adaptive evolution in natural populations, but selection on complex functional properties linking physiology, behavior, and morphology has been rarely quantified. In ectotherms, correlational selection on thermal physiology, thermoregulatory behavior, and energy metabolism is of special interest because of their potential coadaptation. We quantified phenotypic selection on thermal sensitivity of locomotor performance (sprint speed), thermal preferences, and resting metabolic rate in captive populations of an ectothermic vertebrate, the common lizard, Zootoca vivipara. No correlational selection between thermal sensitivity of performance, thermoregulatory behavior, and energy metabolism was found. A combination of high body mass and resting metabolic rate was positively correlated with survival and negatively correlated with fecundity. Thus, different mechanisms underlie selection on metabolism in lizards with small body mass than in lizards with high body mass. In addition, lizards that selected the near average preferred body temperature grew faster that their congeners. This is one of the few studies that quantifies significant correlational selection on a proxy of energy expenditure and stabilizing selection on thermoregulatory behavior.

  12. Induced Superconductivity and Engineered Josephson Tunneling Devices in Epitaxial (111)-Oriented Gold/Vanadium Heterostructures.

    PubMed

    Wei, Peng; Katmis, Ferhat; Chang, Cui-Zu; Moodera, Jagadeesh S

    2016-04-13

    We report a unique experimental approach to create topological superconductors by inducing superconductivity into epitaxial metallic thin film with strong spin-orbit coupling. Utilizing molecular beam epitaxy technique under ultrahigh vacuum conditions, we are able to achieve (111) oriented single phase of gold (Au) thin film grown on a well-oriented vanadium (V) s-wave superconductor film with clean interface. We obtained atomically smooth Au thin films with thicknesses even down to below a nanometer showing near-ideal surface quality. The as-grown V/Au bilayer heterostructure exhibits superconducting transition at around 3.9 K. Clear Josephson tunneling and Andreev reflection are observed in S-I-S tunnel junctions fabricated from the epitaxial bilayers. The barrier thickness dependent tunneling and the associated subharmonic gap structures (SGS) confirmed the induced superconductivity in Au (111), paving the way for engineering thin film heterostructures based on p-wave superconductivity and nano devices exploiting Majorana Fermions for quantum computing.

  13. Epitaxial Ni-Mn-Ga-Co thin films on PMN-PT substrates for multicaloric applications

    NASA Astrophysics Data System (ADS)

    Schleicher, B.; Niemann, R.; Diestel, A.; Hühne, R.; Schultz, L.; Fähler, S.

    2015-08-01

    Multicaloric stacks consisting of a magnetocaloric film on a piezoelectric substrate promise improved caloric properties as the transition temperature can be controlled by both magnetic and electric fields. We present epitaxially grown magnetocaloric Ni-Mn-Ga-Co thin films on ferroelectric Pb(Mg1/3Nb2/3)0.72Ti0.28O3 substrates. Structure and microstructure of two samples, being in the austenitic and martensitic state at room temperature, are investigated by X-ray diffraction in two- and four-circle geometry and by atomic force microscopy. In addition, high temperature magnetometry was performed on the latter sample. The combination of these methods allows separating the influence of epitaxial growth and martensitic transformation. A preferential alignment of twin boundaries is observed already in the as-deposited state, which indicates the presence of prestress, without applying an electric field to the substrate. A temperature-magnetic field phase diagram is presented, which demonstrates the inverse magnetocaloric effect of the epitaxial Ni-Mn-Ga-Co film.

  14. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, Darrell G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong; Singh, Rakesh K.; Xi, Xiaoxing

    2017-12-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+δ, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy significantly advances the state of the art in constructing oxide materials with atomic layer precision and control over stoichiometry. With atomic layer-by-layer laser molecular-beam epitaxy we have produced conducting LaAlO3/SrTiO3 interfaces at high oxygen pressures that show no evidence of oxygen vacancies, a capability not accessible by existing techniques. The carrier density of the interfacial two-dimensional electron gas thus obtained agrees quantitatively with the electronic reconstruction mechanism.

  15. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  16. Photoluminescence of Gallium Phosphide-Based Nanostructures with Germanium Quantum Dots, Grown by Liquid-Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Velchenko, A. A.

    2017-11-01

    We have used liquid-phase epitaxy with pulsed substrate cooling using two structural designs to grow samples of nanoheteroepitaxial structures with Ge quantum dots in a GaP matrix on Si substrates. We have measured the photoluminescence spectra of the samples at temperatures of 77 K and 300 K with excitation by laser emission at λ = 4880 Å and 5145 Å. We draw conclusions concerning the factors influencing the spectrum and intensity of emission for nanostructures with quantum dots. It was found that in order to reduce nonradiative recombination in multilayer p-n structures, we need to create quantum dot arrays inside p and n regions rather than in the central portion of the depletion layer of the p-n junction. We show that the theoretical energies for Ge quantum dots of the calculated sizes are comparable with the energies of their photoluminescence maxima.

  17. Structure and magnetism of epitaxially strained Pd(001) films on Fe(001): Experiment and theory

    NASA Astrophysics Data System (ADS)

    Fullerton, Eric E.; Stoeffler, D.; Ounadjela, K.; Heinrich, B.; Celinski, Z.; Bland, J. A. C.

    1995-03-01

    We present an experimental and theoretical description of the structure and magnetism of epitaxially strained Pd(001) films on Fe(001) and in Fe/Pd/Fe(001) trilayers. The structure is determined by combining reflection high-energy electron diffraction and x-ray diffraction. For Fe/Au(001) bilayers and Fe/Pd/Au(001) trilayers grown by molecular-beam epitaxy on Ag(001), the Fe and Au layers are well represented by their bulk structure, whereas, thin Pd layers have a face-centered tetragonal structure with an in-plane expansion of 4.2% and an out-of-plane contraction of 7.2% (c/a=0.89). Theoretical ab initio studies of the interfacial structure indicate that the structural ground state of the epitaxially strained Pd layer is well described by a fct structure which maintains the bulk Pd atomic volume with small deviations at the interface. For Fe/Pd/Fe trilayers, the interlayer coupling oscillates with a period of 4 monolayers (ML) on a ferromagnetic background that crosses to weak antiferromagnetic coupling for thicknesses >12 ML of Pd. Strong ferromagnetic coupling observed below 5 ML of Pd indicates that 2 ML of Pd at each interface are ferromagnetically ordered. Theoretical studies of Fe3Pdn superlattices (where n is the number of Pd atomic layers) determine the polarization of the Pd layer and the interlayer magnetic coupling to depend strongly on the c/a ratio of the Pd layers. Modeling of a Pd layer with a constant-volume fct structure and one monolayer interfacial roughness find that the first 2 ML of the Pd is polarized in close agreement with the experimental results. Polarized neutron reflectivity results on an Fe(5.6 ML)/Pd(7 ML)/Au(20 ML) sample determine the average moment per Fe atom of 2.66+/-0.05μB. Calculations for the same structure show that this value is consistent with the induced Pd polarization.

  18. Thermoelectric properties of epitaxial β-FeSi2 thin films grown on Si(111) substrates with various film qualities

    NASA Astrophysics Data System (ADS)

    Watanabe, Kentaro; Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Suzuki, Takeyuki; Fujita, Takeshi; Nakamura, Yoshiaki

    2017-05-01

    Si-based epitaxial β-FeSi2 thin films are attractive as materials for on-chip thermoelectric power generators. We investigated the structure, crystallinity, and thermoelectric properties of β-FeSi2 thin films epitaxially grown on Si(111) substrates by using three different techniques: conventional reactive deposition epitaxy followed by molecular beam epitaxy (RDE+MBE), solid phase epitaxy (SPE) based on codeposition of Fe and Si presented previously, and SPE followed by MBE (SPE+MBE) presented newly by this work. Their epitaxial growth temperatures were fixed at 530 °C for comparison. RDE+MBE thin films exhibited high crystalline quality, but rough surfaces and rugged β-FeSi2/Si(111) interfaces. On the other hand, SPE thin films showed flat surfaces and abrupt β-FeSi2/Si(111) interfaces but low crystallinity. We found that SPE+MBE thin films realized crystallinity higher than SPE thin films, and also had flatter surfaces and sharper interfaces than RDE+MBE thin films. In SPE+MBE thin film growth, due to the initial SPE process with low temperature codeposition, thermal interdiffusion of Fe and Si was suppressed, resulting in the surface flatness and abrupt interface. Second high temperature MBE process improved the crystallinity. We also investigated thermoelectric properties of these β-FeSi2 thin films. Structural factors affecting the thermoelectric properties of RDE+MBE, SPE, and SPE+MBE thin films were investigated.

  19. The control of stoichiometry in Epitaxial semiconductor structures. Interfacial Chemistry: Property relations. A workshop review

    NASA Technical Reports Server (NTRS)

    Bachmann, Klaus J.

    1995-01-01

    A workshop on the control of stoichiometry in epitaxial semiconductor structures was held on August 21-26, 1995 in the hotel Stutenhaus at Vesser in Germany. The secluded location of the workshop in the forest of Thuringia and its informal style stimulated extensive private discussions among the participants and promoted new contacts between young scientists from Eastern and Western Europe and the USA. Topics addressed by the presentations were interactions of precursors to heteroepitaxy and doping with the substrate surface, the control of interfacial properties under the conditions of heteroepitaxy for selected materials systems, methods of characterization of interfaces and native point defects in semiconductor heterostructures and an in depth evaluation of the present status of the control and characterization of the point defect chemistry for one specific semiconductor (ZnGeP2), including studies of both heterostructures and bulk single crystals. The selected examples of presentations and comments given here represent individual choices - made by the author to highlight major points of the discussions.

  20. Metal-Insulator Transitions in Epitaxial LaVO(3) and LaTiO(3) Films

    DTIC Science & Technology

    2012-08-01

    epitaxial films of LaVO3 and LaTiO3 can exhibit metallicity though their bulk counterparts are Mott insulators. When LaTiO3 films are compressively...secondarily to interface electronic reconstruction at the LaTiO3 /SrTiO3 interface. However, when LaVO3 films are compressively strained on SrTiO3...ABSTRACT We have demonstrated that epitaxial films of LaVO3 and LaTiO3 can exhibit metallicity though their bulk counterparts are Mott insulators. When